From 4cb3b09b75710f25c388db992aacb4e1be1e5509 Mon Sep 17 00:00:00 2001 From: Zane Kaminski Date: Mon, 7 Feb 2022 00:21:01 -0500 Subject: [PATCH] idk --- cpld/MXSE.ucf | 32 - cpld/VGA.v | 180 - cpld/{XC95144XL => XC95144}/MXSE.bld | 12 +- cpld/XC95144/MXSE.cmd_log | 46 + cpld/XC95144/MXSE.gyd | 116 + cpld/XC95144/MXSE.jed | 1714 ++++ cpld/XC95144/MXSE.lfp | 5 + cpld/{XC95144XL => XC95144}/MXSE.lso | 0 cpld/{XC95144XL => XC95144}/MXSE.mfd | 3615 ++++--- cpld/XC95144/MXSE.nga | 3 + cpld/XC95144/MXSE.ngc | 3 + cpld/XC95144/MXSE.ngd | 3 + cpld/XC95144/MXSE.ngr | 3 + cpld/XC95144/MXSE.pnx | 18 + cpld/{XC95144XL => XC95144}/MXSE.prj | 0 cpld/XC95144/MXSE.rpt | 1318 +++ cpld/{XC95144XL => XC95144}/MXSE.stx | 0 cpld/{XC95144XL => XC95144}/MXSE.syr | 63 +- cpld/{XC95144XL => XC95144}/MXSE.tim | 0 cpld/XC95144/MXSE.tspec | 811 ++ cpld/XC95144/MXSE.ucf | 76 + cpld/XC95144/MXSE.vm6 | 8003 +++++++++++++++ cpld/{XC95144XL => XC95144}/MXSE.xst | 0 .../MXSE_envsettings.html | 6 +- .../MXSE_html/fit/applet.js | 0 .../MXSE_html/fit/appletref.htm | 0 .../MXSE_html/fit/ascii.htm | 1562 ++- .../MXSE_html/fit/asciidoc.htm | 0 .../MXSE_html/fit/backtop.jpg | Bin .../MXSE_html/fit/beginstraight.gif | Bin .../MXSE_html/fit/blank.gif | Bin .../MXSE_html/fit/blank.htm | 0 .../MXSE_html/fit/briefview.jpg | Bin .../MXSE_html/fit/check.htm | 0 .../MXSE_html/fit/checkNS4.htm | 0 .../MXSE_html/fit/contact.gif | Bin .../MXSE_html/fit/coolrunnerII_logo.jpg | Bin .../MXSE_html/fit/coolrunner_logo.jpg | Bin .../MXSE_html/fit/defeqns.htm | 457 +- .../MXSE_html/fit/education.gif | Bin .../MXSE_html/fit/endmkt.gif | Bin cpld/XC95144/MXSE_html/fit/eqns.htm | 1733 ++++ .../MXSE_html/fit/eqns.js | 0 .../MXSE_html/fit/equations.gif | Bin .../MXSE_html/fit/equations.htm | 0 .../MXSE_html/fit/equationsdoc.htm | 0 .../MXSE_html/fit/errors.js | 0 .../MXSE_html/fit/errors1.gif | Bin .../MXSE_html/fit/errors2.gif | Bin .../MXSE_html/fit/errorsdoc.htm | 0 .../MXSE_html/fit/errs.htm | 0 .../MXSE_html/fit/failtable.htm | 0 .../MXSE_html/fit/failtable.js | 0 .../MXSE_html/fit/failtabledoc.htm | 0 .../MXSE_html/fit/fb.gif | Bin .../MXSE_html/fit/fb1.gif | Bin .../MXSE_html/fit/fbs.htm | 46 +- .../MXSE_html/fit/fbs.js | 0 cpld/XC95144/MXSE_html/fit/fbs_FB1.htm | 268 + .../MXSE_html/fit/fbs_FB2.htm | 100 +- .../MXSE_html/fit/fbs_FB3.htm | 134 +- .../MXSE_html/fit/fbs_FB4.htm} | 157 +- .../MXSE_html/fit/fbs_FB5.htm | 102 +- .../MXSE_html/fit/fbs_FB6.htm} | 146 +- .../MXSE_html/fit/fbs_FB7.htm} | 131 +- .../MXSE_html/fit/fbs_FB8.htm} | 213 +- .../MXSE_html/fit/fbs_FBdoc.htm | 0 .../MXSE_html/fit/fbsdoc.htm | 0 .../MXSE_html/fit/fbview.jpg | Bin .../MXSE_html/fit/functionblock.gif | Bin .../MXSE_html/fit/genmsg.htm | 0 .../MXSE_html/fit/header.gif | Bin .../MXSE_html/fit/home.gif | Bin .../MXSE_html/fit/index.htm | 0 .../MXSE_html/fit/inputleft.htm | 0 .../MXSE_html/fit/inputleft.js | 0 .../MXSE_html/fit/inputleftdoc.htm | 0 .../MXSE_html/fit/leftnav.htm | 0 .../MXSE_html/fit/leftnav.js | 0 .../MXSE_html/fit/legend.gif | Bin .../MXSE_html/fit/legend.jpg | Bin .../MXSE_html/fit/logic_legXC95.htm | 0 .../MXSE_html/fit/logic_legXbr.htm | 0 .../MXSE_html/fit/logic_legXpla3.htm | 0 .../MXSE_html/fit/logicleft.htm | 0 .../MXSE_html/fit/logicleft.js | 0 .../MXSE_html/fit/logicleftdoc.htm | 0 .../MXSE_html/fit/logiclegend.htm | 0 .../MXSE_html/fit/logiclegendV.htm | 0 .../MXSE_html/fit/macrocell.gif | Bin .../MXSE_html/fit/mapinput_00.htm | 294 +- .../MXSE_html/fit/mapinput_01.htm | 138 +- .../MXSE_html/fit/mapinput_02.htm | 138 +- .../MXSE_html/fit/mapinputdoc.htm | 0 .../MXSE_html/fit/maplogic.js | 0 .../MXSE_html/fit/maplogic_00.htm | 1353 ++- .../MXSE_html/fit/maplogic_01.htm | 1409 ++- .../MXSE_html/fit/maplogic_02.htm | 1104 +- .../MXSE_html/fit/maplogicdoc.htm | 0 .../MXSE_html/fit/newappletref.htm | 0 .../MXSE_html/fit/next.jpg | Bin .../MXSE_html/fit/ns4plugin.js | 0 .../MXSE_html/fit/options.htm | 0 .../MXSE_html/fit/optionsdoc.htm | 0 .../MXSE_html/fit/paths.js | 0 .../MXSE_html/fit/pin.gif | Bin .../MXSE_html/fit/pin_legXC95.htm | 0 .../MXSE_html/fit/pin_legXbr.htm | 0 .../MXSE_html/fit/pin_legXpla3.htm | 0 .../MXSE_html/fit/pindiagram.gif | Bin .../MXSE_html/fit/pinlegend.htm | 0 .../MXSE_html/fit/pinlegendV.htm | 0 .../MXSE_html/fit/pins.htm | 124 +- .../MXSE_html/fit/pins.js | 0 .../MXSE_html/fit/pinsdoc.htm | 0 .../MXSE_html/fit/pinview.jpg | Bin .../MXSE_html/fit/plugin.js | 0 .../MXSE_html/fit/prev.jpg | Bin .../MXSE_html/fit/print.jpg | Bin .../MXSE_html/fit/products.gif | Bin .../MXSE_html/fit/purchase.gif | Bin .../MXSE_html/fit/report.htm | 0 .../MXSE_html/fit/result.htm | 0 .../MXSE_html/fit/search.gif | Bin .../MXSE_html/fit/spacer.gif | Bin .../MXSE_html/fit/style.css | 0 .../MXSE_html/fit/summary.htm | 14 +- .../MXSE_html/fit/summary.js | 0 .../MXSE_html/fit/summarydoc.htm | 0 .../MXSE_html/fit/support.gif | Bin .../MXSE_html/fit/time.htm | 0 .../MXSE_html/fit/tooltips.js | 0 .../MXSE_html/fit/topnav.htm | 0 .../MXSE_html/fit/topnav.js | 0 .../MXSE_html/fit/unmapinputdoc.htm | 0 .../MXSE_html/fit/unmaplogicdoc.htm | 0 .../MXSE_html/fit/verboseview.jpg | Bin .../MXSE_html/fit/view.gif | Bin .../MXSE_html/fit/wait.htm | 0 .../MXSE_html/fit/xc9500_logo.gif | Bin .../MXSE_html/fit/xc9500_logo.jpg | Bin .../MXSE_html/fit/xc9500xl_logo.gif | Bin .../MXSE_html/fit/xc9500xl_logo.jpg | Bin .../MXSE_html/fit/xc9500xv_logo.jpg | Bin .../MXSE_html/fit/xcenter.gif | Bin .../MXSE_html/fit/xlogo.gif | Bin .../MXSE_html/fit/xml5.jpg | Bin .../MXSE_html/fit/xml6.jpg | Bin .../MXSE_html/fit/xml7.jpg | Bin .../MXSE_html/fit/xml8.jpg | Bin .../MXSE_html/images/acr2_logo.jpg | Bin .../MXSE_html/images/blackBar.jpg | Bin .../MXSE_html/images/cpldBanner.jpg | Bin .../MXSE_html/images/cr2s_logo.jpg | Bin .../MXSE_html/images/fitterRpt.jpg | Bin .../MXSE_html/images/logo.jpg | Bin .../MXSE_html/images/spacer.jpg | Bin .../MXSE_html/images/timingRpt.jpg | Bin .../MXSE_html/images/xa9500xl_logo.jpg | Bin .../MXSE_html/images/xbr_logo.jpg | Bin .../MXSE_html/images/xc9500_logo.jpg | Bin .../MXSE_html/images/xc9500xl_logo.jpg | Bin .../MXSE_html/images/xc9500xv_logo.jpg | Bin .../MXSE_html/images/xpla3_logo.jpg | Bin .../MXSE_html/tim/cpldta_glossary.htm | 0 .../MXSE_html/tim/cpldta_style.css | 0 .../MXSE_html/tim/genreport.htm | 0 .../MXSE_html/tim/leftnav.htm | 0 .../MXSE_html/tim/report.htm | 0 .../MXSE_html/tim/timing_report.htm | 1689 +-- .../MXSE_html/tim/toc.css | 0 .../MXSE_html/tim/topnav.htm | 0 .../{XC95144XL => XC95144}/MXSE_ngdbuild.xrpt | 43 +- cpld/{XC95144XL => XC95144}/MXSE_summary.html | 33 +- cpld/{XC95144XL => XC95144}/MXSE_xst.xrpt | 22 +- cpld/XC95144/WarpSE.gise | 125 + .../MXSE.xise => XC95144/WarpSE.xise} | 244 +- cpld/XC95144/_ngo/netlist.lst | 2 + cpld/XC95144/_pace.ucf | 76 + cpld/XC95144/_xmsgs/cpldfit.xmsgs | 20 + .../_xmsgs/hprep6.xmsgs | 0 .../_xmsgs/ngdbuild.xmsgs | 0 .../_xmsgs/pn_parser.xmsgs | 3 - .../_xmsgs/taengine.xmsgs | 0 cpld/{XC95144XL => XC95144}/_xmsgs/tsim.xmsgs | 0 cpld/{XC95144XL => XC95144}/_xmsgs/xst.xmsgs | 0 .../iseconfig/MXSE.xreport | 12 +- .../iseconfig/WarpSE.projectmgr} | 79 +- cpld/XC95144/tmperr.err | 17 + cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd | Bin 0 -> 4802 bytes cpld/XC95144/xst/work/hdllib.ref | 7 + .../xst/work/vlg14/_r_a_m.bin | Bin 18234 -> 18234 bytes .../xst/work/vlg15/_m_x_s_e.bin | Bin 16105 -> 16105 bytes .../xst/work/vlg22/_c_s.bin | Bin 12744 -> 12744 bytes .../xst/work/vlg37/_f_s_b.bin | Bin 6917 -> 6917 bytes .../xst/work/vlg65/_c_n_t.bin | Bin 4280 -> 4280 bytes .../xst/work/vlg73/_i_o_b_m.bin | Bin 17476 -> 17552 bytes .../xst/work/vlg79/_i_o_b_s.bin | Bin 12663 -> 12663 bytes cpld/XC95144XL/IOBM_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/IOBM_isim_beh1.wdb | Bin 7791 -> 0 bytes cpld/XC95144XL/MXSE.cmd_log | 166 - cpld/XC95144XL/MXSE.gise | 234 - cpld/XC95144XL/MXSE.gyd | 117 - cpld/XC95144XL/MXSE.jed | 1714 ---- cpld/XC95144XL/MXSE.nga | 3 - cpld/XC95144XL/MXSE.ngc | 3 - cpld/XC95144XL/MXSE.ngd | 3 - cpld/XC95144XL/MXSE.ngr | 3 - cpld/XC95144XL/MXSE.pad | 129 - cpld/XC95144XL/MXSE.pnx | 18 - cpld/XC95144XL/MXSE.rpt | 1797 ---- cpld/XC95144XL/MXSE.tspec | 393 - cpld/XC95144XL/MXSE.vm6 | 8379 --------------- cpld/XC95144XL/MXSE_html/fit/eqns.htm | 1791 ---- cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm | 270 - cpld/XC95144XL/RAM_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/RAM_stx_beh.prj | 2 - cpld/XC95144XL/_ngo/netlist.lst | 2 - cpld/XC95144XL/_xmsgs/cpldfit.xmsgs | 12 - cpld/XC95144XL/fuse.log | 19 - cpld/XC95144XL/fuse.xmsgs | 9 - cpld/XC95144XL/fuseRelaunch.cmd | 1 - cpld/XC95144XL/isim.cmd | 3 - cpld/XC95144XL/isim.log | 42 - .../XC95144XL/isim/isim_usage_statistics.html | 16 - cpld/XC95144XL/isim/pn_info | 1 - .../ISimEngine-DesignHierarchy2.dbg | Bin 6195 -> 0 bytes .../isim/t_fsb_isim_beh.exe.sim/isimcrash.log | 0 .../t_fsb_isim_beh.exe.sim/isimkernel.log | 28 - .../t_fsb_isim_beh.exe.sim/libPortability.dll | Bin 901632 -> 0 bytes .../isim/t_fsb_isim_beh.exe.sim/netId2.dat | Bin 100 -> 0 bytes .../t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe | Bin 129826 -> 0 bytes .../isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 | Bin 4037 -> 0 bytes .../work/m_00000000001435061139_3156757336.c | 677 -- .../m_00000000001435061139_3156757336.didat | Bin 4864 -> 0 bytes ...m_00000000001435061139_3156757336.nt64.obj | Bin 10598 -> 0 bytes .../work/m_00000000002613258380_2132107520.c | 2898 ------ .../m_00000000002613258380_2132107520.didat | Bin 5144 -> 0 bytes ...m_00000000002613258380_2132107520.nt64.obj | Bin 8718 -> 0 bytes .../work/m_00000000004134447467_2073120511.c | 337 - .../m_00000000004134447467_2073120511.didat | Bin 5500 -> 0 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 2810 -> 0 bytes .../work/t_fsb_isim_beh.exe_main.c | 36 - .../work/t_fsb_isim_beh.exe_main.nt64.obj | Bin 1328 -> 0 bytes .../ISimEngine-DesignHierarchy1.dbg | Bin 9079 -> 0 bytes .../t_iobm_isim_beh.exe.sim/isimcrash.log | 0 .../t_iobm_isim_beh.exe.sim/isimkernel.log | 28 - .../libPortability.dll | Bin 901632 -> 0 bytes .../isim/t_iobm_isim_beh.exe.sim/netId1.dat | Bin 172 -> 0 bytes .../t_iobm_isim_beh.exe | Bin 171496 -> 0 bytes .../isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 | Bin 6763 -> 0 bytes .../work/m_00000000000808859884_3633994423.c | 8216 --------------- .../m_00000000000808859884_3633994423.didat | Bin 7580 -> 0 bytes ...m_00000000000808859884_3633994423.nt64.obj | Bin 26667 -> 0 bytes .../work/m_00000000001759270804_2646922372.c | 3059 ------ .../m_00000000001759270804_2646922372.didat | Bin 7072 -> 0 bytes ...m_00000000001759270804_2646922372.nt64.obj | Bin 47580 -> 0 bytes .../work/m_00000000004134447467_2073120511.c | 337 - .../m_00000000004134447467_2073120511.didat | Bin 5500 -> 0 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 2810 -> 0 bytes .../work/t_iobm_isim_beh.exe_main.c | 36 - .../work/t_iobm_isim_beh.exe_main.nt64.obj | Bin 1329 -> 0 bytes .../ISimEngine-DesignHierarchy.dbg | Bin 8576 -> 0 bytes .../isim/t_ram_isim_beh.exe.sim/isimcrash.log | 0 .../t_ram_isim_beh.exe.sim/isimkernel.log | 28 - .../t_ram_isim_beh.exe.sim/libPortability.dll | Bin 901632 -> 0 bytes .../isim/t_ram_isim_beh.exe.sim/netId.dat | Bin 188 -> 0 bytes .../t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe | Bin 176884 -> 0 bytes .../isim/t_ram_isim_beh.exe.sim/tmp_save/_1 | Bin 5888 -> 0 bytes .../work/m_00000000000498029938_2356217838.c | 9104 ----------------- .../m_00000000000498029938_2356217838.didat | Bin 7940 -> 0 bytes ...m_00000000000498029938_2356217838.nt64.obj | Bin 26707 -> 0 bytes .../work/m_00000000001578517288_1330392502.c | 3523 ------- .../m_00000000001578517288_1330392502.didat | Bin 7300 -> 0 bytes ...m_00000000001578517288_1330392502.nt64.obj | Bin 54031 -> 0 bytes .../work/m_00000000004134447467_2073120511.c | 337 - .../m_00000000004134447467_2073120511.didat | Bin 5500 -> 0 bytes ...m_00000000004134447467_2073120511.nt64.obj | Bin 2810 -> 0 bytes .../work/t_ram_isim_beh.exe_main.c | 36 - .../work/t_ram_isim_beh.exe_main.nt64.obj | Bin 1328 -> 0 bytes cpld/XC95144XL/isim/work/@f@s@b.sdb | Bin 4782 -> 0 bytes cpld/XC95144XL/isim/work/glbl.sdb | Bin 4565 -> 0 bytes cpld/XC95144XL/isim/work/t_fsb.sdb | Bin 9442 -> 0 bytes cpld/XC95144XL/t_cnt_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/t_cnt_isim_beh1.wdb | Bin 7779 -> 0 bytes cpld/XC95144XL/t_cs_beh.prj | 3 - cpld/XC95144XL/t_cs_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/t_cs_isim_beh.wdb | Bin 7995 -> 0 bytes cpld/XC95144XL/t_cs_stx_beh.prj | 3 - cpld/XC95144XL/t_fsb_beh.prj | 3 - cpld/XC95144XL/t_fsb_dtack_beh.prj | 3 - cpld/XC95144XL/t_fsb_dtack_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb | Bin 6370 -> 0 bytes cpld/XC95144XL/t_fsb_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/t_fsb_isim_beh.wdb | 0 cpld/XC95144XL/t_fsb_isim_beh1.wdb | Bin 12116 -> 0 bytes cpld/XC95144XL/t_fsb_isim_beh2.wdb | Bin 7446 -> 0 bytes cpld/XC95144XL/t_iobm_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/t_iobm_isim_beh1.wdb | Bin 12289 -> 0 bytes cpld/XC95144XL/t_iobm_stx_beh.prj | 3 - cpld/XC95144XL/t_ram.v | 314 - cpld/XC95144XL/t_ram_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/t_ram_isim_beh.wdb | Bin 14450 -> 0 bytes cpld/XC95144XL/t_ram_stx_beh.prj | 3 - cpld/XC95144XL/test.v | 150 - cpld/XC95144XL/test/t_fsb.v | 176 - cpld/XC95144XL/test/t_iobm.v | 238 - cpld/XC95144XL/test_fsb.v | 124 - cpld/XC95144XL/test_fsb_beh.prj | 3 - cpld/XC95144XL/test_fsb_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/test_fsb_isim_beh.wdb | Bin 6379 -> 0 bytes cpld/XC95144XL/test_fsb_isim_beh1.wdb | Bin 5803 -> 0 bytes cpld/XC95144XL/test_fsb_stx_beh.prj | 3 - cpld/XC95144XL/test_fsb_vpa_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb | Bin 6334 -> 0 bytes cpld/XC95144XL/test_isim_beh.exe | Bin 94720 -> 0 bytes cpld/XC95144XL/test_isim_beh1.wdb | Bin 9745 -> 0 bytes cpld/XC95144XL/test_stx_beh.prj | 3 - cpld/XC95144XL/tmperr.err | 0 cpld/XC95144XL/xilinxsim.ini | 1 - cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd | Bin 4466 -> 0 bytes cpld/XC95144XL/xst/work/hdllib.ref | 7 - cpld/untitled | 280 - 323 files changed, 21148 insertions(+), 51998 deletions(-) delete mode 100644 cpld/MXSE.ucf delete mode 100644 cpld/VGA.v rename cpld/{XC95144XL => XC95144}/MXSE.bld (68%) create mode 100644 cpld/XC95144/MXSE.cmd_log create mode 100644 cpld/XC95144/MXSE.gyd create mode 100644 cpld/XC95144/MXSE.jed create mode 100644 cpld/XC95144/MXSE.lfp rename cpld/{XC95144XL => XC95144}/MXSE.lso (100%) rename cpld/{XC95144XL => XC95144}/MXSE.mfd (52%) create mode 100644 cpld/XC95144/MXSE.nga create mode 100644 cpld/XC95144/MXSE.ngc create mode 100644 cpld/XC95144/MXSE.ngd create mode 100644 cpld/XC95144/MXSE.ngr create mode 100644 cpld/XC95144/MXSE.pnx rename cpld/{XC95144XL => XC95144}/MXSE.prj (100%) create mode 100644 cpld/XC95144/MXSE.rpt rename cpld/{XC95144XL => XC95144}/MXSE.stx (100%) rename cpld/{XC95144XL => XC95144}/MXSE.syr (92%) rename cpld/{XC95144XL => XC95144}/MXSE.tim (100%) create mode 100644 cpld/XC95144/MXSE.tspec create mode 100644 cpld/XC95144/MXSE.ucf create mode 100644 cpld/XC95144/MXSE.vm6 rename cpld/{XC95144XL => XC95144}/MXSE.xst (100%) rename cpld/{XC95144XL => XC95144}/MXSE_envsettings.html (94%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/applet.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/appletref.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/ascii.htm (50%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/asciidoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/backtop.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/beginstraight.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/blank.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/blank.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/briefview.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/check.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/checkNS4.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/contact.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/coolrunnerII_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/coolrunner_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/defeqns.htm (77%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/education.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/endmkt.gif (100%) create mode 100644 cpld/XC95144/MXSE_html/fit/eqns.htm rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/eqns.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/equations.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/equations.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/equationsdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/errors.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/errors1.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/errors2.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/errorsdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/errs.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/failtable.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/failtable.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/failtabledoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fb.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fb1.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbs.htm (81%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbs.js (100%) create mode 100644 cpld/XC95144/MXSE_html/fit/fbs_FB1.htm rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbs_FB2.htm (68%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbs_FB3.htm (52%) rename cpld/{XC95144XL/MXSE_html/fit/fbs_FB6.htm => XC95144/MXSE_html/fit/fbs_FB4.htm} (56%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbs_FB5.htm (67%) rename cpld/{XC95144XL/MXSE_html/fit/fbs_FB7.htm => XC95144/MXSE_html/fit/fbs_FB6.htm} (51%) rename cpld/{XC95144XL/MXSE_html/fit/fbs_FB8.htm => XC95144/MXSE_html/fit/fbs_FB7.htm} (69%) rename cpld/{XC95144XL/MXSE_html/fit/fbs_FB1.htm => XC95144/MXSE_html/fit/fbs_FB8.htm} (50%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbs_FBdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbsdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/fbview.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/functionblock.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/genmsg.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/header.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/home.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/index.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/inputleft.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/inputleft.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/inputleftdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/leftnav.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/leftnav.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/legend.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/legend.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logic_legXC95.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logic_legXbr.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logic_legXpla3.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logicleft.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logicleft.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logicleftdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logiclegend.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/logiclegendV.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/macrocell.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/mapinput_00.htm (93%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/mapinput_01.htm (93%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/mapinput_02.htm (93%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/mapinputdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/maplogic.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/maplogic_00.htm (91%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/maplogic_01.htm (91%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/maplogic_02.htm (91%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/maplogicdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/newappletref.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/next.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/ns4plugin.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/options.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/optionsdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/paths.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pin.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pin_legXC95.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pin_legXbr.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pin_legXpla3.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pindiagram.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pinlegend.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pinlegendV.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pins.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pins.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pinsdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/pinview.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/plugin.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/prev.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/print.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/products.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/purchase.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/report.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/result.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/search.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/spacer.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/style.css (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/summary.htm (93%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/summary.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/summarydoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/support.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/time.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/tooltips.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/topnav.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/topnav.js (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/unmapinputdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/unmaplogicdoc.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/verboseview.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/view.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/wait.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xc9500_logo.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xc9500_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xc9500xl_logo.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xc9500xl_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xc9500xv_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xcenter.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xlogo.gif (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xml5.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xml6.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xml7.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/fit/xml8.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/acr2_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/blackBar.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/cpldBanner.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/cr2s_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/fitterRpt.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/spacer.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/timingRpt.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/xa9500xl_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/xbr_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/xc9500_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/xc9500xl_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/xc9500xv_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/images/xpla3_logo.jpg (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/cpldta_glossary.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/cpldta_style.css (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/genreport.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/leftnav.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/report.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/timing_report.htm (79%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/toc.css (100%) rename cpld/{XC95144XL => XC95144}/MXSE_html/tim/topnav.htm (100%) rename cpld/{XC95144XL => XC95144}/MXSE_ngdbuild.xrpt (90%) rename cpld/{XC95144XL => XC95144}/MXSE_summary.html (60%) rename cpld/{XC95144XL => XC95144}/MXSE_xst.xrpt (90%) create mode 100644 cpld/XC95144/WarpSE.gise rename cpld/{XC95144XL/MXSE.xise => XC95144/WarpSE.xise} (55%) create mode 100644 cpld/XC95144/_ngo/netlist.lst create mode 100644 cpld/XC95144/_pace.ucf create mode 100644 cpld/XC95144/_xmsgs/cpldfit.xmsgs rename cpld/{XC95144XL => XC95144}/_xmsgs/hprep6.xmsgs (100%) rename cpld/{XC95144XL => XC95144}/_xmsgs/ngdbuild.xmsgs (100%) rename cpld/{XC95144XL => XC95144}/_xmsgs/pn_parser.xmsgs (73%) rename cpld/{XC95144XL => XC95144}/_xmsgs/taengine.xmsgs (100%) rename cpld/{XC95144XL => XC95144}/_xmsgs/tsim.xmsgs (100%) rename cpld/{XC95144XL => XC95144}/_xmsgs/xst.xmsgs (100%) rename cpld/{XC95144XL => XC95144}/iseconfig/MXSE.xreport (97%) rename cpld/{XC95144XL/iseconfig/MXSE.projectmgr => XC95144/iseconfig/WarpSE.projectmgr} (80%) create mode 100644 cpld/XC95144/tmperr.err create mode 100644 cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd create mode 100644 cpld/XC95144/xst/work/hdllib.ref rename cpld/{XC95144XL => XC95144}/xst/work/vlg14/_r_a_m.bin (99%) rename cpld/{XC95144XL => XC95144}/xst/work/vlg15/_m_x_s_e.bin (99%) rename cpld/{XC95144XL => XC95144}/xst/work/vlg22/_c_s.bin (99%) rename cpld/{XC95144XL => XC95144}/xst/work/vlg37/_f_s_b.bin (98%) rename cpld/{XC95144XL => XC95144}/xst/work/vlg65/_c_n_t.bin (97%) rename cpld/{XC95144XL => XC95144}/xst/work/vlg73/_i_o_b_m.bin (62%) rename cpld/{XC95144XL => XC95144}/xst/work/vlg79/_i_o_b_s.bin (99%) delete mode 100644 cpld/XC95144XL/IOBM_isim_beh.exe delete mode 100644 cpld/XC95144XL/IOBM_isim_beh1.wdb delete mode 100644 cpld/XC95144XL/MXSE.cmd_log delete mode 100644 cpld/XC95144XL/MXSE.gise delete mode 100644 cpld/XC95144XL/MXSE.gyd delete mode 100644 cpld/XC95144XL/MXSE.jed delete mode 100644 cpld/XC95144XL/MXSE.nga delete mode 100644 cpld/XC95144XL/MXSE.ngc delete mode 100644 cpld/XC95144XL/MXSE.ngd delete mode 100644 cpld/XC95144XL/MXSE.ngr delete mode 100644 cpld/XC95144XL/MXSE.pad delete mode 100644 cpld/XC95144XL/MXSE.pnx delete mode 100644 cpld/XC95144XL/MXSE.rpt delete mode 100644 cpld/XC95144XL/MXSE.tspec delete mode 100644 cpld/XC95144XL/MXSE.vm6 delete mode 100644 cpld/XC95144XL/MXSE_html/fit/eqns.htm delete mode 100644 cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm delete mode 100644 cpld/XC95144XL/RAM_isim_beh.exe delete mode 100644 cpld/XC95144XL/RAM_stx_beh.prj delete mode 100644 cpld/XC95144XL/_ngo/netlist.lst delete mode 100644 cpld/XC95144XL/_xmsgs/cpldfit.xmsgs delete mode 100644 cpld/XC95144XL/fuse.log delete mode 100644 cpld/XC95144XL/fuse.xmsgs delete mode 100644 cpld/XC95144XL/fuseRelaunch.cmd delete mode 100644 cpld/XC95144XL/isim.cmd delete mode 100644 cpld/XC95144XL/isim.log delete mode 100644 cpld/XC95144XL/isim/isim_usage_statistics.html delete mode 100644 cpld/XC95144XL/isim/pn_info delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimcrash.log delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/isimkernel.log delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/libPortability.dll delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c delete mode 100644 cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimcrash.log delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/isimkernel.log delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/libPortability.dll delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/t_iobm_isim_beh.exe delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.c delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.c delete mode 100644 cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/t_iobm_isim_beh.exe_main.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/ISimEngine-DesignHierarchy.dbg delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/t_ram_isim_beh.exe delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/tmp_save/_1 delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.c delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c delete mode 100644 cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj delete mode 100644 cpld/XC95144XL/isim/work/@f@s@b.sdb delete mode 100644 cpld/XC95144XL/isim/work/glbl.sdb delete mode 100644 cpld/XC95144XL/isim/work/t_fsb.sdb delete mode 100644 cpld/XC95144XL/t_cnt_isim_beh.exe delete mode 100644 cpld/XC95144XL/t_cnt_isim_beh1.wdb delete mode 100644 cpld/XC95144XL/t_cs_beh.prj delete mode 100644 cpld/XC95144XL/t_cs_isim_beh.exe delete mode 100644 cpld/XC95144XL/t_cs_isim_beh.wdb delete mode 100644 cpld/XC95144XL/t_cs_stx_beh.prj delete mode 100644 cpld/XC95144XL/t_fsb_beh.prj delete mode 100644 cpld/XC95144XL/t_fsb_dtack_beh.prj delete mode 100644 cpld/XC95144XL/t_fsb_dtack_isim_beh.exe delete mode 100644 cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb delete mode 100644 cpld/XC95144XL/t_fsb_isim_beh.exe delete mode 100644 cpld/XC95144XL/t_fsb_isim_beh.wdb delete mode 100644 cpld/XC95144XL/t_fsb_isim_beh1.wdb delete mode 100644 cpld/XC95144XL/t_fsb_isim_beh2.wdb delete mode 100644 cpld/XC95144XL/t_iobm_isim_beh.exe delete mode 100644 cpld/XC95144XL/t_iobm_isim_beh1.wdb delete mode 100644 cpld/XC95144XL/t_iobm_stx_beh.prj delete mode 100644 cpld/XC95144XL/t_ram.v delete mode 100644 cpld/XC95144XL/t_ram_isim_beh.exe delete mode 100644 cpld/XC95144XL/t_ram_isim_beh.wdb delete mode 100644 cpld/XC95144XL/t_ram_stx_beh.prj delete mode 100644 cpld/XC95144XL/test.v delete mode 100644 cpld/XC95144XL/test/t_fsb.v delete mode 100644 cpld/XC95144XL/test/t_iobm.v delete mode 100644 cpld/XC95144XL/test_fsb.v delete mode 100644 cpld/XC95144XL/test_fsb_beh.prj delete mode 100644 cpld/XC95144XL/test_fsb_isim_beh.exe delete mode 100644 cpld/XC95144XL/test_fsb_isim_beh.wdb delete mode 100644 cpld/XC95144XL/test_fsb_isim_beh1.wdb delete mode 100644 cpld/XC95144XL/test_fsb_stx_beh.prj delete mode 100644 cpld/XC95144XL/test_fsb_vpa_isim_beh.exe delete mode 100644 cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb delete mode 100644 cpld/XC95144XL/test_isim_beh.exe delete mode 100644 cpld/XC95144XL/test_isim_beh1.wdb delete mode 100644 cpld/XC95144XL/test_stx_beh.prj delete mode 100644 cpld/XC95144XL/tmperr.err delete mode 100644 cpld/XC95144XL/xilinxsim.ini delete mode 100644 cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd delete mode 100644 cpld/XC95144XL/xst/work/hdllib.ref delete mode 100644 cpld/untitled diff --git a/cpld/MXSE.ucf b/cpld/MXSE.ucf deleted file mode 100644 index 8289d08..0000000 --- a/cpld/MXSE.ucf +++ /dev/null @@ -1,32 +0,0 @@ - -#Created by Constraints Editor (xc95144xl-tq100-10) - 2021/10/07 -INST "A_FSB<1>" TNM = A_FSB; -INST "A_FSB<2>" TNM = A_FSB; -INST "A_FSB<3>" TNM = A_FSB; -INST "A_FSB<4>" TNM = A_FSB; -INST "A_FSB<5>" TNM = A_FSB; -INST "A_FSB<6>" TNM = A_FSB; -INST "A_FSB<7>" TNM = A_FSB; -INST "A_FSB<8>" TNM = A_FSB; -INST "A_FSB<9>" TNM = A_FSB; -INST "A_FSB<10>" TNM = A_FSB; -INST "A_FSB<11>" TNM = A_FSB; -INST "A_FSB<12>" TNM = A_FSB; -INST "A_FSB<13>" TNM = A_FSB; -INST "A_FSB<14>" TNM = A_FSB; -INST "A_FSB<15>" TNM = A_FSB; -INST "A_FSB<16>" TNM = A_FSB; -INST "A_FSB<17>" TNM = A_FSB; -INST "A_FSB<18>" TNM = A_FSB; -INST "A_FSB<19>" TNM = A_FSB; -INST "A_FSB<20>" TNM = A_FSB; -INST "A_FSB<21>" TNM = A_FSB; -INST "A_FSB<22>" TNM = A_FSB; -INST "A_FSB<23>" TNM = A_FSB; -#Created by Constraints Editor (xc95144xl-tq100-10) - 2021/10/07 -NET "CLK_FSB" TNM_NET = CLK_FSB; -TIMESPEC TS_CLK_FSB = PERIOD "CLK_FSB" 40 ns HIGH 50%; -NET "CLK2X_IOB" TNM_NET = CLK2X_IOB; -TIMESPEC TS_CLK2X_IOB = PERIOD "CLK2X_IOB" 15.6672 MHz HIGH 50%; -NET "CLK_IOB" TNM_NET = CLK_IOB; -TIMESPEC TS_CLK_IOB = PERIOD "CLK_IOB" 7.8336 MHz HIGH 50%; diff --git a/cpld/VGA.v b/cpld/VGA.v deleted file mode 100644 index 4582240..0000000 --- a/cpld/VGA.v +++ /dev/null @@ -1,180 +0,0 @@ -module VGA( - input VCLK, - input [23:1] A, - input RnW, - input [15:0] D, - input nAS, - input nLDS, - input nUDS, - inout [7:0] RD, - output reg [14:0] RA, - output reg nRCS0, - output reg nRCS1, - output reg nRWE, - output reg nROE, - output reg Video, - output reg VSync, - output reg Hsync); - - /* Video RAM select (superset) */ - wire VidRAMWR = ~RnW && A[23:20]==4'h3 && A[19:16]==4'hF; - - /* Horizontal counter */ - reg [9:0] HC; - always @(posedge VCLK) begin - if (HC==671) HC<=0; - else HC <= HC+1; - end - - /* Horizontal sync */ - always @(posedge VCLK) begin - if (HC==0) HSync <= 1; // Visible earea end, back porch start - else if (HC==079) HSync <= 0; // Back porch end, sync start - else if (HC==148) HSync <= 1; // Sync end, front porch start - end - - /* Horizontal active */ - reg HActive = 0; - always @(posedge VCLK) begin - if (HC==0) HActive <= 0; // Visible area end, back porch start - else if (HC==160) HActive <= 1; // Visible area start (FIXME: off by 1?) - end - - /* Vertical counter */ - reg [9:0] VC; - always @(posedge VCLK) begin - if (VC==805) VC <= 0; - else if (HC==671) VC <= VC+1; // Or HC==0? - end - - /* Vertical sync */ - always @(posedge VCLK) begin - if (HC==0) VSync <= 1; // Back porch start - else if (HC==028) VSync <= 0; // Back porch end, sync start - else if (HC==034) VSync <= 1; // Sync end, front porch start - else if (HC==037) VSync <= 1; // Sync end, front porch start - //else if (HC==38) VSync <= 1; // Visible area start - end - - /* Vertical active */ - reg VActive = 0; - always @(posedge VCLK) begin - if (HC==0) VActive <= 0; // Visible area end, back porch start - else if (HC==160) VActive <= 1; // Visible area start (FIXME: off by 1?) - end - - /* AS/select synchronization */ - reg SELr1, SELr2; - always @(negedge VCLK) begin SELr0 <= ~nAS && VidRAMWR; end - always @(posedge VCLK) begin SELr1 <= SELr0; end - always @(posedge VCLK) begin SELr2 <= SELr1; end - - /* Write/AS Request */ - wire ASReqNow = ~SELr2 && SELr1; - reg ASReqSaved; - reg ASReqSaved; - wire ASReq = ASReqNow || ASReqSaved; - always @(posedge VCLK) begin - // FIXME: ASReqSaved - if (HC[2:0]==1 || HC[2:0]==4) ASReqSaved <= 0; - else if (ASReqNow) ASReqSaved <= 1; - end - - /* RAM data bus control */ - reg [7:0] RDout; - reg RDOE; - assign RD[7:0] = RDOE ? RDout[7:0] : RDOE; - always @(posedge VCLK) begin - RDOE <= HC[2:0]==1 || HC[2:0]==2 || HC[2:0]==3 || - HC[2:0]==4 || HC[2:0]==5; - end - /* Video state machine control */ - always @(posedge VCLK) begin - case (HC[2:0]) - 0: begin - RA[14:0] <= A[15:1]; - nRCS0 <= 1; - nRCS1 <= 1; - nRWE <= 1; - nROE <= 1; - end 1: begin - if (ASReq) begin - nRCS0 <= ~nLDS; - nRCS1 <= 1; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[7:0]; - nRWE <= 0; - nROE <= 1; - end 2: begin - if (~RCS0) begin - nRCS0 <= 1; - nRCS1 <= ~nUDS; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[15:8]; - nRWE <= 0; - nROE <= 1; - end 3: begin - if (nRCS1) RA[14:0] <= A[15:1]; - nRCS0 <= 1; - nRCS1 <= 1; - nRWE <= 0; - nROE <= 1; - end 4: begin - if (ASReq) begin - nRCS0 <= ~nLDS; - nRCS1 <= 1; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[7:0]; - nRWE <= 0; - nROE <= 1; - end 5: begin - if (~RCS0) begin - nRCS0 <= 1; - nRCS1 <= ~nUDS; - end else begin - nRCS0 <= 1; - nRCS1 <= 1; - end - RDout[7:0] <= D[15:8]; - nRWE <= 0; - nROE <= 1; - end 6: begin - nRCS0 <= 1; - nRCS1 <= 1; - nRWE <= 1; - nROE <= 1; - end 7: begin - RA[14:0] <= {1'b0, VC[9:1], HC[9:5]}; //FIXME: wrong address - nRCS0 <= HC[5]; //FIXME: byte ordering - nRCS1 <= ~HC[5]; - nRWE <= 1; - nROE <= 0; - end - endcase - end - - /* Video pixel output state machine */ - reg VideoShift[7:1]; - always @(posedge VCLK) begin - //FIXME: bit ordering and polarity - if (HActive && VActive) begin - if (HC[2:0]==0) Video <= RD[0]; - else Video <= VideoShift[1]; - end else Video <= 0; - end - always @(posedge VCLK) begin - //FIXME: bit ordering - if (HC[2:0]==0) VideoShift[7:1] <= RD[7:1]; - else VideoShift[6:1] <= VideoShift[7:2]; - end - -endmodule diff --git a/cpld/XC95144XL/MXSE.bld b/cpld/XC95144/MXSE.bld similarity index 68% rename from cpld/XC95144XL/MXSE.bld rename to cpld/XC95144/MXSE.bld index 7917d9c..cf937b6 100644 --- a/cpld/XC95144XL/MXSE.bld +++ b/cpld/XC95144/MXSE.bld @@ -2,16 +2,14 @@ Release 14.7 ngdbuild P.20131013 (nt) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt\unwrapped\ngdbuild.exe -intstyle -ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p -xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -Reading NGO file -"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.ngc" ... +Reading NGO file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.ngc" +... Gathering constraint information from source properties... Done. -Annotating constraints to design from ucf file -"C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf" ... +Annotating constraints to design from ucf file "MXSE.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -29,7 +27,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 130604 kilobytes +Total memory usage is 130576 kilobytes Writing NGD file "MXSE.ngd" ... Total REAL time to NGDBUILD completion: 1 sec diff --git a/cpld/XC95144/MXSE.cmd_log b/cpld/XC95144/MXSE.cmd_log new file mode 100644 index 0000000..779e4df --- /dev/null +++ b/cpld/XC95144/MXSE.cmd_log @@ -0,0 +1,46 @@ +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -i -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd +XSLTProcess MXSE_build.xml +tsim -intstyle ise MXSE MXSE.nga +hprep6 -s IEEE1149 -n MXSE -i MXSE +taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm +xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/MXSE.syr" +ngdbuild -intstyle ise -dd _ngo -uc MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd +cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd diff --git a/cpld/XC95144/MXSE.gyd b/cpld/XC95144/MXSE.gyd new file mode 100644 index 0000000..c59d459 --- /dev/null +++ b/cpld/XC95144/MXSE.gyd @@ -0,0 +1,116 @@ +Pin Freeze File: version P.20131013 + +95144XL100 XC95144XL-10-TQ100 +A_FSB<10> S:PIN89 +A_FSB<11> S:PIN66 +A_FSB<12> S:PIN65 +A_FSB<13> S:PIN12 +A_FSB<14> S:PIN63 +A_FSB<15> S:PIN86 +A_FSB<16> S:PIN91 +A_FSB<17> S:PIN82 +A_FSB<18> S:PIN93 +A_FSB<19> S:PIN61 +A_FSB<1> S:PIN72 +A_FSB<20> S:PIN14 +A_FSB<21> S:PIN80 +A_FSB<22> S:PIN95 +A_FSB<23> S:PIN78 +A_FSB<2> S:PIN55 +A_FSB<3> S:PIN67 +A_FSB<4> S:PIN59 +A_FSB<5> S:PIN16 +A_FSB<6> S:PIN97 +A_FSB<7> S:PIN76 +A_FSB<8> S:PIN73 +A_FSB<9> S:PIN71 +CLK2X_IOB S:PIN22 +CLK_FSB S:PIN23 +CLK_IOB S:PIN27 +E_IOB S:PIN53 +nAS_FSB S:PIN70 +nBERR_IOB S:PIN56 +nDTACK_IOB S:PIN20 +nLDS_FSB S:PIN9 +nRES S:PIN99 +nUDS_FSB S:PIN18 +nVPA_IOB S:PIN25 +nWE_FSB S:PIN60 +RA<11> S:PIN33 +RA<10> S:PIN19 +RA<0> S:PIN29 +RA<1> S:PIN87 +RA<2> S:PIN35 +RA<3> S:PIN37 +RA<4> S:PIN40 +RA<5> S:PIN74 +RA<6> S:PIN77 +RA<7> S:PIN79 +RA<8> S:PIN81 +RA<9> S:PIN42 +nADoutLE0 S:PIN54 +nADoutLE1 S:PIN85 +nAS_IOB S:PIN8 +nAoutOE S:PIN68 +nBERR_FSB S:PIN11 +nCAS S:PIN46 +nDTACK_FSB S:PIN64 +nDinLE S:PIN50 +nDinOE S:PIN13 +nDoutOE S:PIN10 +nLDS_IOB S:PIN6 +nOE S:PIN90 +nRAMLWE S:PIN92 +nRAMUWE S:PIN94 +nRAS S:PIN24 +nROMCS S:PIN15 +nROMWE S:PIN96 +nUDS_IOB S:PIN7 +nVMA_IOB S:PIN17 +nVPA_FSB S:PIN58 + + +;The remaining section of the .gyd file is for documentation purposes only. +;It shows where your internal equations were placed in the last successful fit. + +PARTITION FB1_1 EXP10_ nBERR_FSB_OBUF EXP11_ iobs/IORW1 + nDinOE_OBUF EXP12_ IOREQ nROMCS_OBUF + iobs/PS_FSM_FFd2 EXP13_ nVMA_IOB_OBUF BERR_IOBS + fsb/BERR0r A_FSB_21_IBUF$BUF0 cs/nOverlay0 IORW0 + EXP14_ EXP15_ +PARTITION FB2_1 iobm/RESrr iobm/RESrf iobm/IOREQr iobm/Er2 + iobm/ETACK iobm/DTACKrr iobm/DTACKrf iobm/BERRrr + iobm/BERRrf iobm/ES<3> nLDS_IOB_OBUF nUDS_IOB_OBUF + iobm/ES<1> nAS_IOB_OBUF iobm/ES<0> iobm/ES<4> + nDoutOE_OBUF iobm/ES<2> +PARTITION FB3_1 EXP16_ EXP17_ EXP18_ ram/RASEL + nRAS_OBUF EXP19_ EXP20_ ram/RAMDIS2 + EXP21_ iobs/Load1 RA_0_OBUF EXP22_ + ram/RAMReady EXP23_ A_FSB_19_IBUF$BUF0 EXP24_ + ram/RAMDIS1 EXP25_ +PARTITION FB4_1 ram/BACTr RA_1_OBUF cnt/RefCnt<7> cnt/RefCnt<6> + cnt/RefCnt<5> nOE_OBUF cnt/RefCnt<4> cnt/RefCnt<3> + nRAMLWE_OBUF cnt/RefCnt<2> fsb/BERR1r nRAMUWE_OBUF + cnt/RefDone cnt/TimeoutBPre nROMWE_OBUF TimeoutB + TimeoutA IOU0 +PARTITION FB5_1 iobs/IOACTr RA_2_OBUF fsb/ASrf cnt/RefCnt<1> + cnt/RefCnt<0> RA_3_OBUF $OpTx$$OpTx$FX_DC$355_INV$439 iobs/IOU1 + RA_4_OBUF iobm/IOS_FSM_FFd2 iobm/IOS_FSM_FFd1 RA_9_OBUF + ALE0M iobm/IOS_FSM_FFd4 nCAS_OBUF iobm/IOS_FSM_FFd3 + IOACT IOBERR +PARTITION FB6_1 ram/Once RA_5_OBUF ALE0S ram/RS_FSM_FFd3 + iobs/PS_FSM_FFd1 RA_6_OBUF iobs/IOL1 cs/nOverlay1 + RA_7_OBUF fsb/Ready0r IOL0 RA_8_OBUF + iobs/IOReady ram/RS_FSM_FFd1 nADoutLE1_OBUF iobs/Clear1 + ram/RS_FSM_FFd2 RefAck +PARTITION FB7_2 nDinLE_OBUF +PARTITION FB7_8 nADoutLE0_OBUF +PARTITION FB7_12 nVPA_FSB_OBUF +PARTITION FB7_15 iobm/VPArr iobm/VPArf iobm/Er $OpTx$FX_DC$360 + +PARTITION FB8_1 EXP26_ EXP27_ EXP28_ EXP29_ + nDTACK_FSB_OBUF EXP30_ EXP31_ fsb/Ready2r + fsb/Ready1r EXP32_ nAoutOE_OBUF EXP33_ + iobs/Once EXP34_ EXP35_ EXP36_ + EXP37_ fsb/VPA + diff --git a/cpld/XC95144/MXSE.jed b/cpld/XC95144/MXSE.jed new file mode 100644 index 0000000..24a54e5 --- /dev/null +++ b/cpld/XC95144/MXSE.jed @@ -0,0 +1,1714 @@ +Programmer Jedec Bit Map +Date Extracted: Mon Feb 07 00:05:00 2022 + +QF93312* +QP100* +QV0* +F0* +X0* +J0 0* +N VERSION P.20131013* +N DEVICE XC95144XL-10-TQ100* +N PPMAP 2 1* +N PPMAP 17 10* +N PPMAP 18 11* +N PPMAP 19 12* +N PPMAP 21 13* +N PPMAP 22 14* +N PPMAP 23 15* +N PPMAP 24 16* +N PPMAP 26 17* +N PPMAP 28 18* +N PPMAP 29 19* +N PPMAP 4 2* +N PPMAP 30 20* +N PPMAP 33 22* +N PPMAP 35 23* +N PPMAP 36 24* +N PPMAP 37 25* +N PPMAP 42 27* +N PPMAP 44 28* +N PPMAP 47 29* +N PPMAP 6 3* +N PPMAP 49 30* +N PPMAP 54 32* +N PPMAP 56 33* +N PPMAP 57 34* +N PPMAP 58 35* +N PPMAP 59 36* +N PPMAP 60 37* +N PPMAP 62 39* +N PPMAP 8 4* +N PPMAP 63 40* +N PPMAP 64 41* +N PPMAP 68 42* +N PPMAP 69 43* +N PPMAP 72 46* +N PPMAP 77 49* +N PPMAP 79 50* +N PPMAP 82 52* +N PPMAP 86 53* +N PPMAP 88 54* +N PPMAP 90 55* +N PPMAP 92 56* +N PPMAP 95 58* +N PPMAP 96 59* +N PPMAP 11 6* +N PPMAP 97 60* +N PPMAP 98 61* +N PPMAP 102 63* +N PPMAP 103 64* +N PPMAP 104 65* +N PPMAP 105 66* +N PPMAP 107 67* +N PPMAP 109 68* +N PPMAP 12 7* +N PPMAP 112 70* +N PPMAP 114 71* +N PPMAP 116 72* +N PPMAP 117 73* +N PPMAP 118 74* +N PPMAP 123 76* +N PPMAP 125 77* +N PPMAP 127 78* +N PPMAP 130 79* +N PPMAP 13 8* +N PPMAP 134 80* +N PPMAP 135 81* +N PPMAP 136 82* +N PPMAP 139 85* +N PPMAP 140 86* +N PPMAP 141 87* +N PPMAP 143 89* +N PPMAP 15 9* +N PPMAP 144 90* +N PPMAP 145 91* +N PPMAP 146 92* +N PPMAP 147 93* +N PPMAP 149 94* +N PPMAP 153 95* +N PPMAP 155 96* +N PPMAP 157 97* +N PPMAP 160 99* +L0000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0000128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0000192 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000256 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000100* +L0000320 00000000 10000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0000384 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10000000* +L0000448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0000576 000000 000000 000000 000000 000000 000000 000000 001000* +L0000624 000000 000000 100100 000101 000000 000000 000000 000000* +L0000672 001100 000000 100100 000000 000000 000000 000000 000000* +L0000720 000100 000000 000000 000000 000000 000000 000000 000000* +L0000768 000000 000000 000000 000000 000000 000000 000000 000000* +L0000816 000000 000000 000100 000000 000000 000000 000000 001000* +L0000864 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100100* +L0000928 00100000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0000992 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0001120 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00100000* +L0001184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0001248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100000* +L0001312 00000000 00000000 00100000 00000000 00000000 00000100 00000000 00000000* +L0001376 00000000 00000000 00100000 00000000 00000000 00000100 00000000 10000000* +L0001440 000000 000000 000000 000000 000000 000001 000000 100000* +L0001488 001000 000000 000000 000000 000000 000000 000000 000000* +L0001536 000000 000000 000000 000000 000010 000000 000000 100000* +L0001584 000000 000000 000001 000000 000000 000000 000000 001000* +L0001632 000000 000000 000000 000000 000000 000000 000000 001000* +L0001680 000000 000000 000000 000000 000001 000000 000000 000000* +L0001728 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000100 00000000 10000000 00000000 00000000* +L0001856 00000110 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0001920 00000110 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0001984 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002048 01000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002112 01001000 00000000 00000000 00001100 00000000 00001000 00000000 00000000* +L0002176 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0002240 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0002304 000001 000000 001000 000000 000000 000000 000000 000000* +L0002352 000000 000000 000000 011110 000000 000000 000000 000000* +L0002400 000011 000000 000000 000000 000000 000000 000000 000000* +L0002448 000110 000000 000000 000000 000000 000001 000000 000000* +L0002496 000000 000000 000000 000000 000000 000000 000000 000000* +L0002544 000000 000000 000000 000000 000000 000000 000000 000000* +L0002592 00011000 00000000 00000000 10000100 00000000 10011000 00000000 00001000* +L0002656 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0002848 00100000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* +L0002912 10000000 00000000 00000000 00011100 00000000 00000000 00000000 01000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0003216 000100 000000 000000 000000 000000 000000 000000 001000* +L0003264 100000 000000 000000 000000 000010 000000 000000 001000* +L0003312 000000 000000 000000 000000 000000 000000 000000 000000* +L0003360 000000 000000 000000 000000 000000 000000 000000 000000* +L0003408 000000 000000 000000 000000 000001 100001 000000 000000* +L0003456 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00001000* +L0003520 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003648 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0003776 00000000 00000000 00000000 00011100 00000000 00000000 00100000 01000000* +L0003840 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* +L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003968 10110000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0004032 000000 000000 000000 000000 000000 000001 000000 000000* +L0004080 000000 000000 000000 000000 000000 000000 000000 001000* +L0004128 000000 000000 000000 000000 000000 000000 000000 001000* +L0004176 000000 000000 000000 000000 000000 000000 000000 000000* +L0004224 000000 000000 000000 000000 000000 000000 000000 000000* +L0004272 000000 000000 000000 000000 000000 000000 000000 000000* +L0004320 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0004448 00000000 00000000 10100000 00000100 00000000 00000000 00000000 00000000* +L0004512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0004640 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0004704 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0004768 00000000 00000000 10100000 00001100 00000000 01000000 00000000 00000000* +L0004832 00000000 00000000 11100000 00000000 00000000 01000000 00000000 00000000* +L0004896 000001 000000 110000 000000 000000 000000 000000 000000* +L0004944 000001 000000 000001 000000 000000 000000 000000 000000* +L0004992 000000 000000 000010 000000 000000 000000 000000 000000* +L0005040 000000 000000 000001 000000 000000 000000 000000 000000* +L0005088 000000 000000 000001 000000 000000 000000 000000 000000* +L0005136 000000 000000 000001 000000 000000 000001 000000 000000* +L0005184 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005248 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0005312 00000011 00010100 00000000 00000100 00000000 00000000 00000000 00000000* +L0005376 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005440 01000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005504 00000010 00000000 00000000 00000000 10000000 10000000 00000000 00000000* +L0005568 00000001 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0005632 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005808 000000 000000 000000 000000 011010 010000 000000 000000* +L0005856 000000 000000 000000 000000 000100 001100 000000 000000* +L0005904 100000 000010 000000 000000 000000 000000 000000 000000* +L0005952 000000 000000 000000 000000 000000 000000 000000 000000* +L0006000 000000 000000 000000 000000 000000 000000 000000 000000* +L0006048 00000010 00010100 10100000 01110000 00000000 00000000 00000000 00100100* +L0006112 00000110 00000000 10100000 00000000 00000000 00000000 00000000 00100100* +L0006176 00000000 00001000 10100000 00000000 00000000 00000000 00000000 00100100* +L0006240 01000011 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0006304 00000010 00000000 01000000 00000000 00000000 00000000 00000000 00100100* +L0006368 00000000 00000000 00000000 01100000 00000000 00000000 00000000 10100000* +L0006432 00000010 00000000 00100000 00000000 10000000 10000000 00000000 10100000* +L0006496 00000010 00000000 10100000 00000000 00000000 00000000 00000000 10100000* +L0006560 00000000 00000000 11100000 00000000 00000000 00000000 00000000 10100000* +L0006624 000000 000000 110000 000000 000000 000000 000000 101000* +L0006672 000000 000010 000001 100000 000100 001100 000000 000000* +L0006720 000000 000000 000010 000000 011000 010000 000000 100000* +L0006768 000000 000001 000001 000000 000000 000000 000000 001000* +L0006816 000000 000000 000001 000000 000000 000000 000000 001000* +L0006864 000000 000000 000001 000000 000000 000000 000000 001000* +L0006912 00000000 00100000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006976 00000000 00000000 00000000 00001100 00000000 00000100 00000000 00000000* +L0007040 00000000 00000000 00000000 00000100 00000000 01000000 00000000 00000000* +L0007104 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0007360 00010000 00000000 00000000 00001100 00000000 00000100 00000000 00000000* +L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007488 010000 000000 000000 000000 000000 000000 000000 000000* +L0007536 000000 010000 000000 000000 000000 000000 000000 000000* +L0007584 000000 000000 000000 000000 000000 000000 000000 000000* +L0007632 000000 000000 000000 000000 000000 000000 000000 000000* +L0007680 010000 000000 000000 000000 000000 000000 000000 000000* +L0007728 000000 000000 000000 000000 000000 000000 000000 000000* +L0007776 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0008096 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008160 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008224 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0008288 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0008352 000001 000000 000000 000000 000000 000000 000000 000000* +L0008400 000001 000000 000000 100000 000000 000000 000000 000100* +L0008448 000001 000000 000000 000000 000100 000000 000000 000000* +L0008496 000001 000000 000000 000000 000000 000000 000000 000100* +L0008544 000000 000000 000000 000000 000000 000000 000000 000000* +L0008592 000000 000000 000000 000000 000000 000001 000001 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008768 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00001000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0009216 000000 000000 000000 000000 000000 000000 000000 010000* +L0009264 000000 000000 000000 000000 000000 000000 000000 000000* +L0009312 000000 000000 000000 000000 000000 000000 000000 000000* +L0009360 000000 000000 000000 000000 000000 000000 000000 000000* +L0009408 000000 000000 000000 000000 000000 000000 000000 000000* +L0009456 000000 000000 000000 000000 000000 000000 000000 000001* +L0009504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0009568 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* +L0009632 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* +L0009696 00000000 00000000 00000000 00000000 10000100 00000000 00000000 10110100* +L0009760 00000000 00000000 00000000 00000000 10000100 00000000 00000000 11110100* +L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0009952 00000000 00000000 00000000 00000000 00001100 00000000 00000000 10100000* +L0010016 00000000 00000000 00000000 00000000 00000100 00000000 00000000 10100000* +L0010080 000000 000000 000000 000000 000001 000000 000000 101001* +L0010128 000000 000000 000000 000000 000000 000000 000000 100000* +L0010176 000000 000000 000000 000000 000011 000000 000000 100000* +L0010224 000000 000000 000000 000000 000001 000000 000000 011000* +L0010272 000000 000000 000000 000000 000001 000000 000000 011000* +L0010320 000000 000000 000000 000000 000001 000000 000000 011000* +L0010368 00000000 00000011 00000001 00000011 00000011 00000011 00000000 10000100* +L0010432 00000010 00000011 00000001 00001111 00000011 00000011 00000010 10000100* +L0010496 00000001 00000011 00000000 00000111 00000011 00000011 00000001 10000100* +L0010560 00000111 00000011 00000011 00000011 00000011 00000011 00000000 10000101* +L0010624 00000111 00000011 00000000 00000011 00000001 00000011 00000000 10000110* +L0010688 00000100 00000011 00000001 00000011 00000011 00000011 00000001 10000100* +L0010752 00000111 00000011 00000000 00001111 00000011 00000011 00000001 10000100* +L0010816 00000110 00000011 00000011 00001111 00000011 00000011 00000011 10000110* +L0010880 00000110 00000011 00000000 00000011 00000011 00000011 00000001 10000111* +L0010944 000001 000000 000000 000000 000000 000000 000000 100001* +L0010992 000001 000000 000000 000000 000000 000000 000000 000001* +L0011040 000001 000000 000000 000000 000000 000000 000000 000001* +L0011088 100011 000000 000000 000000 000000 000000 000000 000001* +L0011136 000000 000000 000000 000000 000000 000000 000000 000001* +L0011184 000010 000000 000000 000000 000000 000000 000000 000001* +L0011232 00000011 00000000 00000010 01000000 00000000 00000000 00000000 00000011* +L0011296 00000001 00000000 00000010 00000000 00000000 00000000 00000000 00000011* +L0011360 00000110 00000000 00000011 00000000 00000000 00000000 00000000 00000011* +L0011424 01000000 00000000 00000000 00000000 10000100 00000000 00000000 00000010* +L0011488 00010000 00000000 00000011 00000000 00000000 00000000 00000000 00000001* +L0011552 01000011 00000000 00000010 01000000 00000000 00000000 00000000 00000011* +L0011616 01000000 00000000 00000011 00000000 00000000 00010000 00000000 00000011* +L0011680 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0011744 00000001 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0011808 000000 000000 000000 000000 000001 000000 000000 000000* +L0011856 000010 100000 000000 100000 000000 000000 000000 000000* +L0011904 000010 000000 000000 000000 000000 000000 000000 000000* +L0011952 000000 000000 000000 000000 000000 000000 000000 000000* +L0012000 000010 000000 000000 000000 000001 000000 000000 000000* +L0012048 010000 000000 001000 000000 000000 000000 000000 000000* +L0012096 00000000 00000001 00100001 00000000 00000001 00000011 00000000 00100100* +L0012160 00000010 00000001 00100001 00001111 00000011 00000011 00000010 00100100* +L0012224 00010001 00000001 00100000 00000100 00000001 00010001 00000000 00100100* +L0012288 00000011 00000001 00000011 00000001 00000001 10000011 00000000 00000101* +L0012352 00000010 00000001 00000000 00000001 00000001 10000011 00000000 00000110* +L0012416 00000100 00000001 00000000 00000000 00000010 00000011 00000000 10100000* +L0012480 00000111 00000001 00100000 00001101 00000001 00000000 00000000 10100000* +L0012544 00000100 00000001 00100011 00001101 00000001 00000001 00000000 10100010* +L0012608 00000110 00000001 00100000 00000001 00000011 00001010 00000000 00100001* +L0012672 000000 000000 000000 000000 000000 000010 000000 001000* +L0012720 000000 000000 000000 000000 000000 000000 000000 000000* +L0012768 010000 000000 010000 000000 000000 000000 000000 100000* +L0012816 000000 000000 010000 000000 000000 000001 000000 001000* +L0012864 000000 000000 000000 000000 000000 100001 000000 001000* +L0012912 101000 000000 000000 000000 000000 000000 000000 001000* +L0012960 00000001 00000000 00000010 01100000 00000010 00000000 00000000 00000011* +L0013024 00000001 00000000 00000010 00000000 00000000 10010000 00000000 00000010* +L0013088 00000010 00000000 00000011 00000000 10000100 10000010 00000000 00000011* +L0013152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0013216 00000001 00000000 00000011 00000000 00000000 00000100 00000000 00000001* +L0013280 00000011 00000000 00100011 01000000 00000001 00000000 00000000 00000011* +L0013344 00000000 00000000 00000011 00000000 00000000 00001001 00000000 00000011* +L0013408 00000011 00000000 00000000 00000000 00000000 00001000 00000000 00000001* +L0013472 00000001 00000000 00000011 00000000 00000100 00000001 00000000 00000010* +L0013536 101101 000000 000000 000000 000000 000000 000000 000000* +L0013584 000001 000000 000000 100000 000000 000000 000001 000000* +L0013632 000001 000000 000000 000000 000000 000001 000000 000000* +L0013680 000001 000000 000000 000000 000001 100000 000000 000000* +L0013728 000000 000000 000000 000000 000000 000000 000000 000000* +L0013776 000000 000000 000000 000000 000000 000000 000000 000000* +L0013824 00110100 00000001 00000001 00000000 00000000 00000011 00000000 00010000* +L0013888 00010100 00000001 00000000 00000000 00000000 00001000 00000000 10010000* +L0013952 00110101 00000001 00000000 00000000 00000000 00001000 00000000 10010000* +L0014016 00111111 00000001 00000011 00000000 00000000 00001011 00000000 11010001* +L0014080 00110100 00000000 00000000 00000001 00000001 00000001 00000000 11010010* +L0014144 00010100 00000001 00000000 00000000 00000000 00000000 00000000 00010000* +L0014208 00110111 00000001 00000000 00000001 00000001 00000000 00000000 00000000* +L0014272 00110100 00000000 00010011 00000001 00000001 00000001 00000000 00000110* +L0014336 00110110 00000001 00000000 00000000 00000001 00000000 00000000 00000001* +L0014400 001101 000000 000000 000000 000000 000000 000000 000001* +L0014448 110011 000000 000000 000000 000000 000000 000000 100000* +L0014496 011111 000000 000000 000000 000000 000000 000000 000000* +L0014544 011111 000000 000000 000000 000000 000000 000000 010000* +L0014592 011010 000000 000000 000000 000000 000000 000000 010000* +L0014640 010010 000000 000000 000000 000000 000000 000000 010000* +L0014688 00000001 00000000 00000010 00000000 00000010 00000100 00000000 00000011* +L0014752 00000011 00000000 00000011 00000000 00000000 00000000 00000000 00000010* +L0014816 00000010 00000000 00000011 00000000 10000100 00000010 00000000 00000001* +L0014880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100010* +L0014944 00000011 00000000 00000011 00000000 00000000 00000010 00000000 00000001* +L0015008 00000011 00000000 00000010 00000000 00000000 01000000 00000000 00000010* +L0015072 00000000 00000000 00000011 00000000 00000000 00000001 00000000 00000011* +L0015136 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0015200 00000001 00000000 00000011 00000000 00000100 00010001 00000000 10000010* +L0015264 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 001000 000000 000000 000000 000000 100000 000000 000000* +L0015360 000000 000000 000000 000000 000000 000000 000000 000000* +L0015408 000000 000000 000000 000000 000001 000000 000000 000000* +L0015456 000000 000000 000000 000001 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000000 000000 000000 000000* +L0015552 00000000 00000000 00000001 00000000 00010000 00000010 00000000 10000000* +L0015616 00000000 00000001 00000000 00001100 00001000 00000001 00000000 00000000* +L0015680 00000000 00000001 00000000 00000100 00000000 00000000 00000000 00000000* +L0015744 00000010 00000000 00000011 00000000 00000000 00000011 00000000 00000001* +L0015808 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000010* +L0015872 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0015936 00000011 00000001 00000000 00001100 00010101 00000010 00000000 00000000* +L0016000 00000000 00000000 00000011 00001100 00001011 00000011 00000000 00000010* +L0016064 00000010 00000001 00000000 00000001 00001001 00000000 00000000 00000101* +L0016128 000000 000000 000000 000000 000000 000000 000000 000000* +L0016176 000000 000000 000000 000000 000001 000000 000000 010000* +L0016224 000000 000000 000000 000000 000000 000000 000000 010000* +L0016272 000000 000000 000000 000000 000000 000000 000000 000000* +L0016320 000100 000000 000000 000000 000000 000000 000000 000000* +L0016368 000100 000000 000000 000000 000000 000000 000000 000000* +L0016416 00000001 00000000 00000000 01100000 00000010 00000000 00000000 00000011* +L0016480 00000011 00000000 00000011 00000000 10000000 00000001 00000000 00000010* +L0016544 00000011 00000000 00000011 00000000 10000000 00000010 00000000 00000001* +L0016608 00000001 00000000 00000000 00000000 10000000 00100000 00000000 00000010* +L0016672 00000010 00000000 00000011 00000000 10000100 00000010 00000000 00000001* +L0016736 00000011 00000000 00000000 01100000 00001000 00000000 00000100 00000010* +L0016800 00000000 00000000 00010001 00000000 00000000 00000011 00000000 00000011* +L0016864 00000011 00000000 00000000 00000000 00000110 00000010 00000000 00000001* +L0016928 00000000 00000000 00000011 00000001 00000100 00000001 00000000 00000010* +L0016992 000000 000000 000000 000000 000001 000000 000000 000000* +L0017040 000000 000000 000000 100000 000000 000010 000000 000000* +L0017088 000000 000000 000000 000000 000000 000000 000000 000000* +L0017136 000000 000000 000000 000000 000000 000000 000000 000000* +L0017184 000000 000000 000000 000000 000000 000000 000000 000000* +L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0017280 00000000 00000000 00000001 00000000 00010000 00100010 00000000 00000000* +L0017344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017472 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00100001* +L0017536 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00100010* +L0017600 00000000 00010000 00000000 00000000 00000000 00010000 00000000 00000000* +L0017664 00000011 00000000 00000000 00010000 00010000 00000000 00000000 00000000* +L0017728 00000000 00000000 00000001 00000000 00000001 00000001 00000000 00000010* +L0017792 00000000 00000001 00000000 00000000 00000001 00000000 00000001 10000001* +L0017856 000000 000000 000000 000000 000000 000000 000000 100000* +L0017904 000000 000000 000000 000000 000001 000000 000000 000000* +L0017952 000000 000000 000000 000000 000000 000000 000000 000000* +L0018000 000000 000000 000000 000000 000000 000000 000000 000000* +L0018048 000100 000000 000000 000000 000000 000000 000000 000000* +L0018096 000000 000000 000000 000000 000000 000000 000000 000000* +L0018144 00000001 00000000 00000000 00000000 00001010 00000000 00000000 00000011* +L0018208 00000011 00000000 00000011 00000000 10010000 00000000 00000000 00000010* +L0018272 00000011 00000000 00000011 00000000 10000000 00000010 00000000 00000001* +L0018336 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000010* +L0018400 00000010 00000000 00000011 00000000 10000100 00000000 00000000 00000001* +L0018464 00000011 00000000 00000000 00000000 00001100 00000000 00000000 00000010* +L0018528 00000000 00000000 00000001 00000000 00001001 00000001 10000000 00000011* +L0018592 00000011 00000000 00000010 00000000 00000100 00000000 00000000 00000001* +L0018656 00000010 00000000 00000011 00000000 00000100 00000001 00000001 01000010* +L0018720 000000 000000 000000 000000 000001 000000 000000 000000* +L0018768 000000 000000 000000 000000 000000 000000 000000 000000* +L0018816 000000 000000 000000 000000 000000 000000 000000 000000* +L0018864 000000 000000 000000 000000 000000 000000 000000 000001* +L0018912 000000 000000 000000 000000 000000 000000 000000 000000* +L0018960 000100 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000000 00000000 00000001 00000010 00000001 00000001 00000000 00000000* +L0019072 00000000 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0019136 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0019200 00000011 00000001 00000001 00000001 00000001 00000010 00000000 00100001* +L0019264 00000000 00000000 00000010 00000000 00000011 00000000 00000000 00100000* +L0019328 00000000 00000001 00000000 00000011 00000000 00000001 00000000 00000000* +L0019392 00000010 00000000 00000000 00010000 00000001 00000000 00000000 00000000* +L0019456 00000010 00000000 00000000 00000000 00000001 00000001 00000000 00000010* +L0019520 00000010 00000001 00000000 00000010 00000000 00000000 00000001 10000010* +L0019584 000000 000000 000000 000000 000000 000000 000000 100000* +L0019632 000000 000000 000000 000000 000000 000000 000000 000000* +L0019680 000000 000000 000000 000000 000000 100000 000000 000000* +L0019728 000000 000000 000000 000000 000000 000000 000000 000000* +L0019776 000000 000000 000000 000000 000000 000000 000000 000000* +L0019824 000000 000000 000000 000000 000000 000000 000000 000000* +L0019872 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000000* +L0019936 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020000 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0020064 00000010 00000000 00000011 00000000 00000000 10000010 00000000 00000001* +L0020128 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000010* +L0020192 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020256 00000011 00000000 00000000 00000000 00000001 00000000 00000000 01000000* +L0020320 00000000 00000000 00000011 00000000 00000001 00000001 00000000 00000000* +L0020384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000001* +L0020448 000000 000000 000000 000000 000000 000010 000000 000000* +L0020496 000000 000000 000000 000000 000000 000000 000000 000001* +L0020544 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000000 000000 000000 000000 000001 000000 000000* +L0020640 000000 000000 000000 000000 000000 000001 000000 000000* +L0020688 000000 000000 000000 000000 000000 000000 000000 000000* +L0020736 00000000 00000000 10101101 00001000 00000000 00000000 00000000 00000000* +L0020800 00000001 00000000 10101100 00000000 00000000 00010000 00000000 00000000* +L0020864 00000001 00000000 11101100 00000000 00000000 00010000 00000000 00000000* +L0020928 00000010 00000000 01001111 00000000 00000000 00000010 00000000 00100001* +L0020992 00000000 00000000 01000110 00000000 00000000 00000000 00000000 00100010* +L0021056 00000000 00000000 01000000 00000000 00000000 00000000 00100000 00000000* +L0021120 00000011 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0021184 00000010 00000000 11100001 00000000 00000000 00000001 00000000 00000010* +L0021248 00000010 00000000 11101100 00000000 00000001 00000000 00000000 10000011* +L0021312 000000 000000 110011 000000 000000 000000 000000 100000* +L0021360 000000 000000 000001 000000 000000 000000 000000 000000* +L0021408 000000 000000 000011 000000 000000 000000 000000 000000* +L0021456 000000 000000 100101 000000 000000 000000 000000 000000* +L0021504 000100 000000 100101 000000 000000 000000 000000 000000* +L0021552 000000 000000 100001 000000 000000 000000 000000 000000* +L0021600 00000001 00000000 00000010 00000000 00000010 00000000 00000000 00000011* +L0021664 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000011* +L0021728 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021792 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0021856 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00000001* +L0021920 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00000011* +L0021984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0022048 00000011 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0022112 00000011 00000000 00000001 00000000 00000000 00000001 00000000 00000010* +L0022176 000000 000000 000000 000000 000000 000000 000000 000000* +L0022224 000000 000000 000000 000000 000000 000000 000000 000000* +L0022272 000000 000000 000000 000000 000000 000000 000000 000001* +L0022320 000000 000000 000000 000000 000000 000000 000000 000000* +L0022368 000000 000000 000000 000000 000000 000000 000000 000000* +L0022416 000100 000000 000000 000000 000000 000000 000000 000000* +L0022464 00000000 00000011 00000001 00000011 00001111 01000011 00000000 00000000* +L0022528 00000011 00000011 00000001 00000011 10011111 11000111 00000010 00000001* +L0022592 00000001 00000011 00000000 00000011 10000111 11000111 00000001 00000000* +L0022656 00000011 00000011 00000011 00000011 10000111 11000111 00000000 00000001* +L0022720 00000011 00000011 00000010 00000011 10000111 11000111 00000000 00000010* +L0022784 00000001 00000011 00000001 00000011 00010111 00000011 10000001 00000000* +L0022848 00000011 00000011 00000000 00001011 00001111 00001111 00000001 00000000* +L0022912 00000010 00000011 00000011 00001011 00001111 00001111 00000011 00000010* +L0022976 00000010 00000011 00000000 00000011 00001111 00001111 00000001 00000011* +L0023040 000000 000000 000000 000000 000001 000011 000000 000000* +L0023088 000000 000000 000000 000000 000000 000001 000000 000000* +L0023136 000000 000000 000000 000000 000011 100001 000000 000000* +L0023184 000000 000000 000000 000000 000001 100001 000000 000000* +L0023232 000000 000000 000000 000000 000001 100001 000000 000000* +L0023280 000000 000000 000000 000000 000001 100000 000000 000000* +L0023328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0023392 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00100100* +L0023456 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0023520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0023584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0023648 00000000 00000000 00000000 00001000 00000000 00000000 00000000 10100000* +L0023712 00000000 00000000 00000000 00000000 00010000 00000000 10000000 10100000* +L0023776 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00100000* +L0023840 00000000 00000000 00000000 00000000 00000000 01000000 00000000 10100000* +L0023904 000000 000000 000000 000000 000000 000000 000000 100000* +L0023952 000000 000000 000000 000000 000001 000000 000000 000000* +L0024000 000000 000000 000000 000000 000000 000000 000000 000000* +L0024048 000000 000000 000000 000000 000000 000000 000000 000000* +L0024096 000000 000000 000000 000000 000000 000000 000000 000000* +L0024144 000000 000000 000000 000000 000000 000001 000000 000000* +L0024192 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* +L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000000 000000* +L0024816 000000 000000 000000 000000 000000 000000 000000 100000* +L0024864 000000 000000 000000 000001 000001 000000 000000 000100* +L0024912 000000 000000 000000 000000 000001 000000 000000 000100* +L0024960 000100 000000 000000 000000 000001 000000 000000 000000* +L0025008 000000 000000 000000 000000 000001 000000 000000 010000* +L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025184 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 000000 000000 000000 000000 000000 000000* +L0025680 000000 000000 000000 000001 000000 000000 000000 000000* +L0025728 000000 000000 000000 000000 000000 000000 000000 000000* +L0025776 000000 000000 000000 000000 000000 000000 000000 000000* +L0025824 000000 000000 000000 000000 000000 000000 000000 000000* +L0025872 000100 000000 000000 000000 000000 000000 000000 000000* +L0025920 00000000 00000000 00000000 00000000 00011100 00000000 00000000 00000000* +L0025984 00000111 00000001 00000001 00000110 00011010 00000010 00000010 00000001* +L0026048 00000100 00000001 00000000 00000101 00000001 00000001 00000001 00000000* +L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0026176 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00100010* +L0026240 00000000 00000000 00000001 00000011 00010111 00000011 00000000 00000000* +L0026304 00000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* +L0026368 00000010 00000001 00000000 00000000 00001000 00000000 00000010 00000000* +L0026432 00000000 00000000 00000000 00000010 00001010 00000010 00000000 10000000* +L0026496 000000 000000 000000 000000 000000 000000 000000 100000* +L0026544 000010 000000 000000 000000 000001 000000 000000 000000* +L0026592 000010 000010 000000 000000 000000 000010 000000 000000* +L0026640 000000 000010 000000 000000 000000 000000 000000 000000* +L0026688 000010 000000 000000 000000 000000 000000 000000 000000* +L0026736 000000 000000 000000 000000 000000 000000 000000 000000* +L0026784 00000100 00000100 00000000 00000100 00000000 00000000 00000000 01000000* +L0026848 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* +L0026912 00000000 00000000 00000000 00000000 10000000 00000000 00000000 01000000* +L0026976 00000100 00000100 00000000 00000000 10000000 00000000 00000000 00000000* +L0027040 00000100 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0027104 00000100 01000000 00000000 00000000 00001000 00000000 10000000 00000100* +L0027168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0027232 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027296 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027360 000001 000000 000000 000000 000000 000000 000000 010000* +L0027408 000001 000000 000000 000000 000000 000000 000000 000000* +L0027456 000001 000000 000000 000000 000001 000000 000000 000000* +L0027504 000011 000000 000000 000000 000001 000000 000000 000000* +L0027552 000000 000000 000000 000000 000001 000000 000000 000001* +L0027600 000010 000001 000000 000000 000001 000000 000000 000001* +L0027648 00000000 00010100 00000000 00000000 00000000 01000000 00000100 00100100* +L0027712 00000010 00000000 00000001 00000010 00000010 11000010 00000000 00100101* +L0027776 00000000 00001000 00000000 00000001 00000001 11000001 00000001 00100100* +L0027840 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000100* +L0027904 00000011 00000000 00000010 00000000 00000000 11000000 00000000 00000100* +L0027968 00000000 00000000 00000001 00000011 00000010 00000010 00000000 10100000* +L0028032 00000000 00000000 00000000 00000000 00000010 00001000 00000000 10100000* +L0028096 00000010 00000000 00000000 00000000 00000000 00001000 00000010 10100000* +L0028160 00000000 00000000 00000000 00000010 00000010 00001010 00000001 00100000* +L0028224 000000 000000 000000 000000 000000 000010 000000 001000* +L0028272 000000 000010 000000 000000 000000 000000 000010 000000* +L0028320 000000 000000 010000 000000 000000 100000 000000 100000* +L0028368 000000 000001 010000 000000 000000 100000 000000 001000* +L0028416 000000 000000 000000 000000 000000 100000 000000 001000* +L0028464 000000 000000 000000 000000 000000 100000 000000 001000* +L0028512 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028576 00000000 00010100 00000000 00000000 00000000 00000000 00000000 00000000* +L0028640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028832 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0028960 00000000 00000000 00000000 00000000 00000000 01000000 00000001 00000000* +L0029024 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0029088 000000 000000 000000 000000 000000 000000 000000 000000* +L0029136 000000 000000 000000 000000 000000 000001 000000 000000* +L0029184 000000 000010 000000 000000 000000 000000 000000 000000* +L0029232 000000 000000 000000 000000 000000 000000 000000 000000* +L0029280 000000 000000 000000 000000 000000 000000 000000 000000* +L0029328 000100 000000 000000 000000 000000 000001 000000 000000* +L0029376 00000000 00000011 00000000 00001000 00000001 00000000 00000000 00100000* +L0029440 00000001 00000011 00000000 00000000 00000001 00000000 00000010 00100000* +L0029504 00000000 00000011 00000000 00000000 00000000 00001000 00000000 00100000* +L0029568 00000000 00000011 00000000 00000000 00000001 00001000 00000000 00000000* +L0029632 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* +L0029696 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* +L0029760 00000000 00000011 00000000 00000000 00000001 00000000 00000001 00000000* +L0029824 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* +L0029888 00000000 00000011 00000000 00000000 00000001 00000000 00000000 00000000* +L0029952 000000 000000 000000 000000 000000 000000 000000 000000* +L0030000 000000 000100 000000 000000 000000 000000 000000 000000* +L0030048 000000 000000 000000 000000 000001 000000 000000 100000* +L0030096 000000 000000 000000 000000 000001 000000 000000 000000* +L0030144 000100 000000 000000 000000 000001 000000 000000 000000* +L0030192 000000 000000 000000 000000 000001 000000 000000 000000* +L0030240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0030304 00000000 00000011 00000000 00000000 10000000 00001000 00000010 00000000* +L0030368 00000000 00000011 00000000 00000000 10000010 00000000 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0030496 00000000 00000001 00000000 00000000 10000000 00000000 00000000 00000000* +L0030560 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0030624 00000000 00000010 00000000 00000000 00000000 00000000 00000001 00000000* +L0030688 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* +L0030752 00000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* +L0030816 000000 000000 000000 000000 000000 000000 000000 000000* +L0030864 000000 000000 010000 000000 000001 000000 000100 000000* +L0030912 000000 000000 000000 000000 000000 000000 000000 000000* +L0030960 000000 000000 000000 000000 000000 000000 000000 000000* +L0031008 000000 000000 000000 000000 000000 000000 000000 000000* +L0031056 000100 000000 000000 000000 000000 000000 000000 000000* +L0031104 00000000 00000000 10000100 00000000 00000000 00000000 00000000 00000000* +L0031168 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00010000* +L0031232 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0031296 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031552 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031680 000000 000000 000000 000000 000000 000000 000000 000001* +L0031728 000000 000000 001000 000000 000000 000000 000000 000000* +L0031776 000000 000000 000000 000000 000000 000000 000000 000000* +L0031824 000000 000000 100000 000000 000000 000000 000000 000000* +L0031872 000000 000000 100000 000000 000000 000000 000000 000100* +L0031920 000000 000000 000000 000000 000000 000000 000000 000000* +L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0032032 00000000 00000000 00100000 00000000 00000000 00000001 00000000 00100100* +L0032096 00000000 00000000 00100000 00000000 00000000 00000000 00000000 01100100* +L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0032224 00000000 00000000 00001000 00000000 00000000 00000000 00000000 10110100* +L0032288 00000000 00000000 10000000 00000000 00000000 00000000 00000000 10111000* +L0032352 00000000 00000000 10000000 00000000 00000000 00000010 00000000 10101100* +L0032416 00000000 00000000 00010000 00000000 00000010 00000010 00000000 10101000* +L0032480 00000000 00000000 00010000 00000001 00000000 00000000 00000000 10101000* +L0032544 000000 000000 001100 000000 000000 000000 000000 101010* +L0032592 000000 000000 000000 000000 000000 000000 000000 100010* +L0032640 000000 000000 000000 000000 000000 000000 000000 110010* +L0032688 000000 000000 011000 000000 000000 000000 000000 001000* +L0032736 000000 000000 001000 000000 000000 000000 000000 001000* +L0032784 000000 000000 001100 000000 000000 000000 000000 011000* +L0032832 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000100* +L0032896 00010000 00000000 00001000 00000000 00000000 10010000 00000000 01000100* +L0032960 00010000 00000000 10000000 00000000 00000000 10000000 00000000 00000000* +L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0033088 00000000 00000000 00000100 00000000 00000000 00000100 00000000 10010100* +L0033152 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00010100* +L0033216 00000000 00000000 01000000 00000000 00000000 00001000 00000000 00100000* +L0033280 00000000 00000000 01000000 00000000 00000000 00001000 00000000 00000000* +L0033344 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00100100* +L0033408 000001 000000 100000 000000 000000 000000 000000 000000* +L0033456 010001 000000 101100 000000 000000 000000 000000 110000* +L0033504 010001 000000 111101 000000 000000 000001 000000 000000* +L0033552 000001 000000 000000 000000 000000 000000 000000 000000* +L0033600 100000 000000 000100 000000 000000 100000 000000 001100* +L0033648 100000 000000 000000 000000 000000 000000 000000 011100* +L0033696 10000000 00000001 10001100 00000001 00000000 00000010 00000000 10000000* +L0033760 00000001 00000000 10100100 00000000 00000000 00000000 00000000 10010000* +L0033824 00001001 00000000 00100100 00000010 00000000 00010000 00000000 10010100* +L0033888 00000010 00000000 00000100 00000010 00000010 10000011 00000000 00111000* +L0033952 00000000 00000000 00001000 00000011 00000010 10000001 00000000 01101000* +L0034016 00100101 00000001 11000000 00000000 00000000 00000000 00000000 10100000* +L0034080 01001101 00000001 10000000 00000011 00000000 00000000 00000000 10000000* +L0034144 00001100 00000000 10000010 00000011 00000000 00000001 00000000 10100000* +L0034208 00000100 00000000 10000000 00000000 00000001 00001000 00000000 10000000* +L0034272 101100 000000 000100 000000 000000 000010 000000 101001* +L0034320 000000 000000 000000 000000 000000 000000 000000 000000* +L0034368 000010 000000 000000 000000 000000 100000 000000 010010* +L0034416 000010 000000 110100 000000 000000 100001 000000 011000* +L0034464 000010 000000 100000 000000 000000 000001 000000 010000* +L0034512 000010 000000 100100 000000 000000 000000 000000 000000* +L0034560 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0034624 00000000 00000000 01100000 00000000 00000000 00000000 00000000 00000000* +L0034688 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0034880 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035008 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0035072 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0035136 000000 000000 000000 000000 000000 000000 000000 000000* +L0035184 000000 000000 000000 000000 000000 000000 000000 000000* +L0035232 000000 000000 000000 000000 000000 000000 000000 000000* +L0035280 000000 000000 000000 000000 000000 000000 000000 000000* +L0035328 000000 000000 000000 000000 000000 000000 000000 000000* +L0035376 000000 000000 000000 000000 000000 000000 000000 000000* +L0035424 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035808 00000000 00000000 00100100 00000000 00000000 00000000 00000000 00000000* +L0035872 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000000* +L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036000 000000 000000 010000 000000 000000 000000 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036096 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 000000 000000 000000* +L0036192 000000 000000 000001 000000 000000 000000 000000 000000* +L0036240 000000 000000 000000 000000 000000 000000 000000 000000* +L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0036672 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036736 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036800 01000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* +L0036864 000000 000000 000000 000000 000000 000000 000000 000000* +L0036912 000000 000000 000000 000000 000000 000000 000000 000000* +L0036960 000000 000000 000000 000000 000000 000000 000000 000000* +L0037008 000000 000000 000000 000000 000000 000000 000000 000000* +L0037056 000000 000000 000000 000000 000000 000000 000000 000000* +L0037104 000000 000000 000000 000000 000000 000000 000000 000000* +L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037472 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037536 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037600 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037664 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0037728 000001 000000 000000 000000 000000 000000 000000 000000* +L0037776 000001 000000 000000 000000 000000 000000 000000 000000* +L0037824 000001 000000 000000 000000 000000 000000 000000 000000* +L0037872 000001 000000 000000 000000 000000 000000 000000 000000* +L0037920 000000 000000 000000 000000 000000 000000 000000 000000* +L0037968 000000 000000 000000 000000 000000 000000 000000 000000* +L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038080 00000011 00000001 00000001 00000010 00000010 00000010 00000010 00000001* +L0038144 00000000 00000001 00000000 00000001 00000001 00000001 00000001 00000000* +L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038272 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00000010* +L0038336 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000000* +L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0038464 00000010 00000001 00000000 00000000 00000000 00000000 00000010 00000000* +L0038528 00000000 00000000 00000000 00000010 00000010 00000010 00000000 00000000* +L0038592 000000 000000 000000 000000 000000 000000 000000 000000* +L0038640 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038736 000000 000000 000000 000000 000000 000000 000000 000000* +L0038784 000000 000000 000000 000000 000000 000000 000000 000000* +L0038832 000000 000000 000000 000000 000000 000000 000000 000000* +L0038880 00000011 00000011 00000011 00000011 00000011 00000011 00000000 00000011* +L0038944 00000011 00000011 00000011 00000011 00000011 00000011 00000010 00000011* +L0039008 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039072 00000011 00000011 00000011 00000011 00000011 00000011 00000000 00000011* +L0039136 00000011 00000011 00000011 00000011 00000001 00000011 00000000 00000011* +L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039264 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039328 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* +L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000001 00000011* +L0039456 000000 000000 000000 000000 000000 000000 000000 000000* +L0039504 000000 000000 000000 000000 000000 000000 000000 000000* +L0039552 000000 000000 000000 000000 000000 000000 000000 000000* +L0039600 000000 000000 000000 000000 000000 000000 000000 000000* +L0039648 000000 000000 000000 000000 000000 000000 000000 000000* +L0039696 000000 000000 000000 000000 000000 000000 000000 000000* +L0039744 00000001 00000001 00000011 00000000 00000011 00000011 00000000 00000011* +L0039808 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000010* +L0039872 00000011 00000001 00000011 00000000 00000001 00000011 00000000 00000011* +L0039936 00000011 00000001 00000011 00000001 00000001 00000011 00000000 00000011* +L0040000 00000011 00000001 00000011 00000001 00000001 00000011 00000000 00000011* +L0040064 00000011 00000001 00000011 00000000 00000011 00000011 00000000 00000011* +L0040128 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* +L0040192 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* +L0040256 00000011 00000001 00000011 00000001 00000011 00000011 00000000 00000011* +L0040320 000000 000000 000000 000000 000000 000000 000000 000000* +L0040368 000000 000000 000000 000000 000000 000000 000000 000000* +L0040416 000000 000000 000000 000000 000000 000000 000000 000000* +L0040464 000000 000000 000000 000000 000000 000000 000000 000000* +L0040512 000000 000000 000000 000000 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000 000000 000000 000000 000000* +L0040608 00000001 00000001 00000011 00000000 00000010 00000011 00000000 00000011* +L0040672 00000011 00000001 00000011 00000000 00000000 00000000 00000000 00000010* +L0040736 00000011 00000001 00000011 00000000 00000000 00000010 00000000 00000001* +L0040800 00000011 00000001 00000011 00000000 00000000 00000011 00000000 00000011* +L0040864 00000011 00000000 00000011 00000001 00000001 00000011 00000000 00000011* +L0040928 00000011 00000001 00000010 00000000 00000000 00000000 00000000 00000010* +L0040992 00000011 00000001 00000011 00000001 00000001 00000001 00000000 00000011* +L0041056 00000011 00000000 00000011 00000001 00000001 00000001 00000000 00000011* +L0041120 00000011 00000001 00000011 00000000 00000001 00000001 00000000 00000011* +L0041184 000000 000000 000000 000000 000000 000000 000000 000000* +L0041232 000000 000000 000000 000000 000000 000000 000000 000000* +L0041280 000000 000000 000000 000000 000000 010000 000000 000000* +L0041328 000000 000000 000000 000000 000000 000000 000000 000000* +L0041376 000000 000000 000000 000000 000000 000000 000000 000000* +L0041424 000000 000000 000000 000000 000000 000000 000000 000000* +L0041472 00000001 00000000 00000001 00000000 00000010 00000010 00000000 10000011* +L0041536 00000011 00000001 00000011 00000000 00000000 10000001 00000000 00000010* +L0041600 00000011 00000001 00000011 00000000 00000000 10000010 00000000 00000001* +L0041664 00000011 00000000 00000011 00000000 00000000 10000011 00000000 00000011* +L0041728 00000010 00000000 00000011 00000000 00000001 10000011 00000000 00000011* +L0041792 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0041856 00000011 00000001 00000001 00000000 00000001 00001011 00000000 00000011* +L0041920 00000011 00000000 00000011 00000000 00000011 00001011 00000000 00000011* +L0041984 00000010 00000001 00000011 00000001 00000001 00001001 00000000 00000111* +L0042048 000000 000000 000000 000000 000000 000010 000000 000000* +L0042096 000000 000000 000000 000000 000000 000000 000000 010000* +L0042144 000000 000000 000000 000000 000000 000000 000000 010000* +L0042192 000000 000000 000000 000000 000000 000000 000000 000000* +L0042240 000000 000000 000000 000000 000000 000000 000000 000000* +L0042288 000000 000000 000000 000000 000000 000000 000000 000000* +L0042336 00000001 00000000 00000001 00000000 00000010 10000010 00000000 00000011* +L0042400 00000011 00000000 00000011 00000000 10000100 01000000 00000000 00000010* +L0042464 00000011 00000000 00000011 00000000 00000000 01000010 00000000 00000001* +L0042528 00000010 00000000 00000011 00000000 00000000 01000010 00000000 00000011* +L0042592 00000010 00000000 00000011 00000000 00000000 01000001 00000000 00000011* +L0042656 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0042720 00000011 00000000 00000001 00000000 00000001 00000001 00000000 00000011* +L0042784 00000011 00000000 00000011 00000000 00000101 00000001 00000000 00000011* +L0042848 00000010 00000001 00000011 00000000 00000001 00000001 00000001 00000011* +L0042912 000000 000000 000000 000000 000000 000000 000000 000000* +L0042960 000000 000000 000000 000000 000000 000000 000000 000000* +L0043008 000000 000000 000000 000000 000001 000000 000000 000000* +L0043056 000000 000000 000000 000000 000000 000000 000000 000000* +L0043104 000000 000000 000000 000000 000000 000000 000000 000000* +L0043152 000000 000000 000000 000000 000000 000000 000000 000000* +L0043200 00000000 00000010 00000000 00000000 00000000 00000010 00000000 00000010* +L0043264 00000011 00000010 00000011 00000010 00000000 00000001 00000000 00100101* +L0043328 00000000 00000000 00000010 00000010 00000010 00000010 00000000 00100000* +L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000010 00000000 00000010 00000000 00000010 00000010 00000000 00000100* +L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0043776 000000 000000 000000 000000 000000 000000 000000 001000* +L0043824 000000 000000 000000 000000 000000 000000 000000 000000* +L0043872 000000 000000 000000 000000 000000 000000 000000 000000* +L0043920 000000 000000 000000 000000 000000 000000 000000 000000* +L0043968 000000 000000 000000 000000 000000 000000 000000 000000* +L0044016 000000 000000 000000 000000 000000 000000 000000 001000* +L0044064 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00100100* +L0044128 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000000* +L0044192 00000011 00000000 00000010 00000011 00000010 00000011 00000000 00000110* +L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0044320 00000001 00000000 00000000 00000001 00000010 00000001 00000000 00100000* +L0044384 00000000 00000000 00000000 00000000 10000000 10000000 00000000 10100000* +L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0044640 000000 000000 000000 000000 000000 000000 000000 100000* +L0044688 000000 000000 000000 000000 000000 000000 000000 000000* +L0044736 000000 000000 000000 000000 000000 000000 000000 100000* +L0044784 000000 000000 000000 000000 000000 000000 000000 001000* +L0044832 000000 000000 000000 000000 000000 000000 000000 001000* +L0044880 000100 000000 000000 000000 000000 000000 000000 000000* +L0044928 00000010 00000000 00000010 00000000 00000000 00000010 00000000 00000000* +L0044992 00000000 00000000 00000000 00000011 00000001 00000000 00000010 00000010* +L0045056 00000010 00000000 00000010 00000000 00000010 00000010 00000010 00000000* +L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045184 00000000 00000000 00000010 00000011 00000011 00000010 00000010 00000010* +L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0045504 000000 000000 000000 000000 000000 000000 000000 000000* +L0045552 000000 000000 000000 000000 000000 000000 000000 000000* +L0045600 000000 000000 000000 000000 000000 000000 000000 000000* +L0045648 000000 000000 000000 000000 000000 000000 000000 000000* +L0045696 000000 000000 000000 000000 000000 000000 000000 000000* +L0045744 000000 000000 000000 000000 000000 000000 000000 000000* +L0045792 00000001 00000000 00000010 00000000 00000010 00000011 00000000 00000011* +L0045856 00000000 00000001 00000000 00000010 00000000 00000000 00000000 00000000* +L0045920 00000000 00000010 00000011 00000000 00000001 00000000 00000001 00000000* +L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046048 00000010 00000011 00000010 00000010 00000010 00000010 00000000 00000000* +L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0046368 000000 000000 000000 000000 000000 000000 000000 000000* +L0046416 000000 000000 000000 000000 000000 000000 000000 000000* +L0046464 000000 000000 000000 000000 000000 000000 000000 000000* +L0046512 000000 000000 000000 000000 000000 000000 000000 000000* +L0046560 000000 000000 000000 000000 000000 000000 000000 000000* +L0046608 000000 000000 000000 000000 000000 000000 000000 000000* +L0046656 10000010 00000000 00100000 00000010 00000010 00000010 00000000 10100100* +L0046720 00010000 00000010 00100010 00000000 00000000 10010000 00000010 00100110* +L0046784 01011001 00000010 00110010 00000010 00000010 10010010 00000000 00101110* +L0046848 00110000 00000000 00000000 00000000 00000000 10000000 00000000 00000100* +L0046912 01010001 00000000 00001010 00000010 00000000 10000110 00000000 00000110* +L0046976 00110000 00000000 10000000 00000000 00000000 00000000 00000000 10101000* +L0047040 00111000 00000000 10000000 00000000 00000000 00001000 00000000 10101000* +L0047104 11111000 00000000 00010000 00000000 00000000 00001000 00000000 10101000* +L0047168 11110000 00000000 00110000 00000000 00000000 00001000 00000000 00101100* +L0047232 111100 000000 001100 000000 000000 000010 000000 001010* +L0047280 010000 000000 101100 000000 000000 000000 000000 010010* +L0047328 010000 000000 111100 000000 000000 000001 000000 110010* +L0047376 010000 000000 011000 000000 000000 000001 000000 001000* +L0047424 111000 000000 001000 000000 000000 000001 000000 001100* +L0047472 111000 000000 001100 000000 000000 000000 000000 001100* +L0047520 00000001 00000000 00000011 00000000 00000010 01000001 00000000 01010011* +L0047584 00000001 00000001 00000001 00000000 00000010 00000001 00000000 00001001* +L0047648 00000101 00000000 01000011 00000000 00000010 00000001 00000000 00000011* +L0047712 00000100 00000000 01000000 00000000 00000000 00000000 00000000 01100000* +L0047776 00000101 00000001 01000001 00000000 00000000 00000001 00000000 00100001* +L0047840 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0048032 00000000 00000000 00001100 00000000 00000000 00000000 00000000 10000000* +L0048096 000000 000000 000011 000000 000000 000000 000000 100000* +L0048144 000010 000000 000001 000000 000000 000000 000000 000100* +L0048192 000000 000000 000000 000000 000000 000000 000000 000000* +L0048240 000000 000000 000000 000000 000000 000000 000000 000000* +L0048288 000000 000000 000000 000000 000000 000000 000000 000001* +L0048336 000000 000000 000000 000000 000000 000000 000000 000000* +L0048384 00010111 00000000 00000010 00000011 00000000 00000100 00000000 00000000* +L0048448 00010101 00000000 00000000 00000000 00000000 00000011 00000000 00000010* +L0048512 00010110 00000000 00000010 00000011 00000011 00000011 00000000 00000000* +L0048576 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048640 00010101 00000010 00000001 00000011 00000010 00000011 00000000 00000011* +L0048704 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048768 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048832 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048896 00010100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0048960 000101 000000 000000 000000 000000 000000 000000 000000* +L0049008 100011 000000 000000 000000 000000 100000 000000 000000* +L0049056 000011 000000 000000 000000 000000 000000 000000 000000* +L0049104 001011 000000 000000 000000 000000 000000 000000 000000* +L0049152 001010 000000 000000 000001 000000 000000 000000 000000* +L0049200 000010 000000 000000 000000 000000 000000 000000 000000* +L0049248 00100000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* +L0049312 00100010 00000000 00000000 00000000 00000000 00000010 00000010 00000010* +L0049376 00000010 00000000 00000000 00000010 00000010 00000010 00000010 00000010* +L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049504 00000010 00000000 00000010 00000010 00000010 00000010 00000010 00000010* +L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049632 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049824 000000 000000 000000 000000 000000 000000 000000 000000* +L0049872 001000 000000 000000 000000 000000 000000 000000 000000* +L0049920 001000 000000 000000 000000 000000 000000 000000 000000* +L0049968 000000 000000 000000 000000 000000 000000 000000 000000* +L0050016 000000 000000 000000 000000 000000 000000 000000 000000* +L0050064 000000 000000 000000 000000 000000 000000 000000 000000* +L0050112 00000001 00000000 00000010 00000000 00000001 00000000 00000000 00000010* +L0050176 00000000 00000000 00000001 00001100 00000000 00000000 00000000 00000010* +L0050240 00000001 00000000 00000001 00000101 00000011 00000000 00000000 00000010* +L0050304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050368 00000010 00000000 00000001 00000010 00000010 00000010 00000000 00000010* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050496 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0050560 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0050688 000000 000000 000000 000000 000000 000000 000000 000000* +L0050736 000000 000000 000000 000000 000000 000000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000000* +L0050832 000000 000000 000000 000000 000000 000000 000000 000000* +L0050880 000000 000000 000000 000000 000000 000000 000000 000000* +L0050928 000000 000000 000000 000000 000000 000000 000000 000000* +L0050976 00000000 00000000 00000010 01110010 01000000 00000010 00000000 00000000* +L0051040 00000000 00000000 00000010 00000000 00000010 00000001 00000010 00000010* +L0051104 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000010* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000000 00000000 00000010 00000010 00000010 00000000 00000010* +L0051296 00000000 00000000 00000000 01100000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051552 000000 000000 000000 000000 000000 000000 000000 000000* +L0051600 000000 000000 000000 100000 000000 000000 000000 000000* +L0051648 000000 000000 000000 000000 000000 000000 000000 000000* +L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051744 000000 000000 000000 000000 000000 000000 000000 000000* +L0051792 000000 000000 000000 000000 000000 000000 000000 000000* +L0051840 00000000 00000000 00001101 00000011 00000001 00000000 00000000 00000011* +L0051904 00000000 00000010 00101000 00000000 00000010 00000000 00000010 00000000* +L0051968 00000000 00000011 01001101 00000011 00000001 00000010 00000000 00000011* +L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052096 00000010 00000011 00001100 00000011 00000010 00000000 00000010 00000010* +L0052160 00010000 00001000 10000000 00000000 00000000 00000000 00000000 00000000* +L0052224 00100000 00010100 01100000 00000000 00000000 00000000 00000000 00001000* +L0052288 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052352 00000000 00010000 00101100 00000000 00000000 00000000 00000000 00000000* +L0052416 000000 000000 000000 000000 000000 000000 000000 000000* +L0052464 000000 000000 100100 000000 100000 000000 000100 000000* +L0052512 000000 000100 010000 000000 000000 000000 000000 000000* +L0052560 000000 000000 110000 000000 000000 000000 000000 000000* +L0052608 000000 000100 000000 000000 000000 000000 000000 000000* +L0052656 000000 000000 100000 000000 000000 000000 000000 000000* +L0052704 00000000 00000000 00000001 00000001 00100000 00000010 00000000 00100101* +L0052768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100101* +L0052832 00000000 00000001 00000000 00000000 00000000 00000000 00000000 10110101* +L0052896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0052960 00000000 00000001 00010001 00000011 00000000 00000000 00000000 10110111* +L0053024 00000100 00000000 00100000 00000000 00000000 00000000 00000000 10110000* +L0053088 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0053152 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0053216 00000100 00000000 01000000 00000000 00000000 00000000 00000000 10100000* +L0053280 000001 000000 010000 000000 000000 000000 000000 101000* +L0053328 000001 000000 000000 000000 000000 000000 000000 100000* +L0053376 000001 000000 000000 000000 000000 000100 000000 100000* +L0053424 000001 000000 000000 000000 000000 000000 000000 011000* +L0053472 000000 000000 000000 000000 000000 000000 000000 011000* +L0053520 000000 000000 000001 000000 000000 000000 000000 011000* +L0053568 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000001* +L0053632 00000001 00000000 00000001 00000000 00000001 00000001 00000010 00000000* +L0053696 00000010 00000000 00000010 00000011 00000000 00000000 00000000 00000001* +L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053824 00000011 00000001 00000011 00000010 00000001 00000011 00000010 00000010* +L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000000 000000 000000 000000 000000* +L0054192 000000 000000 000000 000000 000000 000000 000000 000000* +L0054240 000000 000000 000000 000000 000000 000000 000000 000000* +L0054288 000000 000000 000000 000000 000000 000000 000000 000000* +L0054336 000100 000000 000000 000000 000000 000000 000000 000000* +L0054384 000100 000000 000000 000000 000000 000000 000000 000000* +L0054432 00000000 00000000 00000001 00000010 00000000 00000000 00000000 00000010* +L0054496 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000010* +L0054560 00000000 00000001 00000001 00000010 00000000 00000011 00000000 00000000* +L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054688 00000000 00000001 00000001 00000010 00000010 00000011 00000010 00000000* +L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055008 000000 000000 000000 000000 000000 000000 000000 000000* +L0055056 000000 000000 000000 000000 000000 000000 000000 000000* +L0055104 000000 000000 000000 000000 000000 000000 000000 000000* +L0055152 000000 000000 000000 000000 000000 000000 000000 000000* +L0055200 000000 000000 000000 000000 000000 000000 000000 000000* +L0055248 000000 000000 000000 000000 000000 000000 000000 000000* +L0055296 00000000 00000000 00000000 00000000 00000011 00000001 00000000 00000001* +L0055360 00000000 00000000 00000010 00000011 00000000 00000001 00000000 00001011* +L0055424 00000110 00000000 00000010 00000011 00000011 00000000 00000000 00001010* +L0055488 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055552 00000111 00000000 00000010 00000010 00000000 00000010 00000000 00001010* +L0055616 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055680 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055744 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055808 00000100 00010000 00000000 00000000 00000000 00000000 00000000 00001000* +L0055872 000001 000000 000000 000000 000000 000000 000000 000010* +L0055920 000011 000000 000000 000000 000000 000000 000000 000110* +L0055968 000011 000000 000000 000000 000000 000000 000000 000110* +L0056016 000011 000000 000000 000000 000000 000000 000000 000100* +L0056064 000010 000100 000000 000000 000000 000000 000000 000100* +L0056112 000010 000000 000000 000000 000000 000000 000000 000100* +L0056160 00000001 00000000 00000000 00000011 00000000 00000001 00000000 00000010* +L0056224 01000000 00000000 00010001 00000000 00000010 00001010 00000010 00000001* +L0056288 00100000 00000010 00000001 00000011 00000000 00001011 00000000 00000011* +L0056352 00001000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0056416 00000010 00000010 00000001 00000011 00000010 00000011 00000010 00000011* +L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056544 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056608 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0056736 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 000000 000000 000000 000000* +L0056832 000100 000000 000000 000000 000000 000000 000000 000000* +L0056880 001100 000100 000000 000000 000000 000000 000000 000000* +L0056928 000000 000000 000000 000000 000000 000000 000000 000000* +L0056976 000000 000000 000000 000000 000000 000000 000000 000000* +L0057024 00000000 00000010 00000010 00000000 00000000 01000000 00000000 00000010* +L0057088 00000010 00000011 00000000 00001100 00000000 11000111 00000010 00000001* +L0057152 00000000 00000000 00000000 00000100 00000000 11000111 00000010 00000011* +L0057216 00000000 00000000 00010000 00000000 00000000 11000100 00000000 00000000* +L0057280 00000010 00000011 00000010 00000000 00000000 11000111 00000000 00000011* +L0057344 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0057408 00000000 00000000 00000000 00001000 00000000 00001100 00000000 00000000* +L0057472 00000000 00000000 00000000 00001000 00000000 00001100 00000000 00000000* +L0057536 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0057600 000000 000000 000000 000000 000000 000011 000000 000000* +L0057648 000000 000000 000000 000000 000000 000000 000000 000000* +L0057696 000000 000000 000000 000000 000000 100001 000000 000000* +L0057744 000000 000000 000000 000000 000000 100001 000000 000000* +L0057792 000000 000000 000000 000000 000000 100001 000000 000000* +L0057840 000000 000000 000000 000000 000000 100000 000000 000000* +L0057888 00000001 00000010 00000000 00000000 00000000 00000000 00000010 00000011* +L0057952 00000000 00000000 00000010 00000010 00000010 00000010 00000010 00001010* +L0058016 00000011 00000010 00000010 00000010 00000000 00000000 00000010 00000001* +L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0058144 00000011 00000010 00000010 00000010 00000010 00000010 00000010 00000010* +L0058208 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0058272 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0058400 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0058464 000000 000000 000000 000000 000000 000000 000000 000000* +L0058512 000000 000000 000000 000000 000000 000000 000000 000000* +L0058560 000000 000000 000000 000000 000000 000000 000000 000100* +L0058608 000000 000000 000000 000000 000000 000000 000000 000000* +L0058656 000000 000000 000000 000000 000000 000000 000000 000000* +L0058704 000100 000000 000000 000000 000000 000000 000000 000000* +L0058752 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000010* +L0058816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059328 000000 000000 000000 000000 000000 000000 000000 000000* +L0059376 000000 000000 000000 000000 000000 000000 000000 000000* +L0059424 000000 000000 000000 000000 000000 000000 000000 000000* +L0059472 000000 000000 000000 000000 000000 000000 000000 000000* +L0059520 000000 000000 000000 000000 000000 000000 000000 000000* +L0059568 000000 000000 000000 000000 000000 000000 000000 000000* +L0059616 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* +L0059680 00000011 00000000 00000010 00000000 00000010 00000010 00000000 00000010* +L0059744 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000000* +L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000000* +L0060240 000000 000000 000000 000000 000000 000000 000000 000000* +L0060288 000000 000000 000000 000000 000000 000000 000000 000000* +L0060336 000000 000000 000000 000000 000000 000000 000000 000000* +L0060384 000000 000000 000000 000000 000000 000000 000000 000000* +L0060432 000000 000000 000000 000000 000000 000000 000000 000000* +L0060480 00000001 00000000 01100001 00000000 00000001 00000000 00000000 00000001* +L0060544 00000000 00000000 00100010 00000000 00000000 00000001 00000000 00000000* +L0060608 00000001 00000001 01100011 00000000 00000000 00000000 00000000 00000001* +L0060672 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0060736 00000000 00000001 01000010 00000000 00000001 00000001 00000000 00000000* +L0060800 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* +L0060864 00000000 00000000 11001000 00000000 00000000 00000000 00000000 00000000* +L0060928 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0060992 10110000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* +L0061056 000000 000000 100000 000000 000000 000000 000000 000000* +L0061104 000000 000000 100000 000000 000000 000000 000000 000000* +L0061152 000000 000000 100000 000000 000000 000000 000000 000000* +L0061200 000000 000000 100000 000000 000000 000000 000000 000000* +L0061248 000000 000000 100000 000000 000000 000000 000000 000000* +L0061296 000000 000000 100000 000000 000000 000000 000000 000000* +L0061344 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00000000* +L0061408 00000001 00000000 01000000 00000001 00000000 00000001 00000000 00000000* +L0061472 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000001* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061600 00000001 00000000 00000000 00000001 00000001 00000001 00000000 00000000* +L0061664 00000000 00000000 00001100 10000000 00000000 00000000 00000000 00000000* +L0061728 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0061792 00000100 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0061856 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061920 000000 000000 000000 000000 000000 000000 000000 000000* +L0061968 000000 000000 000000 000000 010000 000000 000000 000000* +L0062016 000001 000000 000000 000000 000000 000000 000000 000000* +L0062064 000001 000000 000000 000000 000000 000000 000000 000000* +L0062112 000000 000000 000001 000000 000000 000000 000000 000000* +L0062160 000000 000000 000000 000000 000000 000000 000000 000000* +L0062208 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000001* +L0062272 00000000 00000000 00000001 00000000 00000001 00000000 00000000 00000001* +L0062336 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000001* +L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062464 00000001 00000000 00000001 00000001 00000001 00000001 00000000 00000001* +L0062528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0062784 000000 000000 000000 000000 000000 000000 000000 000000* +L0062832 000000 000000 000000 000000 000000 000000 000000 000000* +L0062880 000000 000000 000000 000000 000000 000000 000000 000000* +L0062928 000000 000000 000000 000000 000000 000000 000000 000000* +L0062976 000000 000000 000000 000000 000000 000000 000000 000000* +L0063024 000000 000000 000000 000000 000000 000000 000000 000000* +L0063072 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* +L0063136 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0063200 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000001 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 000000 000000 000000 000000 000000 000000* +L0063744 000000 000000 000000 000000 000000 000000 000000 000000* +L0063792 000000 000000 000000 000000 000000 000000 000000 000000* +L0063840 000000 000000 000000 000000 000000 000000 000000 000000* +L0063888 000000 000000 000000 000000 000000 000000 000000 000000* +L0063936 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000011* +L0064000 00000000 00001000 00000000 00000000 00000000 00000010 00000000 00100100* +L0064064 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00100000* +L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064192 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000110* +L0064256 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0064320 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10000000* +L0064384 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10100000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0064512 000000 000000 001000 000000 000000 000000 000000 001000* +L0064560 000000 000001 000000 000000 000000 000000 000000 000010* +L0064608 000000 000010 000000 000000 000000 000000 000000 000000* +L0064656 000000 000010 000000 000000 000000 000000 000000 000000* +L0064704 000000 000000 000000 000000 000000 000000 000000 000000* +L0064752 000000 000000 000000 000000 000000 000000 000000 001000* +L0064800 00000010 00001001 00000001 00000000 00000000 00000010 00000000 00100100* +L0064864 00000010 00010100 00000000 00000000 00000000 00000000 00000000 10000000* +L0064928 00000000 00010101 00000001 00000001 00000010 00000000 00000000 00010110* +L0064992 00000000 00000100 00000000 00000000 00000000 00000000 00000000 10100100* +L0065056 00000011 00000000 00000001 00000000 00000000 00000000 00000000 00110000* +L0065120 00000000 00000000 00000000 00000100 00000000 00000000 00000000 10100000* +L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0065376 000000 000000 000000 000000 000000 000000 000000 100000* +L0065424 000000 000010 000000 000000 001000 000000 000000 000000* +L0065472 000000 000000 000000 000000 000000 000000 000000 100000* +L0065520 000000 000000 000000 000000 000000 000000 000000 011000* +L0065568 000000 000001 000000 000000 000000 000000 000000 001000* +L0065616 000000 000000 000000 000000 000000 000000 000000 010000* +L0065664 00000001 00000000 00000000 00000001 00000001 00000000 00000000 00000000* +L0065728 00000000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* +L0065792 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* +L0065856 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0065920 00000000 00000001 00000000 00000001 00000000 00000000 00000000 00000000* +L0065984 00000000 01000000 00010000 10000000 00000000 00000000 00000000 00000000* +L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066288 000000 000000 000000 000000 000000 000000 000000 000000* +L0066336 000000 000010 000000 000000 000000 000000 000000 000000* +L0066384 000000 000010 000000 000000 000000 000000 000000 000000* +L0066432 000000 000000 000000 000000 000000 000000 000000 000000* +L0066480 000000 000001 000000 000000 000000 000000 000000 000000* +L0066528 00000000 00010000 00000000 00000000 00000000 00000000 00000000 01100000* +L0066592 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01100000* +L0066656 00000000 00000100 00000000 00000000 00000000 00000000 00000000 01100000* +L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0066848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00010000 10000000 00000000 00000000 00000000 01000000* +L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0067104 000000 000000 000000 000000 000000 000000 000000 010000* +L0067152 000000 000000 000000 000000 000000 000000 000000 110000* +L0067200 000000 000000 000000 000000 000000 000000 000000 110000* +L0067248 000000 000000 000000 000000 000000 000000 000000 010000* +L0067296 000000 000000 000000 000000 000000 000000 000000 010000* +L0067344 000000 000000 000000 000000 000000 000000 000000 010000* +L0067392 00011010 00000010 00000010 00000010 00100010 10011010 00000010 00100110* +L0067456 00000010 00000000 00000010 00000000 00000010 00000110 00000000 10100110* +L0067520 00000000 00000000 00000000 00000000 00000000 00000100 00000000 10110100* +L0067584 00000000 00000000 00000000 00000000 00000000 00000100 00000000 10010100* +L0067648 00100000 00000000 00010000 00000000 00000000 00000000 00000000 10010100* +L0067712 00000000 01000000 00100000 00000000 00000000 00000000 00000000 10110000* +L0067776 00000010 00000010 00000010 00000010 00000010 00000010 00000010 10100010* +L0067840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0067904 00000000 00000000 01000000 00000000 00000000 00100000 00000000 00100000* +L0067968 000000 000000 010000 000000 000000 000000 000000 001000* +L0068016 000100 000000 000000 001110 000000 000000 000000 100000* +L0068064 100000 000000 000000 000000 000000 000000 000000 100000* +L0068112 000000 000000 000000 000000 000000 000000 000000 011000* +L0068160 000000 000000 000000 000000 000000 000000 000000 011000* +L0068208 000000 000000 000001 000000 000000 100001 000000 011000* +L0068256 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0068320 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0068384 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* +L0068448 00001000 00000000 01001100 00000000 00000000 00001000 00000000 00000000* +L0068512 00000100 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0068576 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0068640 00000100 00000000 10000000 00000000 00000000 00000000 00000000 00000000* +L0068704 00001000 00000000 01100000 00000000 00000000 00001000 00000000 00000000* +L0068768 00000100 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0068832 000000 000000 000011 000000 000000 000010 000000 000010* +L0068880 000011 000000 000000 010000 000000 000000 000000 000000* +L0068928 000000 000000 100101 000000 000000 000001 000000 000000* +L0068976 000001 000000 000100 000000 000000 000000 000000 000000* +L0069024 000010 000000 100100 000000 000000 000001 000000 000000* +L0069072 000010 000000 000100 000000 000000 000000 000000 000000* +L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069184 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0069312 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069440 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069696 000000 000000 000000 000000 000000 000000 000000 000000* +L0069744 000000 000001 000000 000000 000000 000000 000000 000000* +L0069792 000000 000011 000000 000000 000000 000000 000000 000000* +L0069840 000000 000010 000000 000000 000000 000000 000000 000000* +L0069888 000000 000000 000000 000000 000000 000000 000000 000000* +L0069936 000000 000001 000000 000000 000000 000000 000000 000000* +L0069984 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0070048 00000000 00010100 10100000 00000000 00000000 00000000 00000000 00000000* +L0070112 00000000 00010100 10100000 00000000 00000000 00000000 00000000 00000000* +L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070240 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070368 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0070432 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* +L0070496 00000000 00000000 11100000 00000000 00000000 00000000 00000000 00000000* +L0070560 000000 000000 110000 000000 000000 000000 000000 000000* +L0070608 000000 000000 000001 000000 000000 000000 000000 000000* +L0070656 000000 000000 000010 000000 000000 000000 000000 000000* +L0070704 000000 000000 000001 000000 000000 000000 000000 000000* +L0070752 000000 000001 000001 000000 000000 000000 000000 000000* +L0070800 000000 000000 000001 000000 000000 000000 000000 000000* +L0070848 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071040 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071232 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000000 000000* +L0071472 000000 000000 000000 000000 000000 000000 000000 000000* +L0071520 000000 000000 000000 000000 000000 000000 000000 000000* +L0071568 000100 000000 000000 000000 000000 000000 000000 000000* +L0071616 000000 000000 000000 000000 000000 000000 000000 000000* +L0071664 000000 000000 000000 000000 000000 000000 000000 000000* +L0071712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0072288 000000 000000 000000 000000 000000 000000 000000 101000* +L0072336 000100 000000 000000 000000 000000 001000 000000 000000* +L0072384 000100 000000 000000 000000 010000 000000 000000 100000* +L0072432 000000 000000 000000 000000 000000 000000 000000 001000* +L0072480 000000 000000 000000 000000 000000 000000 000000 001000* +L0072528 000000 000000 000000 000000 000000 000000 000000 001000* +L0072576 10000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0072640 00010000 00000000 00101000 00001100 00000000 00000000 00000000 10000000* +L0072704 00001000 00000000 10101000 00000100 00000000 00000100 00000000 10010100* +L0072768 00000000 00000000 00001000 00000000 00000000 01000000 00000000 00010000* +L0072832 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0072896 00100000 00000000 10000000 00000000 00000000 00000000 00000000 10100000* +L0072960 01001000 00000000 11000000 00001100 00000000 00000000 00000000 10000000* +L0073024 00001000 00000000 01000000 00001100 00000000 00000000 00000000 10100000* +L0073088 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0073152 000000 000000 100000 000000 000000 000000 000000 001000* +L0073200 010000 000000 000000 000000 000000 000000 000000 000000* +L0073248 000010 000000 001001 000000 000000 000000 000000 010000* +L0073296 000010 000000 010000 000000 000000 000000 000000 011000* +L0073344 100010 000000 000100 000000 000000 000000 000000 010000* +L0073392 001010 000000 000100 000000 000000 000000 000000 000100* +L0073440 00000000 00000000 00101000 00000000 00000000 00000000 00000000 00000100* +L0073504 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000100* +L0073568 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0073632 00010000 00000000 00000100 00000000 00000000 00000000 00000000 10000100* +L0073696 01010000 00000000 00000000 00000000 00000000 00000000 00000000 10010100* +L0073760 00011000 00000000 01000000 00000000 00000000 00000000 00000000 00010000* +L0073824 00110000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0073888 11110000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0073952 11110000 00000000 10100000 00000000 00000000 01000000 00000000 00100100* +L0074016 111101 000000 000100 000000 000000 000000 000000 000000* +L0074064 000001 000000 100100 100000 000000 000000 000000 110000* +L0074112 000001 000000 110100 000000 000000 000000 000000 000010* +L0074160 010001 000000 000100 000000 000000 000000 000000 000000* +L0074208 010000 000000 000000 000000 000000 000000 000000 001000* +L0074256 010000 000000 100000 000000 000000 000001 000000 011000* +L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* +L0074432 00000000 00000000 00000000 00000000 00000000 00001000 00000000 10010000* +L0074496 00000000 00000000 00000000 00000000 00000000 00001000 00000000 11010000* +L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* +L0074624 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00010000* +L0074688 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0074752 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000100* +L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0074880 000000 000000 000000 000000 000000 000000 000000 000001* +L0074928 000000 000000 000000 000000 000000 000000 000000 100000* +L0074976 000000 000100 000000 000000 000000 000000 000000 000000* +L0075024 000000 000100 000000 000000 000000 000000 000000 010000* +L0075072 000000 000000 000000 000000 000000 000000 000000 010000* +L0075120 000000 000000 000000 000000 000000 000000 000000 010000* +L0075168 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0075232 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 100000* +L0075792 000000 000000 000000 000000 000000 000000 000000 000000* +L0075840 000000 000000 000000 000000 000000 000000 000000 000000* +L0075888 000000 000000 000000 000000 000000 000000 000000 000000* +L0075936 000000 000000 000000 000000 000000 000000 000000 000000* +L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0076032 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076416 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076608 000000 000000 000000 000000 000000 000000 000000 000000* +L0076656 000000 000000 000000 000000 000000 000000 000000 000010* +L0076704 000000 000000 000000 000000 000000 000000 000000 000000* +L0076752 000000 000000 000000 000000 000000 000000 000000 000000* +L0076800 000000 000000 000000 000000 000000 000000 000000 000000* +L0076848 000000 000000 000000 000000 000000 000000 000000 000000* +L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110100* +L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0077344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0077472 000000 000000 000000 000000 000000 000000 000000 101000* +L0077520 000000 000000 000000 000000 000000 000000 000000 100000* +L0077568 000000 000000 000000 000000 000000 000000 000000 100000* +L0077616 000000 000000 000000 000000 000000 000000 000000 001000* +L0077664 000000 000000 000000 000000 000000 000000 000000 011000* +L0077712 000000 000000 000000 000000 000000 000000 000000 001000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078336 000000 000000 000000 000000 000000 000000 000000 000000* +L0078384 000000 000000 000000 000000 000000 000000 000000 000000* +L0078432 000000 000000 000000 000000 000000 000000 000000 000000* +L0078480 000000 000000 000000 000000 000000 000000 000000 000000* +L0078528 000000 000000 000000 000000 000000 000000 000000 000000* +L0078576 000000 000000 000000 000000 000000 000000 000000 000000* +L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 000000 000000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 000000 000000* +L0079296 000000 000000 000000 000000 000000 000000 000000 000000* +L0079344 000000 000000 000000 000000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000000 000000 000000 000000 000000* +L0079440 000000 000000 000000 000000 000000 000000 000000 000000* +L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080064 000000 000000 000000 000000 000000 000000 000000 000000* +L0080112 000000 000000 000000 000000 000000 000000 000000 000000* +L0080160 000100 000000 000000 000000 000000 000000 000000 000000* +L0080208 000000 000000 000000 000000 000000 000000 000000 000000* +L0080256 000000 000000 000000 000000 000000 000000 000000 000000* +L0080304 000000 000000 000000 000000 000000 000000 000000 000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0080544 00001000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0080928 000000 000000 000000 000000 000000 000000 000000 101000* +L0080976 000000 000000 000000 000000 000000 000000 000000 100000* +L0081024 000000 000000 000000 000000 000000 001000 000000 100000* +L0081072 000100 000000 000000 000000 000000 000000 000000 011000* +L0081120 000000 000000 000000 000000 000000 000000 000000 011000* +L0081168 000000 000000 000000 000000 000000 000000 000000 011000* +L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081280 00000000 00001000 00000000 00000000 00000000 00001000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0081408 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0081472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081536 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081664 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081792 000000 000000 000000 000000 000000 000000 000000 000000* +L0081840 000000 000000 000000 000000 000000 000000 000000 000000* +L0081888 000000 000011 000000 000000 000000 000000 000000 000000* +L0081936 010000 000010 001000 000000 000000 000000 000000 000000* +L0081984 000000 000000 000000 000000 000000 000000 000000 000000* +L0082032 000000 000000 000000 000000 000000 000000 000000 000000* +L0082080 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00100100* +L0082144 00000000 00010100 00000000 00000000 00000000 00000000 00000000 10100100* +L0082208 00000000 00010100 00000000 00000000 00000000 00000000 00000000 10110100* +L0082272 00000000 00000100 00000000 00000000 00000000 00000000 00000000 10110100* +L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0082400 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0082464 00000100 00000000 00000000 00000000 00000000 01000000 00000000 10100000* +L0082528 00000100 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0082592 00000100 00000000 00000000 00000000 00100000 00000000 00000000 10100000* +L0082656 000001 000000 000000 000000 000000 000000 000000 101000* +L0082704 000001 000000 000010 000000 000000 000000 000000 100000* +L0082752 000001 000000 000000 000000 000000 000000 000000 100000* +L0082800 000001 000000 000000 000000 000000 000000 000000 011000* +L0082848 000000 000001 000000 000000 000000 000000 000000 011000* +L0082896 000000 000000 000000 000000 000000 000000 000000 011000* +L0082944 01000100 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0083008 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0083328 00000000 00000000 00000000 00000000 00000000 00010000 00000000 10000000* +L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0083520 000000 000000 000000 000000 000000 000000 000000 001000* +L0083568 000000 000000 000000 000000 000000 000000 000000 000000* +L0083616 000000 000000 000000 000000 000000 000010 000000 000000* +L0083664 000000 000000 000000 000000 000000 000000 000000 000000* +L0083712 000000 000000 000000 000000 000000 000000 000000 000000* +L0083760 000000 000000 000000 000000 000000 000000 000000 001000* +L0083808 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0083872 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0083936 00110100 00000000 00000000 00000000 00000000 00001000 00000000 00000100* +L0084000 00111100 00000000 00000000 00000000 10000100 00001000 00000000 00100100* +L0084064 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0084128 00010100 00000000 00010000 00000000 00000000 00010000 00000000 10100000* +L0084192 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0084256 00110100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0084320 00110100 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0084384 001101 000000 000000 000000 000001 000000 000000 100000* +L0084432 010011 000000 000000 000000 000000 000000 000000 000000* +L0084480 010111 000000 000000 000001 000000 000000 000000 100000* +L0084528 011111 000000 000000 000000 000000 000000 000000 001000* +L0084576 011010 000000 000000 000000 000001 000000 000000 001000* +L0084624 010010 000000 000000 000000 000000 000000 000000 000000* +L0084672 00000000 00000000 10101100 00000000 00000000 00000000 00000000 00000000* +L0084736 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* +L0084800 00000000 00000000 11101100 00000000 00000000 00000000 00000000 00000000* +L0084864 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0084928 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0084992 00000000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0085056 00001000 00000000 11000100 00000000 00000000 00000000 00000000 00000000* +L0085120 00001000 00000000 11001000 00000000 00000000 01000000 00000000 00000000* +L0085184 00000000 00000000 11101100 00000000 00000000 01000000 00000000 00000000* +L0085248 000000 000000 100011 000000 000000 000000 000000 000000* +L0085296 000000 000000 100101 001100 000000 000000 000000 000000* +L0085344 000000 000000 100101 000000 000000 000000 000000 000000* +L0085392 000000 000000 100101 000000 000000 000000 000000 000000* +L0085440 000000 000000 100100 000000 000000 000000 000000 000000* +L0085488 000000 000000 100101 000000 000000 000001 000000 000000* +L0085536 00000000 00000000 00000000 00000000 10000000 00000000 00000000 10000100* +L0085600 00000000 00000000 00000000 00000000 00000000 01000000 00000000 10000100* +L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000100* +L0085920 00000000 00000000 00101000 00000000 00000000 00000100 00000000 10000100* +L0085984 00000000 00000000 00100100 00000000 00000000 00000000 00000000 10000100* +L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0086112 000000 000000 010000 000000 000000 000000 000000 000001* +L0086160 000000 000000 000000 000000 000000 000000 000000 000001* +L0086208 000000 000000 000000 000000 000000 000000 000000 000001* +L0086256 000000 000000 000000 000000 000000 000000 000000 000001* +L0086304 000000 000000 000000 000000 000000 000000 000000 000001* +L0086352 000000 000000 000000 000000 000000 000000 000000 000001* +L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086464 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086720 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086976 000000 000000 000000 000000 000000 000000 000000 000000* +L0087024 000000 000000 000000 000000 000000 000000 000000 000000* +L0087072 000000 000000 000000 000000 000000 000000 000000 000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 000000* +L0087168 000000 000000 000000 000000 000000 000000 000000 000000* +L0087216 000000 000000 000000 000000 000000 000000 000000 000000* +L0087264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087776 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0087840 000000 000000 000000 000000 000000 000000 000000 000000* +L0087888 000000 000000 000000 000000 000000 000100 000000 000000* +L0087936 000000 000000 000000 000000 001000 000000 000000 000000* +L0087984 000000 000000 000000 000000 000000 000000 000000 000000* +L0088032 000000 000100 000000 000000 000000 000000 000000 000000* +L0088080 000000 000000 000000 000000 000000 000000 000000 000000* +L0088128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0088704 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 000000 000000 000000* +L0088800 000000 000000 000000 000000 000000 000000 000000 000000* +L0088848 000000 000000 000000 000000 000000 000000 000000 000000* +L0088896 000000 000000 001000 000000 000000 000000 000000 000000* +L0088944 000000 000000 000000 000000 000000 000000 000000 000000* +L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100100* +L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100100* +L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110100* +L0089312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10110000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0089504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10100000* +L0089568 000000 000000 000000 000000 000000 000000 000000 101000* +L0089616 000000 000000 000000 000000 000000 010000 000000 100000* +L0089664 000000 000000 000000 000000 000000 000000 000000 100000* +L0089712 000000 000000 000000 000000 000000 000000 000000 011000* +L0089760 000000 000000 000000 000000 000000 000000 000000 011000* +L0089808 000000 000000 000000 000000 000000 000000 000000 011000* +L0089856 10000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0089920 00001000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0089984 00001000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0090048 00000000 00000000 01001100 00000000 00000000 00000000 00000000 00000000* +L0090112 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00100000 01000000 00000000 00000000 00000000 00000000 00000000* +L0090240 10000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0090304 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* +L0090368 00000000 00000000 00001100 00000000 00000000 00000000 00000000 00000000* +L0090432 000000 000000 000011 000000 000000 000000 000000 000000* +L0090480 000000 001000 000000 001000 000000 000000 000000 000000* +L0090528 000000 000000 000001 000000 000000 000000 000000 000000* +L0090576 000000 000000 100100 000000 000000 000000 000000 000000* +L0090624 000000 000000 100100 000000 000000 000000 000000 000000* +L0090672 000000 000000 100000 000000 000000 000000 000000 000000* +L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091296 000000 000000 000000 000000 000000 000000 000000 000000* +L0091344 000000 000000 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000000 000000 000000* +L0091440 000000 000000 000000 000000 000000 000000 000000 000000* +L0091488 000000 000000 000000 000000 000000 000000 000000 000000* +L0091536 000000 000000 000000 000000 000000 000000 000000 000000* +L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091712 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091904 00100000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091968 00001000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* +L0092032 00001000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092160 000000 000000 000000 000000 000000 000000 000000 000000* +L0092208 000000 000000 000000 001100 000000 000000 000000 000000* +L0092256 000000 000100 000000 000000 000000 000000 000000 000000* +L0092304 000000 000100 000000 000000 000000 000000 000000 000000* +L0092352 001000 000000 000000 000000 000000 000000 000000 000000* +L0092400 001000 000000 000000 000000 000000 000000 000000 000000* +L0092448 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092576 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092768 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092832 01000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092896 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092960 00000100 00010000 00000000 00000000 00000000 00000000 00000000 00000000* +L0093024 000001 000000 000000 000000 000000 000000 000000 000000* +L0093072 000001 000000 000000 000000 000100 000000 000000 000000* +L0093120 000011 000000 000000 000000 000000 000000 000000 000000* +L0093168 000011 000000 000000 000000 000000 000000 000000 000000* +L0093216 000010 000100 000000 000000 000000 000000 000000 000000* +L0093264 000010 000000 000000 000000 000000 000000 000000 000000* +C3A8B* +2CE6 diff --git a/cpld/XC95144/MXSE.lfp b/cpld/XC95144/MXSE.lfp new file mode 100644 index 0000000..c87fa10 --- /dev/null +++ b/cpld/XC95144/MXSE.lfp @@ -0,0 +1,5 @@ +# begin LFP file C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144\MXSE.lfp +designfile MXSE.v +parttype xc95144xl-tq100-10 +bus_delimiter 1; +set_busdelim_onsave 1; diff --git a/cpld/XC95144XL/MXSE.lso b/cpld/XC95144/MXSE.lso similarity index 100% rename from cpld/XC95144XL/MXSE.lso rename to cpld/XC95144/MXSE.lso diff --git a/cpld/XC95144XL/MXSE.mfd b/cpld/XC95144/MXSE.mfd similarity index 52% rename from cpld/XC95144XL/MXSE.mfd rename to cpld/XC95144/MXSE.mfd index 04543fc..5868a50 100644 --- a/cpld/XC95144XL/MXSE.mfd +++ b/cpld/XC95144/MXSE.mfd @@ -1,52 +1,55 @@ MDF Database: version 1.0 MDF_INFO | MXSE | XC95144XL-10-TQ100 -MACROCELL | 2 | 14 | nVMA_IOB_OBUF +MACROCELL | 0 | 10 | nVMA_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 3 | 2 | 14 | 2 | 13 | 2 | 15 -INPUTS | 15 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 10 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 0 | 1 | 1 | 1 | 0 | 3 | 2 -INPUTP | 5 | 147 | 136 | 105 | 109 | 15 -EXPORTS | 1 | 2 | 15 -EQ | 8 | - nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & +OUTPUTMC | 3 | 1 | 4 | 0 | 11 | 0 | 9 +INPUTS | 13 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | BERR_IOBS.EXP +INPUTMC | 5 | 0 | 8 | 5 | 4 | 5 | 14 | 5 | 7 | 0 | 11 +INPUTP | 8 | 127 | 134 | 147 | 136 | 145 | 102 | 19 | 153 +EXPORTS | 1 | 0 | 9 +IMPORTS | 1 | 0 | 11 +EQ | 16 | + nVMA_IOB.T = ;Imported pterms FB1_12 + !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr; nVMA_IOB.CLK = CLK2X_IOB; // GCK - nVMA_IOB_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + nVMA_IOB_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 3 | 2 | cs/nOverlay1 +MACROCELL | 5 | 7 | cs/nOverlay1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 44 | 6 | 9 | 3 | 8 | 2 | 0 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 6 | 3 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 11 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 -INPUTS | 6 | cs/nOverlay0 | nAS_FSB | fsb/ASrf | A_FSB<10> | ram/RASEL | A_FSB<1> -INPUTMC | 3 | 6 | 3 | 2 | 6 | 3 | 0 -INPUTP | 3 | 21 | 143 | 144 -EXPORTS | 1 | 3 | 1 -EQ | 5 | +OUTPUTMC | 41 | 5 | 0 | 7 | 11 | 0 | 10 | 5 | 9 | 7 | 5 | 7 | 7 | 7 | 16 | 0 | 2 | 2 | 7 | 7 | 2 | 2 | 2 | 5 | 15 | 5 | 13 | 5 | 2 | 0 | 7 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 2 | 1 | 0 | 1 | 6 | 17 | 0 | 8 | 0 | 9 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 11 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 14 | 7 | 15 +INPUTS | 3 | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 0 | 14 | 4 | 2 +INPUTP | 1 | 112 +EQ | 3 | cs/nOverlay1.D = cs/nOverlay0; cs/nOverlay1.CLK = CLK_FSB; // GCK cs/nOverlay1.CE = nAS_FSB & !fsb/ASrf; - cs/nOverlay1.EXP = A_FSB<10> & !ram/RASEL - # ram/RASEL & A_FSB<1> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 9 | ram/Once +MACROCELL | 5 | 0 | ram/Once ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 6 | 9 | 6 | 10 | 6 | 16 | 6 | 7 | 6 | 4 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 15 -INPUTS | 16 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RAMDIS2 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<21> | RA_7_OBUF.EXP -INPUTMC | 12 | 6 | 9 | 2 | 6 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 10 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 8 -INPUTP | 4 | 21 | 105 | 15 | 109 -EXPORTS | 1 | 6 | 10 -IMPORTS | 1 | 6 | 8 -EQ | 26 | +OUTPUTMC | 10 | 5 | 0 | 2 | 7 | 2 | 2 | 5 | 13 | 5 | 3 | 2 | 11 | 2 | 12 | 2 | 1 | 2 | 6 | 2 | 17 +INPUTS | 10 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<21> +INPUTMC | 6 | 5 | 0 | 4 | 2 | 5 | 7 | 5 | 16 | 5 | 13 | 5 | 3 +INPUTP | 4 | 112 | 127 | 153 | 134 +EQ | 13 | ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB7_9 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & @@ -56,25 +59,13 @@ EQ | 26 | !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf; ram/Once.CLK = CLK_FSB; // GCK - ram/Once.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & - !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 9 | cnt/RefDone +MACROCELL | 3 | 12 | cnt/RefDone ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 19 | 1 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 13 | 6 | 15 | 6 | 17 +OUTPUTMC | 19 | 3 | 12 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 2 | 16 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 5 | 4 | 5 | 17 INPUTS | 10 | cnt/RefDone | RefAck | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 1 | 9 | 5 | 4 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +INPUTMC | 10 | 3 | 12 | 5 | 17 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 EQ | 5 | !cnt/RefDone.D = !cnt/RefDone & !RefAck # !cnt/RefCnt<0> & !cnt/RefCnt<5> & !cnt/RefCnt<6> & @@ -83,99 +74,71 @@ EQ | 5 | cnt/RefDone.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 9 | iobs/Once +MACROCELL | 7 | 12 | iobs/Once ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 14 | 3 | 9 | 4 | 14 | 2 | 2 | 2 | 16 | 1 | 17 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 7 | 3 | 8 | 3 | 10 -INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP28_.EXP | EXP29_.EXP -INPUTMC | 6 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 8 | 3 | 10 -INPUTP | 4 | 15 | 21 | 105 | 114 -IMPORTS | 2 | 3 | 8 | 3 | 10 +OUTPUTMC | 15 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 3 | 5 | 12 | 0 | 8 | 2 | 9 | 0 | 6 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 | 7 | 13 | 7 | 14 +INPUTS | 10 | A_FSB<22> | iobs/Once | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<20> | EXP33_.EXP | EXP34_.EXP +INPUTMC | 6 | 7 | 12 | 0 | 8 | 5 | 4 | 4 | 2 | 7 | 11 | 7 | 13 +INPUTP | 4 | 153 | 112 | 127 | 22 +IMPORTS | 2 | 7 | 11 | 7 | 13 EQ | 24 | !iobs/Once.D = nAS_FSB & !fsb/ASrf # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd2 # !A_FSB<23> & !A_FSB<20> & !iobs/Once # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd2 # A_FSB<22> & !iobs/Once & iobs/PS_FSM_FFd1 -;Imported pterms FB4_9 +;Imported pterms FB8_12 # A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & !iobs/Once -;Imported pterms FB4_8 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once -;Imported pterms FB4_11 +;Imported pterms FB8_14 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB; + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB +;Imported pterms FB8_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once; iobs/Once.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 14 | BERR_IOBS +MACROCELL | 0 | 11 | BERR_IOBS ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 4 | 14 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 -INPUTS | 8 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 -INPUTMC | 7 | 4 | 14 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 -INPUTP | 1 | 21 -EQ | 8 | +OUTPUTMC | 10 | 0 | 11 | 3 | 10 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 0 | 12 | 7 | 1 | 7 | 6 | 0 | 10 +INPUTS | 18 | BERR_IOBS | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | IOACT | iobm/VPArf | iobm/VPArr | fsb/BERR0r.EXP +INPUTMC | 17 | 0 | 11 | 4 | 2 | 7 | 12 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 | 0 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 4 | 16 | 6 | 15 | 6 | 14 | 0 | 12 +INPUTP | 1 | 112 +EXPORTS | 1 | 0 | 10 +IMPORTS | 1 | 0 | 12 +EQ | 13 | BERR_IOBS.T = BERR_IOBS & nAS_FSB & !fsb/ASrf - # iobs/Once & BERR_IOBS & !IOBERR & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !BERR_IOBS & IOBERR & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !BERR_IOBS & IOBERR & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + # iobs/Once & BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !BERR_IOBS & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & IOBERR & nADoutLE1 +;Imported pterms FB1_13 + # iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1; BERR_IOBS.CLK = CLK_FSB; // GCK + BERR_IOBS.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> + # nVMA_IOB & iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & + !iobm/ES<3> & !iobm/ES<4> & IOACT & iobm/VPArf & iobm/VPArr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 17 | IOBERR +MACROCELL | 0 | 15 | IORW0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 4 | 14 | 4 | 17 | 1 | 17 | 4 | 16 | 4 | 0 -INPUTS | 17 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | EXP33_.EXP -INPUTMC | 15 | 4 | 17 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 16 -INPUTP | 2 | 28 | 42 -EXPORTS | 1 | 4 | 0 -IMPORTS | 1 | 4 | 16 -EQ | 24 | - IOBERR.T = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/ETACK - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/ETACK - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr -;Imported pterms FB5_17 - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr; - IOBERR.CLK = CLK2X_IOB; // GCK - IOBERR.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 2 | 2 | IORW0 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 2 | 2 | 1 | 13 | 1 | 10 | 1 | 11 | 2 | 0 | 2 | 1 | 2 | 3 -INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | EXP23_.EXP | iobs/IOACTr.EXP -INPUTMC | 9 | 2 | 2 | 2 | 16 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 9 | 2 | 6 | 2 | 1 | 2 | 3 -INPUTP | 3 | 105 | 98 | 21 -IMPORTS | 2 | 2 | 1 | 2 | 3 +OUTPUTMC | 7 | 0 | 15 | 1 | 16 | 1 | 10 | 1 | 11 | 0 | 14 | 0 | 16 | 0 | 17 +INPUTS | 12 | IORW0 | iobs/IORW1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<23> | iobs/Once | nWE_FSB | nAS_FSB | fsb/ASrf | cs/nOverlay0.EXP | EXP14_.EXP +INPUTMC | 9 | 0 | 15 | 0 | 3 | 0 | 8 | 5 | 4 | 5 | 14 | 7 | 12 | 4 | 2 | 0 | 14 | 0 | 16 +INPUTP | 3 | 127 | 97 | 112 +IMPORTS | 2 | 0 | 14 | 0 | 16 EQ | 60 | IORW0.T = IORW0 & !iobs/IORW1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1 @@ -187,7 +150,7 @@ EQ | 60 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB3_2 +;Imported pterms FB1_15 # A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & @@ -202,24 +165,7 @@ EQ | 60 | # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -;Imported pterms FB3_4 +;Imported pterms FB1_17 # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & @@ -235,38 +181,65 @@ EQ | 60 | # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_18 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1; IORW0.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 3 | cs/nOverlay0 +MACROCELL | 0 | 14 | cs/nOverlay0 ATTRIBUTES | 4367104 | 0 -OUTPUTMC | 3 | 3 | 2 | 6 | 3 | 6 | 4 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 -INPUTMC | 6 | 6 | 3 | 2 | 6 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 2 -INPUTP | 5 | 105 | 15 | 109 | 114 | 21 -EXPORTS | 1 | 6 | 4 -EQ | 12 | - cs/nOverlay0.T = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & +OUTPUTMC | 3 | 5 | 7 | 0 | 13 | 0 | 15 +INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | A_FSB_21_IBUF$BUF0.EXP +INPUTMC | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 | 0 | 13 +INPUTP | 6 | 127 | 97 | 153 | 134 | 22 | 112 +EXPORTS | 1 | 0 | 15 +IMPORTS | 1 | 0 | 13 +EQ | 21 | + cs/nOverlay0.T = ;Imported pterms FB1_14 + !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & !nAS_FSB # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay0 & fsb/ASrf; cs/nOverlay0.CLK = CLK_FSB; // GCK !cs/nOverlay0.AR = nRES; // GSR - cs/nOverlay0.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf + cs/nOverlay0.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & + !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + fsb/ASrf & nADoutLE1 GLOBALS | 2 | 2 | CLK_FSB | 4 | nRES -MACROCELL | 5 | 15 | IOL0 +MACROCELL | 5 | 10 | IOL0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 10 INPUTS | 5 | iobs/IOL1 | nADoutLE1 | nLDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 7 | 2 | 10 | 2 | 8 | 2 | 11 -INPUTP | 1 | 37 +INPUTMC | 4 | 5 | 6 | 5 | 14 | 0 | 8 | 5 | 4 +INPUTP | 1 | 15 EQ | 4 | IOL0.D = !nLDS_FSB & nADoutLE1 # iobs/IOL1 & !nADoutLE1; @@ -274,12 +247,12 @@ EQ | 4 | IOL0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 13 | IOU0 +MACROCELL | 3 | 17 | IOU0 ATTRIBUTES | 8553280 | 0 OUTPUTMC | 1 | 1 | 11 INPUTS | 5 | iobs/IOU1 | nADoutLE1 | nUDS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 -INPUTMC | 4 | 5 | 6 | 2 | 10 | 2 | 8 | 2 | 11 -INPUTP | 1 | 82 +INPUTMC | 4 | 4 | 7 | 5 | 14 | 0 | 8 | 5 | 4 +INPUTP | 1 | 28 EQ | 4 | IOU0.D = !nUDS_FSB & nADoutLE1 # iobs/IOU1 & !nADoutLE1; @@ -287,12 +260,12 @@ EQ | 4 | IOU0.CE = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 15 | TimeoutA +MACROCELL | 3 | 16 | TimeoutA ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 1 | 15 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 +OUTPUTMC | 7 | 3 | 16 | 7 | 7 | 7 | 15 | 7 | 2 | 7 | 1 | 7 | 6 | 7 | 8 INPUTS | 10 | TimeoutA | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 9 | 1 | 15 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 -INPUTP | 1 | 21 +INPUTMC | 9 | 3 | 16 | 4 | 2 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +INPUTP | 1 | 112 EQ | 8 | TimeoutA.T = TimeoutA & nAS_FSB & !fsb/ASrf # !TimeoutA & !nAS_FSB & !cnt/RefCnt<0> & @@ -304,12 +277,12 @@ EQ | 8 | TimeoutA.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 14 | TimeoutB +MACROCELL | 3 | 15 | TimeoutB ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 1 | 14 | 3 | 15 | 0 | 5 | 0 | 16 +OUTPUTMC | 9 | 3 | 15 | 0 | 12 | 7 | 17 | 7 | 4 | 0 | 1 | 7 | 1 | 7 | 3 | 7 | 6 | 7 | 16 INPUTS | 12 | TimeoutB | nAS_FSB | fsb/ASrf | cnt/TimeoutBPre | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 11 | 1 | 14 | 2 | 6 | 1 | 12 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 -INPUTP | 1 | 21 +INPUTMC | 11 | 3 | 15 | 4 | 2 | 3 | 13 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 +INPUTP | 1 | 112 EQ | 8 | TimeoutB.T = TimeoutB & nAS_FSB & !fsb/ASrf # !TimeoutB & cnt/TimeoutBPre & !nAS_FSB & @@ -321,12 +294,12 @@ EQ | 8 | TimeoutB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 12 | cnt/TimeoutBPre +MACROCELL | 3 | 13 | cnt/TimeoutBPre ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 1 | 14 | 1 | 12 +OUTPUTMC | 2 | 3 | 15 | 3 | 13 INPUTS | 11 | cnt/TimeoutBPre | nAS_FSB | fsb/ASrf | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefCnt<7> -INPUTMC | 10 | 1 | 12 | 2 | 6 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 -INPUTP | 1 | 21 +INPUTMC | 10 | 3 | 13 | 4 | 2 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 +INPUTP | 1 | 112 EQ | 8 | cnt/TimeoutBPre.T = cnt/TimeoutBPre & nAS_FSB & !fsb/ASrf # !cnt/TimeoutBPre & !nAS_FSB & !cnt/RefCnt<0> & @@ -338,42 +311,41 @@ EQ | 8 | cnt/TimeoutBPre.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 15 | fsb/BERR0r +MACROCELL | 0 | 12 | fsb/BERR0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 3 | 15 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 3 | 16 -INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | nWE_FSB -INPUTMC | 3 | 3 | 15 | 1 | 14 | 2 | 6 -INPUTP | 6 | 105 | 15 | 109 | 114 | 21 | 98 -EXPORTS | 1 | 3 | 16 -EQ | 8 | +OUTPUTMC | 8 | 0 | 12 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 7 | 1 | 7 | 6 | 0 | 11 +INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | fsb/BERR0r | TimeoutB | nAS_FSB | fsb/ASrf | iobs/Once | BERR_IOBS | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 +INPUTMC | 9 | 0 | 12 | 3 | 15 | 4 | 2 | 7 | 12 | 0 | 11 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 +INPUTP | 5 | 127 | 153 | 134 | 22 | 112 +EXPORTS | 1 | 0 | 11 +EQ | 7 | !fsb/BERR0r.D = !TimeoutB & !fsb/BERR0r # nAS_FSB & !fsb/ASrf # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/BERR0r; fsb/BERR0r.CLK = CLK_FSB; // GCK - fsb/BERR0r.EXP = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB + fsb/BERR0r.EXP = iobs/Once & !BERR_IOBS & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 8 | fsb/BERR1r +MACROCELL | 3 | 10 | fsb/BERR1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 1 | 0 | 5 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 +OUTPUTMC | 7 | 3 | 10 | 7 | 17 | 7 | 4 | 0 | 1 | 0 | 0 | 7 | 1 | 7 | 6 INPUTS | 4 | BERR_IOBS | fsb/BERR1r | nAS_FSB | fsb/ASrf -INPUTMC | 3 | 4 | 14 | 1 | 8 | 2 | 6 -INPUTP | 1 | 21 +INPUTMC | 3 | 0 | 11 | 3 | 10 | 4 | 2 +INPUTP | 1 | 112 EQ | 3 | !fsb/BERR1r.D = !BERR_IOBS & !fsb/BERR1r # nAS_FSB & !fsb/ASrf; fsb/BERR1r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 6 | fsb/Ready0r +MACROCELL | 5 | 9 | fsb/Ready0r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 +OUTPUTMC | 4 | 5 | 9 | 7 | 16 | 7 | 0 | 7 | 5 INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 3 | 2 | 6 | 6 | 6 | 16 | 2 | 6 -INPUTP | 4 | 105 | 15 | 109 | 21 +INPUTMC | 4 | 5 | 7 | 5 | 9 | 2 | 12 | 4 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 EQ | 6 | !fsb/Ready0r.D = nAS_FSB & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & @@ -383,15 +355,17 @@ EQ | 6 | fsb/Ready0r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 6 | fsb/Ready1r +MACROCELL | 7 | 8 | fsb/Ready1r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 6 | 0 | 12 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 -INPUTS | 17 | nAS_FSB | fsb/ASrf | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nBERR_FSB_OBUF.EXP -INPUTMC | 5 | 2 | 6 | 0 | 6 | 1 | 17 | 3 | 2 | 0 | 5 -INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 -IMPORTS | 1 | 0 | 5 -EQ | 20 | +OUTPUTMC | 10 | 7 | 6 | 7 | 16 | 7 | 3 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 9 | 7 | 10 | 7 | 7 +INPUTS | 22 | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | EXP32_.EXP +INPUTMC | 5 | 4 | 2 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 9 +INPUTP | 17 | 112 | 117 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 +EXPORTS | 1 | 7 | 7 +IMPORTS | 1 | 7 | 9 +EQ | 34 | !fsb/Ready1r.D = nAS_FSB & !fsb/ASrf +;Imported pterms FB8_10 # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady @@ -401,25 +375,38 @@ EQ | 20 | # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady -;Imported pterms FB1_6 # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1 +;Imported pterms FB8_11 # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & !nADoutLE1; fsb/Ready1r.CLK = CLK_FSB; // GCK + fsb/Ready1r.EXP = nAS_FSB & !fsb/ASrf + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 11 | fsb/Ready2r +MACROCELL | 7 | 7 | fsb/Ready2r ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 0 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 17 -INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | nOE_OBUF.EXP -INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 10 -INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 -IMPORTS | 1 | 0 | 10 +OUTPUTMC | 6 | 7 | 7 | 7 | 15 | 7 | 2 | 7 | 1 | 7 | 6 | 7 | 8 +INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> | fsb/Ready1r.EXP +INPUTMC | 4 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 8 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 +IMPORTS | 1 | 7 | 8 EQ | 35 | !fsb/Ready2r.D = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & @@ -441,7 +428,7 @@ EQ | 35 | !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r -;Imported pterms FB1_11 +;Imported pterms FB8_9 # nAS_FSB & !fsb/ASrf # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & @@ -458,161 +445,191 @@ EQ | 35 | fsb/Ready2r.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 14 | fsb/VPA +MACROCELL | 7 | 17 | fsb/VPA ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 0 | 14 | 5 | 14 | 0 | 12 | 0 | 13 | 0 | 15 -INPUTS | 9 | fsb/BERR1r | fsb/VPA | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | EXP19_.EXP | EXP20_.EXP -INPUTMC | 8 | 1 | 8 | 0 | 14 | 0 | 9 | 4 | 14 | 3 | 15 | 0 | 16 | 0 | 13 | 0 | 15 -INPUTP | 1 | 21 -IMPORTS | 2 | 0 | 13 | 0 | 15 -EQ | 65 | - fsb/VPA.D = BERR_IOBS & fsb/VPA & !nAS_FSB - # fsb/BERR0r & fsb/VPA & !nAS_FSB - # fsb/BERR1r & fsb/VPA & !nAS_FSB - # fsb/VPA & !nAS_FSB & - fsb/VPA__or00001/fsb/VPA__or00001_D2 - # fsb/VPA & !nAS_FSB & !$OpTx$FX_DC$602 -;Imported pterms FB1_14 - # BERR_IOBS & fsb/VPA & fsb/ASrf - # fsb/BERR0r & fsb/VPA & fsb/ASrf - # fsb/BERR1r & fsb/VPA & fsb/ASrf - # fsb/VPA & fsb/ASrf & - fsb/VPA__or00001/fsb/VPA__or00001_D2 - # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 -;Imported pterms FB1_13 +OUTPUTMC | 6 | 7 | 17 | 6 | 11 | 7 | 0 | 7 | 1 | 7 | 15 | 7 | 16 +INPUTS | 10 | BERR_IOBS | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | fsb/BERR0r | fsb/BERR1r | A_FSB<20> | TimeoutB | A_FSB<23> | EXP26_.EXP | EXP37_.EXP +INPUTMC | 8 | 0 | 11 | 7 | 17 | 4 | 6 | 0 | 12 | 3 | 10 | 3 | 15 | 7 | 0 | 7 | 16 +INPUTP | 2 | 22 | 127 +IMPORTS | 2 | 7 | 0 | 7 | 16 +EQ | 95 | + fsb/VPA.D = BERR_IOBS & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # fsb/BERR0r & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # fsb/BERR1r & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<23> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # !A_FSB<20> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_2 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 -;Imported pterms FB1_16 - # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - $OpTx$FX_DC$606 - # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - $OpTx$FX_DC$606 + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_17 + # !A_FSB<22> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<21> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady -;Imported pterms FB1_17 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606; + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_16 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439; fsb/VPA.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 7 | iobs/IOL1 +MACROCELL | 5 | 6 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 15 +OUTPUTMC | 1 | 5 | 10 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 5 -INPUTP | 1 | 37 +INPUTMC | 1 | 2 | 9 +INPUTP | 1 | 15 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; iobs/IOL1.CLK = CLK_FSB; // GCK iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 16 | iobs/IORW1 +MACROCELL | 0 | 3 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 3 | 2 | 2 | 2 | 16 | 2 | 15 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP25_.EXP | ram/BACTr.EXP -INPUTMC | 5 | 2 | 16 | 2 | 10 | 3 | 9 | 2 | 15 | 2 | 17 -INPUTP | 4 | 105 | 109 | 114 | 98 -IMPORTS | 2 | 2 | 15 | 2 | 17 +OUTPUTMC | 3 | 0 | 15 | 0 | 3 | 0 | 2 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | iobs/IORW1 | nADoutLE1 | iobs/Once | A_FSB<20> | nWE_FSB | EXP11_.EXP | nDinOE_OBUF.EXP +INPUTMC | 5 | 0 | 3 | 5 | 14 | 7 | 12 | 0 | 2 | 0 | 4 +INPUTP | 4 | 127 | 134 | 22 | 97 +IMPORTS | 2 | 0 | 2 | 0 | 4 EQ | 21 | !iobs/IORW1.T = iobs/Once # !nADoutLE1 # !A_FSB<23> & !A_FSB<20> # nWE_FSB & iobs/IORW1 # !A_FSB<23> & A_FSB<21> & !iobs/IORW1 -;Imported pterms FB3_16 +;Imported pterms FB1_3 # !nWE_FSB & !iobs/IORW1 # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_15 +;Imported pterms FB1_2 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 -;Imported pterms FB3_18 +;Imported pterms FB1_5 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<23> & A_FSB<21> & !A_FSB<17> # !A_FSB<23> & A_FSB<21> & !A_FSB<16>; iobs/IORW1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 17 | iobs/IOReady +MACROCELL | 5 | 12 | iobs/IOReady ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 0 | 6 | 0 | 12 | 1 | 17 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 5 | 0 | 15 | 0 | 16 -INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | IOBERR | iobs/PS_FSM_FFd2 | iobs/IOACTr | nADoutLE1 -INPUTMC | 7 | 1 | 17 | 2 | 6 | 3 | 9 | 4 | 17 | 2 | 8 | 2 | 3 | 2 | 10 -INPUTP | 1 | 21 +OUTPUTMC | 10 | 7 | 6 | 7 | 16 | 5 | 12 | 7 | 3 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 9 | 7 | 10 +INPUTS | 8 | iobs/IOReady | nAS_FSB | fsb/ASrf | iobs/Once | iobs/PS_FSM_FFd2 | iobs/IOACTr | IOBERR | nADoutLE1 +INPUTMC | 7 | 5 | 12 | 4 | 2 | 7 | 12 | 0 | 8 | 4 | 0 | 4 | 17 | 5 | 14 +INPUTP | 1 | 112 EQ | 8 | iobs/IOReady.T = iobs/IOReady & nAS_FSB & !fsb/ASrf - # iobs/Once & IOBERR & iobs/IOReady & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !IOBERR & !iobs/IOReady & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & nADoutLE1 - # iobs/Once & !IOBERR & !iobs/IOReady & - !iobs/PS_FSM_FFd2 & !iobs/IOACTr & fsb/ASrf & nADoutLE1; + # iobs/Once & iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/IOACTr & !IOBERR & nADoutLE1 + # iobs/Once & !iobs/IOReady & !iobs/PS_FSM_FFd2 & + !iobs/IOACTr & !IOBERR & fsb/ASrf & nADoutLE1; iobs/IOReady.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 6 | iobs/IOU1 +MACROCELL | 4 | 7 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 5 | 13 +OUTPUTMC | 1 | 3 | 17 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 5 -INPUTP | 1 | 82 +INPUTMC | 1 | 2 | 9 +INPUTP | 1 | 28 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; iobs/IOU1.CLK = CLK_FSB; // GCK iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 10 | ram/RAMDIS2 +MACROCELL | 2 | 7 | ram/RAMDIS2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 6 | 10 | 2 | 4 | 5 | 5 | 5 | 8 | 6 | 9 | 6 | 11 -INPUTS | 17 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<9> | ram/RASEL | ram/Once.EXP -INPUTMC | 13 | 6 | 10 | 2 | 6 | 6 | 9 | 1 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 3 | 0 | 6 | 9 -INPUTP | 4 | 21 | 105 | 15 | 19 -EXPORTS | 1 | 6 | 11 -IMPORTS | 1 | 6 | 9 +OUTPUTMC | 6 | 2 | 7 | 3 | 11 | 3 | 8 | 2 | 5 | 2 | 6 | 2 | 8 +INPUTS | 18 | ram/RAMDIS2 | nAS_FSB | fsb/ASrf | ram/Once | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | A_FSB<14> | A_FSB<13> | A_FSB<21> | EXP20_.EXP +INPUTMC | 12 | 2 | 7 | 4 | 2 | 5 | 0 | 3 | 12 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 | 2 | 6 +INPUTP | 6 | 112 | 127 | 153 | 102 | 19 | 134 +EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 2 | 6 EQ | 26 | ram/RAMDIS2.T = ram/RAMDIS2 & nAS_FSB & !fsb/ASrf # ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & @@ -625,7 +642,7 @@ EQ | 26 | !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB7_10 +;Imported pterms FB3_7 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & @@ -639,61 +656,48 @@ EQ | 26 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf; ram/RAMDIS2.CLK = CLK_FSB; // GCK - ram/RAMDIS2.EXP = A_FSB<9> & ram/RASEL + ram/RAMDIS2.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 0 | 1 | nDTACK_FSB_OBUF +MACROCELL | 7 | 4 | nDTACK_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 5 | 0 | 1 | 0 | 0 | 0 | 2 | 0 | 3 | 0 | 17 -INPUTS | 9 | fsb/BERR1r | nDTACK_FSB | BERR_IOBS | fsb/BERR0r | $OpTx$FX_DC$602 | nAS_FSB | fsb/ASrf | EXP14_.EXP | EXP15_.EXP -INPUTMC | 8 | 1 | 8 | 0 | 1 | 4 | 14 | 3 | 15 | 0 | 16 | 2 | 6 | 0 | 0 | 0 | 2 -INPUTP | 1 | 21 -IMPORTS | 2 | 0 | 0 | 0 | 2 -EQ | 82 | +OUTPUTMC | 5 | 7 | 4 | 7 | 2 | 7 | 3 | 7 | 5 | 7 | 6 +INPUTS | 10 | BERR_IOBS | nDTACK_FSB | fsb/BERR0r | fsb/BERR1r | nAS_FSB | fsb/ASrf | A_FSB<20> | TimeoutB | EXP29_.EXP | EXP30_.EXP +INPUTMC | 8 | 0 | 11 | 7 | 4 | 0 | 12 | 3 | 10 | 4 | 2 | 3 | 15 | 7 | 3 | 7 | 5 +INPUTP | 2 | 112 | 22 +IMPORTS | 2 | 7 | 3 | 7 | 5 +EQ | 65 | nDTACK_FSB.D = BERR_IOBS & nDTACK_FSB # fsb/BERR0r & nDTACK_FSB # fsb/BERR1r & nDTACK_FSB # nAS_FSB & !fsb/ASrf - # nDTACK_FSB & !$OpTx$FX_DC$602 -;Imported pterms FB1_1 + # !A_FSB<20> & TimeoutB & nDTACK_FSB +;Imported pterms FB8_4 + # A_FSB<23> & TimeoutB & nDTACK_FSB + # !A_FSB<22> & TimeoutB & nDTACK_FSB + # A_FSB<21> & TimeoutB & nDTACK_FSB # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB +;Imported pterms FB8_3 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 +;Imported pterms FB8_6 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB1_18 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_3 # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB @@ -701,46 +705,42 @@ EQ | 82 | A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 +;Imported pterms FB8_7 # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_4 + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & $OpTx$FX_DC$602 + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & $OpTx$FX_DC$602; + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady; nDTACK_FSB.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 0 | ram/RASEL +MACROCELL | 2 | 3 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 12 | 5 | 1 | 6 | 14 | 4 | 1 | 3 | 7 | 4 | 7 | 3 | 11 | 6 | 1 | 6 | 5 | 6 | 8 | 6 | 11 | 3 | 2 | 6 | 10 -INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | RA_0_OBUF.EXP | EXP32_.EXP -INPUTMC | 11 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 1 | 3 | 17 -INPUTP | 2 | 105 | 21 -IMPORTS | 2 | 3 | 1 | 3 | 17 +OUTPUTMC | 11 | 4 | 14 | 2 | 10 | 3 | 1 | 4 | 1 | 4 | 5 | 4 | 8 | 5 | 1 | 5 | 5 | 5 | 8 | 5 | 11 | 4 | 11 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | EXP18_.EXP | nRAS_OBUF.EXP +INPUTMC | 11 | 5 | 16 | 5 | 3 | 5 | 13 | 3 | 12 | 3 | 0 | 4 | 2 | 3 | 4 | 3 | 3 | 3 | 2 | 2 | 2 | 2 | 4 +INPUTP | 2 | 127 | 112 +IMPORTS | 2 | 2 | 2 | 2 | 4 EQ | 50 | ram/RASEL.D = !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & @@ -751,20 +751,7 @@ EQ | 50 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB4_2 - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf - # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - !fsb/ASrf -;Imported pterms FB4_18 +;Imported pterms FB3_3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -775,7 +762,7 @@ EQ | 50 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_17 +;Imported pterms FB3_2 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 @@ -790,16 +777,29 @@ EQ | 50 | cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7>; + cnt/RefCnt<7> +;Imported pterms FB3_5 + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf + # !cnt/RefDone & nAS_FSB & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + !fsb/ASrf; ram/RASEL.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 12 | ram/RS_FSM_FFd2 +MACROCELL | 5 | 16 | ram/RS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 17 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 17 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | RA_8_OBUF.EXP | cnt/RefCnt<7>.EXP -INPUTMC | 10 | 6 | 12 | 6 | 4 | 1 | 9 | 6 | 7 | 6 | 15 | 2 | 17 | 6 | 13 | 6 | 0 | 6 | 11 | 6 | 13 -IMPORTS | 2 | 6 | 11 | 6 | 13 +OUTPUTMC | 16 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 17 | 2 | 16 | 2 | 6 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 13 | 2 | 17 | 5 | 2 | 5 | 4 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<6> | ram/BACTr | cnt/RefCnt<7> | cnt/RefCnt<5> | iobs/Clear1.EXP | RefAck.EXP +INPUTMC | 10 | 5 | 16 | 5 | 3 | 3 | 12 | 5 | 13 | 3 | 3 | 3 | 0 | 3 | 2 | 3 | 4 | 5 | 15 | 5 | 17 +IMPORTS | 2 | 5 | 15 | 5 | 17 EQ | 28 | !ram/RS_FSM_FFd2.T = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -809,7 +809,7 @@ EQ | 28 | !cnt/RefCnt<6> & ram/BACTr # !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & ram/BACTr & !cnt/RefCnt<7> -;Imported pterms FB7_12 +;Imported pterms FB6_16 # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<5> & !fsb/ASrf # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & @@ -818,7 +818,7 @@ EQ | 28 | !cnt/RefCnt<7> & !fsb/ASrf # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 -;Imported pterms FB7_14 +;Imported pterms FB6_18 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & @@ -831,12 +831,30 @@ EQ | 28 | ram/RS_FSM_FFd2.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 7 | ram/RS_FSM_FFd1 +MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd4 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 14 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 14 +INPUTS | 6 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd4 | iobm/IOREQr | CLK_IOB +INPUTMC | 5 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 13 | 1 | 2 +INPUTP | 1 | 42 +EQ | 9 | + !iobm/IOS_FSM_FFd4.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & CLK_IOB + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; + iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 13 | ram/RS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 22 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 5 | 4 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 11 | 3 | 12 | 3 | 14 | 3 | 16 | 3 | 17 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 13 | 6 | 15 | 6 | 17 +OUTPUTMC | 21 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 17 | 2 | 16 | 2 | 12 | 2 | 0 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 2 | 5 | 4 | 5 | 15 INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> -INPUTMC | 6 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 -INPUTP | 4 | 105 | 15 | 21 | 109 +INPUTMC | 6 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 5 | 3 | 4 | 2 +INPUTP | 4 | 127 | 153 | 112 | 134 EQ | 13 | ram/RS_FSM_FFd1.T = ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -853,13 +871,13 @@ EQ | 13 | ram/RS_FSM_FFd1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 4 | ram/RS_FSM_FFd3 +MACROCELL | 5 | 3 | ram/RS_FSM_FFd3 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 15 | 6 | 9 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 3 | 13 | 6 | 13 | 3 | 1 | 3 | 14 | 6 | 3 | 6 | 5 | 6 | 8 | 6 | 11 | 6 | 17 -INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | cs/nOverlay0.EXP | RA_6_OBUF.EXP -INPUTMC | 10 | 6 | 12 | 6 | 7 | 6 | 4 | 6 | 9 | 6 | 15 | 6 | 13 | 1 | 9 | 6 | 0 | 6 | 3 | 6 | 5 -INPUTP | 1 | 105 -IMPORTS | 2 | 6 | 3 | 6 | 5 +OUTPUTMC | 15 | 5 | 0 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 2 | 16 | 2 | 6 | 2 | 4 | 2 | 13 | 2 | 17 | 5 | 2 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 11 | A_FSB<23> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | ram/Once | cnt/RefCnt<6> | cnt/RefCnt<7> | cnt/RefDone | cnt/RefCnt<5> | ALE0S.EXP | iobs/PS_FSM_FFd1.EXP +INPUTMC | 10 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 0 | 3 | 3 | 3 | 2 | 3 | 12 | 3 | 4 | 5 | 2 | 5 | 4 +INPUTP | 1 | 127 +IMPORTS | 2 | 5 | 2 | 5 | 4 EQ | 27 | !ram/RS_FSM_FFd3.T = A_FSB<23> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -871,16 +889,16 @@ EQ | 27 | !ram/RS_FSM_FFd3 & !cnt/RefCnt<6> # ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & !cnt/RefCnt<7> -;Imported pterms FB7_4 +;Imported pterms FB6_3 # A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & !fsb/ASrf -;Imported pterms FB7_6 # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf +;Imported pterms FB6_5 # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> @@ -890,14 +908,64 @@ EQ | 27 | ram/RS_FSM_FFd3.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 8 | iobs/PS_FSM_FFd2 +MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 20 | 3 | 9 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 1 | 17 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 2 | 11 | 2 | 13 | 3 | 8 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | ALE0S.EXP | EXP24_.EXP -INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 7 | 2 | 9 -INPUTP | 3 | 105 | 114 | 21 -IMPORTS | 2 | 2 | 7 | 2 | 9 -EQ | 31 | +OUTPUTMC | 13 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 +INPUTS | 13 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/RESrf | iobm/RESrr | nCAS_OBUF.EXP +INPUTMC | 12 | 4 | 13 | 4 | 15 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 4 | 9 | 4 | 10 | 1 | 1 | 1 | 0 | 4 | 14 +INPUTP | 1 | 42 +EXPORTS | 1 | 4 | 16 +IMPORTS | 1 | 4 | 14 +EQ | 12 | + !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & + iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & + iobm/BERRrr +;Imported pterms FB5_15 + # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & + iobm/RESrr; + iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK + iobm/IOS_FSM_FFd3.EXP = !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 9 | iobm/IOS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 1 | 16 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 15 +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 +EQ | 5 | + !iobm/IOS_FSM_FFd2.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; + iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 16 | 4 | 17 | 4 | 12 | 1 | 13 | 6 | 1 | 1 | 10 | 1 | 11 | 4 | 0 | 4 | 15 +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd3 +INPUTMC | 4 | 4 | 13 | 4 | 9 | 4 | 10 | 4 | 15 +EQ | 5 | + !iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2; + iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 0 | 8 | iobs/PS_FSM_FFd2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 22 | 7 | 12 | 0 | 11 | 0 | 15 | 5 | 10 | 3 | 17 | 0 | 2 | 5 | 12 | 0 | 8 | 5 | 4 | 2 | 9 | 5 | 2 | 0 | 6 | 5 | 15 | 0 | 5 | 0 | 7 | 0 | 9 | 0 | 10 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 +INPUTS | 10 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | A_FSB<21> | cs/nOverlay1 | EXP13_.EXP +INPUTMC | 7 | 0 | 8 | 5 | 4 | 4 | 0 | 7 | 12 | 5 | 14 | 5 | 7 | 0 | 9 +INPUTP | 3 | 127 | 22 | 134 +EXPORTS | 1 | 0 | 7 +IMPORTS | 1 | 0 | 9 +EQ | 33 | !iobs/PS_FSM_FFd2.D = iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & iobs/IOACTr # !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 & @@ -906,9 +974,9 @@ EQ | 31 | nADoutLE1 # !A_FSB<23> & !A_FSB<20> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_10 # nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !fsb/ASrf & nADoutLE1 -;Imported pterms FB3_8 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & @@ -917,7 +985,7 @@ EQ | 31 | !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -;Imported pterms FB3_10 +;Imported pterms FB1_11 # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & @@ -929,13 +997,15 @@ EQ | 31 | # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1; iobs/PS_FSM_FFd2.CLK = CLK_FSB; // GCK + iobs/PS_FSM_FFd2.EXP = !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay1 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 12 | iobm/ES<0> +MACROCELL | 1 | 14 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 7 | 1 | 4 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 7 | iobm/ES<0> | iobm/Er | iobm/Er2 | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 12 | 7 | 17 | 5 | 0 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 +INPUTMC | 7 | 1 | 14 | 6 | 16 | 1 | 3 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !iobm/Er & iobm/Er2 # !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & @@ -945,11 +1015,11 @@ EQ | 6 | iobm/ES<0>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 10 | iobm/ES<1> +MACROCELL | 1 | 12 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 7 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 7 | 1 | 4 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 4 | iobm/ES<0> | iobm/ES<1> | iobm/Er | iobm/Er2 -INPUTMC | 4 | 5 | 12 | 5 | 10 | 7 | 17 | 5 | 0 +INPUTMC | 4 | 1 | 14 | 1 | 12 | 6 | 16 | 1 | 3 EQ | 4 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> @@ -957,29 +1027,11 @@ EQ | 4 | iobm/ES<1>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 15 | iobm/IOS_FSM_FFd3 +MACROCELL | 1 | 17 | iobm/ES<2> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 16 -INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 9 | 4 | 15 | 4 | 5 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 -INPUTP | 1 | 42 -EQ | 9 | - !iobm/IOS_FSM_FFd3.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/ETACK - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/BERRrf & - iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/DTACKrf & - iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & - iobm/RESrr; - iobm/IOS_FSM_FFd3.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 17 | iobm/ES<2> -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 6 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 7 | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/Er | iobm/Er2 | iobm/ES<3> | iobm/ES<4> -INPUTMC | 7 | 5 | 12 | 5 | 17 | 5 | 10 | 7 | 17 | 5 | 0 | 5 | 9 | 5 | 16 +INPUTMC | 7 | 1 | 14 | 1 | 17 | 1 | 12 | 6 | 16 | 1 | 3 | 1 | 9 | 1 | 15 EQ | 6 | !iobm/ES<2>.D = !iobm/ES<0> & !iobm/ES<2> # !iobm/ES<1> & !iobm/ES<2> @@ -989,36 +1041,30 @@ EQ | 6 | iobm/ES<2>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 11 | iobs/PS_FSM_FFd1 +MACROCELL | 5 | 4 | iobs/PS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 16 | 3 | 9 | 2 | 2 | 5 | 15 | 5 | 13 | 2 | 15 | 2 | 8 | 2 | 10 | 3 | 5 | 2 | 7 | 2 | 12 | 2 | 5 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 9 | 3 | 8 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | nADoutLE1_OBUF.EXP -INPUTMC | 4 | 2 | 8 | 2 | 10 | 3 | 2 | 2 | 10 -INPUTP | 6 | 105 | 15 | 109 | 117 | 153 | 98 -EXPORTS | 1 | 2 | 12 -IMPORTS | 1 | 2 | 10 -EQ | 14 | - iobs/PS_FSM_FFd1.D = ;Imported pterms FB3_11 - iobs/PS_FSM_FFd2 +OUTPUTMC | 18 | 7 | 12 | 0 | 15 | 5 | 10 | 3 | 17 | 0 | 2 | 0 | 8 | 5 | 4 | 2 | 9 | 5 | 2 | 0 | 6 | 5 | 15 | 0 | 9 | 0 | 10 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 11 | 5 | 3 +INPUTS | 12 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf +INPUTMC | 11 | 0 | 8 | 5 | 4 | 4 | 0 | 3 | 12 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 4 | 2 +INPUTP | 1 | 112 +EXPORTS | 1 | 5 | 3 +EQ | 9 | + iobs/PS_FSM_FFd1.D = iobs/PS_FSM_FFd2 # iobs/PS_FSM_FFd1 & iobs/IOACTr; iobs/PS_FSM_FFd1.CLK = CLK_FSB; // GCK - iobs/PS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & nADoutLE1 + iobs/PS_FSM_FFd1.EXP = !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & + ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & + ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 9 | iobm/ES<3> +MACROCELL | 1 | 9 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 6 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 13 +OUTPUTMC | 6 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 9 | 1 | 15 | 0 | 11 INPUTS | 6 | iobm/ES<3> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> -INPUTMC | 6 | 5 | 9 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 +INPUTMC | 6 | 1 | 9 | 6 | 16 | 1 | 3 | 1 | 14 | 1 | 12 | 1 | 17 EQ | 4 | iobm/ES<3>.T = iobm/ES<3> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & iobm/Er @@ -1026,11 +1072,11 @@ EQ | 4 | iobm/ES<3>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 16 | iobm/ES<4> +MACROCELL | 1 | 15 | iobm/ES<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 2 | 14 | 5 | 12 | 5 | 17 | 5 | 16 | 2 | 13 +OUTPUTMC | 5 | 1 | 4 | 1 | 14 | 1 | 17 | 1 | 15 | 0 | 11 INPUTS | 7 | iobm/ES<4> | iobm/Er | iobm/Er2 | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> -INPUTMC | 7 | 5 | 16 | 7 | 17 | 5 | 0 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 +INPUTMC | 7 | 1 | 15 | 6 | 16 | 1 | 3 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 EQ | 8 | iobm/ES<4>.T = iobm/ES<4> & !iobm/Er & iobm/Er2 # iobm/ES<0> & iobm/ES<1> & iobm/ES<2> & @@ -1042,306 +1088,242 @@ EQ | 8 | iobm/ES<4>.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 5 | iobm/IOS_FSM_FFd4 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 10 | 4 | 15 | 4 | 0 | 4 | 12 | 1 | 16 | 7 | 14 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 4 | 4 -EQ | 2 | - iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; - iobm/IOS_FSM_FFd4.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 4 | iobm/IOS_FSM_FFd5 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 5 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 1 | iobm/IOS_FSM_FFd6 -INPUTMC | 1 | 4 | 3 -EQ | 2 | - iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; - iobm/IOS_FSM_FFd5.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 3 | iobm/IOS_FSM_FFd6 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 4 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 1 | iobm/IOS_FSM_FFd7 -INPUTMC | 1 | 4 | 2 -EQ | 2 | - iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7; - iobm/IOS_FSM_FFd6.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 2 | iobm/IOS_FSM_FFd7 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 4 | 3 | 4 | 0 | 4 | 12 | 1 | 16 | 1 | 13 | 1 | 10 | 1 | 11 | 4 | 1 | 4 | 17 -INPUTS | 3 | CLK_IOB | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 2 | 1 | 4 | 4 | 10 -INPUTP | 1 | 42 -EQ | 2 | - iobm/IOS_FSM_FFd7.D = !CLK_IOB & iobm/IOREQr & iobm/IOS_FSM_FFd8; - iobm/IOS_FSM_FFd7.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 9 | cnt/RefCnt<0> +MACROCELL | 4 | 4 | cnt/RefCnt<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 11 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +OUTPUTMC | 11 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 INPUTS | 0 EQ | 2 | cnt/RefCnt<0>.T = Vcc; cnt/RefCnt<0>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 0 | cnt/RefCnt<5> +MACROCELL | 3 | 4 | cnt/RefCnt<5> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 19 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 14 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 17 -INPUTS | 9 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> -INPUTMC | 9 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 -EXPORTS | 1 | 6 | 17 -EQ | 5 | +OUTPUTMC | 19 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 3 | 3 | 3 | 2 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 5 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 5 | 4 | 4 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +EQ | 3 | cnt/RefCnt<5>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<5>.CLK = CLK_FSB; // GCK - cnt/RefCnt<5>.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 15 | cnt/RefCnt<6> +MACROCELL | 3 | 3 | cnt/RefCnt<6> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 18 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 6 | 13 | 3 | 11 | 6 | 11 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 16 -INPUTS | 11 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> | RA_9_OBUF.EXP -INPUTMC | 7 | 3 | 2 | 6 | 9 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 6 | 14 -INPUTP | 4 | 105 | 15 | 21 | 109 -EXPORTS | 1 | 6 | 16 -IMPORTS | 1 | 6 | 14 -EQ | 14 | - cnt/RefCnt<6>.T = ;Imported pterms FB7_15 - cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & +OUTPUTMC | 18 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 3 | 2 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 6 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 6 | 4 | 4 | 3 | 4 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +EQ | 3 | + cnt/RefCnt<6>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<6>.CLK = CLK_FSB; // GCK - cnt/RefCnt<6>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !nAS_FSB & !ram/RS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd1 & fsb/ASrf - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 3 | iobs/IOACTr +MACROCELL | 4 | 0 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 14 | 1 | 17 | 2 | 8 | 2 | 10 | 2 | 12 | 2 | 2 -INPUTS | 18 | IOACT | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> -INPUTMC | 8 | 4 | 0 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 -INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 -EXPORTS | 1 | 2 | 2 -EQ | 18 | +OUTPUTMC | 7 | 0 | 11 | 5 | 12 | 0 | 8 | 5 | 4 | 0 | 6 | 0 | 12 | 4 | 17 +INPUTS | 15 | IOACT | nBERR_IOB | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IOBERR | CLK_IOB | iobm/ETACK | iobm/DTACKrf | iobm/DTACKrr | iobm/BERRrf | iobm/BERRrr | iobm/RESrf | iobm/RESrr +INPUTMC | 13 | 4 | 16 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 17 | 1 | 4 | 1 | 6 | 1 | 5 | 1 | 8 | 1 | 7 | 1 | 1 | 1 | 0 +INPUTP | 2 | 92 | 42 +EXPORTS | 1 | 4 | 17 +EQ | 14 | iobs/IOACTr.D = IOACT; iobs/IOACTr.CLK = CLK_FSB; // GCK - iobs/IOACTr.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & - !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + iobs/IOACTr.EXP = nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/ETACK + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/RESrf & iobm/RESrr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 7 | cnt/RefCnt<1> +MACROCELL | 4 | 3 | cnt/RefCnt<1> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 10 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 6 | 1 | 5 | 6 | 2 | 6 | 13 +OUTPUTMC | 10 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 3 | 2 INPUTS | 1 | cnt/RefCnt<0> -INPUTMC | 1 | 4 | 9 +INPUTMC | 1 | 4 | 4 EQ | 2 | cnt/RefCnt<1>.T = cnt/RefCnt<0>; cnt/RefCnt<1>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 6 | cnt/RefCnt<2> +MACROCELL | 3 | 9 | cnt/RefCnt<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 1 | 5 | 6 | 2 | 6 | 13 +OUTPUTMC | 9 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 7 | 3 | 6 | 3 | 2 INPUTS | 2 | cnt/RefCnt<0> | cnt/RefCnt<1> -INPUTMC | 2 | 4 | 9 | 1 | 7 +INPUTMC | 2 | 4 | 4 | 4 | 3 EQ | 2 | cnt/RefCnt<2>.T = cnt/RefCnt<0> & cnt/RefCnt<1>; cnt/RefCnt<2>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 5 | cnt/RefCnt<3> +MACROCELL | 3 | 7 | cnt/RefCnt<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 8 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 2 | 6 | 13 +OUTPUTMC | 8 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 6 | 3 | 2 INPUTS | 3 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> -INPUTMC | 3 | 4 | 9 | 1 | 7 | 1 | 6 +INPUTMC | 3 | 4 | 4 | 4 | 3 | 3 | 9 EQ | 2 | cnt/RefCnt<3>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2>; cnt/RefCnt<3>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 2 | cnt/RefCnt<4> +MACROCELL | 3 | 6 | cnt/RefCnt<4> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 7 | 1 | 9 | 1 | 15 | 1 | 14 | 1 | 12 | 6 | 0 | 6 | 14 | 6 | 13 +OUTPUTMC | 7 | 3 | 12 | 3 | 16 | 3 | 15 | 3 | 13 | 3 | 4 | 3 | 3 | 3 | 2 INPUTS | 4 | cnt/RefCnt<0> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> -INPUTMC | 4 | 4 | 9 | 1 | 7 | 1 | 6 | 1 | 5 +INPUTMC | 4 | 4 | 4 | 4 | 3 | 3 | 9 | 3 | 7 EQ | 3 | cnt/RefCnt<4>.T = cnt/RefCnt<0> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3>; cnt/RefCnt<4>.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 13 | iobm/ETACK +MACROCELL | 2 | 9 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 2 | 12 -INPUTS | 16 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 9 | 2 | 14 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 2 | 8 | 2 | 10 | 3 | 2 -INPUTP | 7 | 105 | 109 | 123 | 155 | 147 | 136 | 15 -EXPORTS | 1 | 2 | 12 -EQ | 11 | - iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & - !iobm/ES<3> & iobm/ES<4>; - iobm/ETACK.CLK = CLK2X_IOB; // GCK - iobm/ETACK.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/PS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 4 | iobm/IOREQr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 17 -INPUTS | 1 | IOREQ -INPUTMC | 1 | 2 | 12 -EQ | 2 | - iobm/IOREQr.D = IOREQ; - !iobm/IOREQr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 4 | 10 | iobm/IOS_FSM_FFd8 -ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 5 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 12 | 4 | 1 -INPUTS | 4 | iobm/IOS_FSM_FFd8 | iobm/IOS_FSM_FFd1 | CLK_IOB | iobm/IOREQr -INPUTMC | 3 | 4 | 10 | 7 | 16 | 1 | 4 -INPUTP | 1 | 42 -EQ | 3 | - !iobm/IOS_FSM_FFd8.D = !iobm/IOS_FSM_FFd8 & !iobm/IOS_FSM_FFd1 - # !CLK_IOB & iobm/IOREQr & !iobm/IOS_FSM_FFd1; - iobm/IOS_FSM_FFd8.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 3 | 5 | iobs/Load1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 7 | 5 | 6 | 2 | 10 -INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP26_.EXP | EXP27_.EXP -INPUTMC | 7 | 2 | 10 | 3 | 9 | 2 | 8 | 2 | 11 | 2 | 6 | 3 | 4 | 3 | 6 -INPUTP | 3 | 105 | 114 | 21 -IMPORTS | 2 | 3 | 4 | 3 | 6 -EQ | 18 | +OUTPUTMC | 3 | 5 | 6 | 4 | 7 | 5 | 14 +INPUTS | 10 | nADoutLE1 | iobs/Once | A_FSB<23> | A_FSB<20> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nAS_FSB | fsb/ASrf | EXP21_.EXP | RA_0_OBUF.EXP +INPUTMC | 7 | 5 | 14 | 7 | 12 | 0 | 8 | 5 | 4 | 4 | 2 | 2 | 8 | 2 | 10 +INPUTP | 3 | 127 | 22 | 112 +IMPORTS | 2 | 2 | 8 | 2 | 10 +EQ | 19 | !iobs/Load1.D = iobs/Once # !nADoutLE1 # !A_FSB<23> & !A_FSB<20> # nAS_FSB & !fsb/ASrf # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 -;Imported pterms FB4_5 +;Imported pterms FB3_9 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> # !A_FSB<23> & A_FSB<21> & nWE_FSB -;Imported pterms FB4_7 +;Imported pterms FB3_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> +;Imported pterms FB3_11 # !A_FSB<23> & A_FSB<21> & !A_FSB<18> # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1; iobs/Load1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 17 | ram/BACTr +MACROCELL | 3 | 0 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 3 | 0 | 6 | 12 | 3 | 13 | 6 | 16 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 17 | 6 | 15 | 6 | 17 | 2 | 16 -INPUTS | 8 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> -INPUTMC | 1 | 2 | 6 -INPUTP | 7 | 21 | 105 | 109 | 117 | 123 | 155 | 153 -EXPORTS | 1 | 2 | 16 -EQ | 6 | +OUTPUTMC | 10 | 2 | 3 | 5 | 16 | 2 | 16 | 2 | 12 | 2 | 2 | 2 | 4 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 4 | 2 +INPUTP | 1 | 112 +EQ | 2 | !ram/BACTr.D = nAS_FSB & !fsb/ASrf; ram/BACTr.CLK = CLK_FSB; // GCK - ram/BACTr.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 0 | IOACT +MACROCELL | 4 | 16 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 2 | 14 | 2 | 3 -INPUTS | 13 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | RA_1_OBUF.EXP | IOBERR.EXP -INPUTMC | 12 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 | 2 | 13 | 4 | 8 | 4 | 6 | 4 | 1 | 4 | 17 +OUTPUTMC | 2 | 4 | 0 | 0 | 11 +INPUTS | 12 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/IOS_FSM_FFd3.EXP +INPUTMC | 11 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 1 | 2 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 4 | 15 INPUTP | 1 | 42 -IMPORTS | 2 | 4 | 1 | 4 | 17 -EQ | 33 | - !IOACT.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOREQr - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOS_FSM_FFd8 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & - !iobm/IOREQr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & iobm/ETACK & - !iobm/IOS_FSM_FFd8 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/BERRrf & iobm/BERRrr -;Imported pterms FB5_2 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/BERRrf & iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/RESrf & iobm/RESrr -;Imported pterms FB5_18 - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOREQr & - iobm/RESrf & iobm/RESrr; +IMPORTS | 1 | 4 | 15 +EQ | 14 | + !IOACT.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/ETACK + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/BERRrf & iobm/BERRrr +;Imported pterms FB5_16 + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd2 & + iobm/IOS_FSM_FFd1 & CLK_IOB & iobm/RESrf & iobm/RESrr; IOACT.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 6 | 13 | cnt/RefCnt<7> +MACROCELL | 4 | 17 | IOBERR ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 16 | 1 | 9 | 1 | 14 | 1 | 12 | 6 | 10 | 3 | 0 | 6 | 12 | 6 | 4 | 3 | 11 | 6 | 13 | 3 | 1 | 3 | 14 | 3 | 16 | 6 | 0 | 6 | 5 | 6 | 9 | 6 | 11 -INPUTS | 18 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | ram/RS_FSM_FFd2 | cnt/RefCnt<7> -INPUTMC | 14 | 4 | 9 | 6 | 0 | 6 | 15 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 2 | 6 | 7 | 6 | 4 | 2 | 6 | 1 | 9 | 6 | 12 | 6 | 13 -INPUTP | 4 | 105 | 15 | 109 | 21 -EXPORTS | 1 | 6 | 12 -EQ | 12 | +OUTPUTMC | 5 | 0 | 11 | 5 | 12 | 4 | 17 | 0 | 12 | 4 | 0 +INPUTS | 15 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd1 | IOBERR | nBERR_IOB | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobs/IOACTr.EXP +INPUTMC | 13 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 4 | 17 | 1 | 4 | 1 | 8 | 1 | 7 | 1 | 6 | 1 | 5 | 1 | 1 | 1 | 0 | 4 | 0 +INPUTP | 2 | 92 | 42 +IMPORTS | 1 | 4 | 0 +EQ | 24 | + IOBERR.T = iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOS_FSM_FFd1 & IOBERR + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/ETACK + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/BERRrf & iobm/BERRrr + # !nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & !IOBERR & CLK_IOB & iobm/RESrf & iobm/RESrr +;Imported pterms FB5_1 + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/ETACK + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/DTACKrf & iobm/DTACKrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/BERRrf & iobm/BERRrr + # nBERR_IOB & !iobm/IOS_FSM_FFd4 & + iobm/IOS_FSM_FFd3 & iobm/IOS_FSM_FFd2 & iobm/IOS_FSM_FFd1 & IOBERR & + CLK_IOB & iobm/RESrf & iobm/RESrr; + IOBERR.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 3 | 2 | cnt/RefCnt<7> +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 3 | 12 | 3 | 15 | 3 | 13 | 2 | 7 | 2 | 3 | 5 | 16 | 5 | 3 | 2 | 14 | 2 | 6 | 2 | 0 | 2 | 1 | 2 | 4 | 2 | 17 | 5 | 4 | 5 | 15 | 5 | 17 +INPUTS | 7 | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> +INPUTMC | 7 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 +EQ | 3 | cnt/RefCnt<7>.T = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<1> & cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4>; cnt/RefCnt<7>.CLK = CLK_FSB; // GCK - cnt/RefCnt<7>.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & +GLOBALS | 1 | 2 | CLK_FSB + +MACROCELL | 6 | 16 | iobm/Er +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 1 | 3 +INPUTS | 1 | E_IOB +INPUTP | 1 | 86 +EQ | 2 | + iobm/Er.D = E_IOB; + !iobm/Er.CLK = CLK_IOB; // GCK +GLOBALS | 1 | 2 | CLK_IOB + +MACROCELL | 1 | 2 | iobm/IOREQr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 4 | 13 | 4 | 16 | 4 | 12 +INPUTS | 1 | IOREQ +INPUTMC | 1 | 0 | 6 +EQ | 2 | + iobm/IOREQr.D = IOREQ; + !iobm/IOREQr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 5 | 17 | RefAck +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 3 | 12 | 2 | 5 | 5 | 16 +INPUTS | 13 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 5 | 16 | 5 | 13 | 5 | 7 | 5 | 3 | 4 | 2 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 +EXPORTS | 1 | 5 | 16 +EQ | 11 | + RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; + RefAck.CLK = CLK_FSB; // GCK + RefAck.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 @@ -1352,68 +1334,62 @@ EQ | 12 | !fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 17 | iobm/Er +MACROCELL | 1 | 6 | iobm/DTACKrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 | 5 | 0 -INPUTS | 1 | E_IOB -INPUTP | 1 | 77 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 30 EQ | 2 | - iobm/Er.D = E_IOB; - !iobm/Er.CLK = CLK_IOB; // GCK -GLOBALS | 1 | 2 | CLK_IOB + iobm/DTACKrf.D = !nDTACK_IOB; + !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 4 | RefAck +MACROCELL | 1 | 5 | iobm/DTACKrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 1 | 9 | 2 | 4 -INPUTS | 2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 -INPUTMC | 2 | 6 | 12 | 6 | 7 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 +INPUTS | 1 | nDTACK_IOB +INPUTP | 1 | 30 EQ | 2 | - RefAck.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1; - RefAck.CLK = CLK_FSB; // GCK -GLOBALS | 1 | 2 | CLK_FSB + iobm/DTACKrr.D = !nDTACK_IOB; + iobm/DTACKrr.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 0 | iobm/Er2 +MACROCELL | 1 | 4 | iobm/ETACK ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 12 | 5 | 10 | 5 | 17 | 5 | 9 | 5 | 16 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 +INPUTS | 6 | nVMA_IOB | iobm/ES<0> | iobm/ES<1> | iobm/ES<2> | iobm/ES<3> | iobm/ES<4> +INPUTMC | 6 | 0 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 +EQ | 3 | + iobm/ETACK.D = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<1> & !iobm/ES<2> & + !iobm/ES<3> & iobm/ES<4>; + iobm/ETACK.CLK = CLK2X_IOB; // GCK +GLOBALS | 1 | 2 | CLK2X_IOB + +MACROCELL | 1 | 3 | iobm/Er2 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 5 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 INPUTS | 1 | iobm/Er -INPUTMC | 1 | 7 | 17 +INPUTMC | 1 | 6 | 16 EQ | 2 | iobm/Er2.D = iobm/Er; iobm/Er2.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 13 | iobm/IOS_FSM_FFd2 +MACROCELL | 6 | 15 | iobm/VPArf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 16 | 1 | 13 -INPUTS | 9 | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/ETACK | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 8 | 4 | 15 | 2 | 13 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 -INPUTP | 1 | 42 -EQ | 8 | - iobm/IOS_FSM_FFd2.D = iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/ETACK - # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/BERRrf & - iobm/BERRrr - # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/DTACKrf & - iobm/DTACKrr - # iobm/IOS_FSM_FFd3 & CLK_IOB & iobm/RESrf & - iobm/RESrr; - iobm/IOS_FSM_FFd2.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 1 | iobm/VPArf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 14 +OUTPUTMC | 1 | 0 | 11 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 96 +INPUTP | 1 | 37 EQ | 2 | iobm/VPArf.D = !nVPA_IOB; !iobm/VPArf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 0 | iobm/VPArr +MACROCELL | 6 | 14 | iobm/VPArr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 14 +OUTPUTMC | 1 | 0 | 11 INPUTS | 1 | nVPA_IOB -INPUTP | 1 | 96 +INPUTP | 1 | 37 EQ | 2 | iobm/VPArr.D = !nVPA_IOB; iobm/VPArr.CLK = CLK2X_IOB; // GCK @@ -1421,46 +1397,44 @@ GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 4 | 12 | ALE0M ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 7 | 8 -INPUTS | 7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOREQr | iobm/IOS_FSM_FFd8 -INPUTMC | 7 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 1 | 4 | 4 | 10 -EQ | 7 | - !ALE0M.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOREQr - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & - !iobm/IOS_FSM_FFd8; +OUTPUTMC | 1 | 6 | 7 +INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | iobm/IOREQr +INPUTMC | 5 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 1 | 2 +EQ | 5 | + !ALE0M.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 & !iobm/IOREQr; ALE0M.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 7 | ALE0S +MACROCELL | 5 | 2 | ALE0S ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 2 | 8 -INPUTS | 9 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | nWE_FSB -INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 -INPUTP | 5 | 105 | 15 | 109 | 117 | 98 -EXPORTS | 1 | 2 | 8 +OUTPUTMC | 2 | 6 | 7 | 5 | 3 +INPUTS | 10 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<22> | A_FSB<21> | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cs/nOverlay1 | nAS_FSB | fsb/ASrf +INPUTMC | 7 | 0 | 8 | 5 | 4 | 5 | 16 | 5 | 13 | 5 | 3 | 5 | 7 | 4 | 2 +INPUTP | 3 | 153 | 134 | 112 +EXPORTS | 1 | 5 | 3 EQ | 10 | ALE0S.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1; ALE0S.CLK = CLK_FSB; // GCK - ALE0S.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & A_FSB<21> & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + ALE0S.EXP = A_FSB<22> & !A_FSB<21> & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # A_FSB<22> & cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 + # nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & !fsb/ASrf GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 12 | IOREQ +MACROCELL | 0 | 6 | IOREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 1 | 4 -INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd1.EXP | iobm/ETACK.EXP -INPUTMC | 8 | 2 | 8 | 2 | 11 | 2 | 3 | 3 | 9 | 2 | 10 | 2 | 6 | 2 | 11 | 2 | 13 -INPUTP | 3 | 105 | 114 | 21 -IMPORTS | 2 | 2 | 11 | 2 | 13 +OUTPUTMC | 1 | 1 | 2 +INPUTS | 11 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr | iobs/Once | nADoutLE1 | A_FSB<23> | A_FSB<20> | nAS_FSB | fsb/ASrf | EXP12_.EXP | nROMCS_OBUF.EXP +INPUTMC | 8 | 0 | 8 | 5 | 4 | 4 | 0 | 7 | 12 | 5 | 14 | 4 | 2 | 0 | 5 | 0 | 7 +INPUTP | 3 | 127 | 22 | 112 +IMPORTS | 2 | 0 | 5 | 0 | 7 EQ | 28 | !IOREQ.D = !iobs/PS_FSM_FFd2 & iobs/PS_FSM_FFd1 # iobs/PS_FSM_FFd1 & iobs/IOACTr @@ -1469,7 +1443,7 @@ EQ | 28 | nADoutLE1 # nAS_FSB & !iobs/PS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 -;Imported pterms FB3_12 +;Imported pterms FB1_6 # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & @@ -1480,7 +1454,7 @@ EQ | 28 | !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & nWE_FSB & !iobs/PS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB3_14 +;Imported pterms FB1_8 # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & @@ -1492,69 +1466,39 @@ EQ | 28 | IOREQ.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 2 | 6 | fsb/ASrf +MACROCELL | 4 | 2 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 44 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 10 | 0 | 13 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 12 | 0 | 15 | 0 | 16 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 14 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 +OUTPUTMC | 41 | 5 | 7 | 5 | 0 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 13 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 5 | 17 | 0 | 2 | 5 | 12 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 2 | 4 | 6 | 2 | 9 | 3 | 0 | 0 | 6 | 2 | 16 | 2 | 12 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 2 | 1 | 2 | 2 | 2 | 4 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 4 INPUTS | 1 | nAS_FSB -INPUTP | 1 | 21 +INPUTP | 1 | 112 EQ | 2 | fsb/ASrf.D = !nAS_FSB; !fsb/ASrf.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 4 | 8 | iobm/BERRrf +MACROCELL | 1 | 8 | iobm/BERRrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 28 +INPUTP | 1 | 92 EQ | 2 | iobm/BERRrf.D = !nBERR_IOB; !iobm/BERRrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 4 | 6 | iobm/BERRrr +MACROCELL | 1 | 7 | iobm/BERRrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 4 | 17 | 4 | 15 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 +OUTPUTMC | 4 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 INPUTS | 1 | nBERR_IOB -INPUTP | 1 | 28 +INPUTP | 1 | 92 EQ | 2 | iobm/BERRrr.D = !nBERR_IOB; iobm/BERRrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 3 | iobm/DTACKrf +MACROCELL | 1 | 1 | iobm/RESrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 88 -EQ | 2 | - iobm/DTACKrf.D = !nDTACK_IOB; - !iobm/DTACKrf.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 5 | 2 | iobm/DTACKrr -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 -INPUTS | 1 | nDTACK_IOB -INPUTP | 1 | 88 -EQ | 2 | - iobm/DTACKrr.D = !nDTACK_IOB; - iobm/DTACKrr.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 7 | 16 | iobm/IOS_FSM_FFd1 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 4 | 10 -INPUTS | 1 | iobm/IOS_FSM_FFd2 -INPUTMC | 1 | 4 | 13 -EQ | 2 | - iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; - iobm/IOS_FSM_FFd1.CLK = CLK2X_IOB; // GCK -GLOBALS | 1 | 2 | CLK2X_IOB - -MACROCELL | 1 | 3 | iobm/RESrf -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +OUTPUTMC | 4 | 4 | 14 | 4 | 15 | 4 | 17 | 4 | 0 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1562,9 +1506,9 @@ EQ | 2 | !iobm/RESrf.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 2 | iobm/RESrr +MACROCELL | 1 | 0 | iobm/RESrr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 4 | 16 | 4 | 15 | 4 | 13 | 4 | 1 | 4 | 17 +OUTPUTMC | 4 | 4 | 14 | 4 | 15 | 4 | 17 | 4 | 0 INPUTS | 1 | nRES INPUTP | 1 | 160 EQ | 2 | @@ -1572,23 +1516,33 @@ EQ | 2 | iobm/RESrr.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 2 | 5 | iobs/Clear1 +MACROCELL | 5 | 15 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 10 -INPUTS | 3 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 -INPUTMC | 3 | 2 | 8 | 2 | 11 | 2 | 10 -EQ | 2 | +OUTPUTMC | 2 | 5 | 14 | 5 | 16 +INPUTS | 13 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 10 | 0 | 8 | 5 | 4 | 5 | 14 | 5 | 13 | 5 | 3 | 3 | 4 | 4 | 2 | 3 | 3 | 3 | 2 | 5 | 7 +INPUTP | 3 | 112 | 127 | 153 +EXPORTS | 1 | 5 | 16 +EQ | 10 | iobs/Clear1.D = iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & !nADoutLE1; iobs/Clear1.CLK = CLK_FSB; // GCK + iobs/Clear1.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<5> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<6> & !fsb/ASrf + # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + !cnt/RefCnt<7> & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 3 | 13 | ram/RAMDIS1 +MACROCELL | 2 | 16 | ram/RAMDIS1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 2 | 4 | 5 | 5 | 5 | 8 -INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP30_.EXP | EXP31_.EXP -INPUTMC | 8 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 2 | 17 | 2 | 6 | 3 | 12 | 3 | 14 -INPUTP | 2 | 105 | 21 -IMPORTS | 2 | 3 | 12 | 3 | 14 +OUTPUTMC | 3 | 3 | 11 | 3 | 8 | 2 | 5 +INPUTS | 10 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | EXP24_.EXP | EXP25_.EXP +INPUTMC | 8 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 12 | 3 | 0 | 4 | 2 | 2 | 15 | 2 | 17 +INPUTP | 2 | 127 | 112 +IMPORTS | 2 | 2 | 15 | 2 | 17 EQ | 38 | ram/RAMDIS1.D = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1598,7 +1552,7 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB4_13 +;Imported pterms FB3_16 # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & @@ -1609,14 +1563,7 @@ EQ | 38 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -;Imported pterms FB4_15 +;Imported pterms FB3_18 # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & @@ -1626,17 +1573,24 @@ EQ | 38 | # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf; + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB3_1 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RAMDIS1.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 6 | 16 | ram/RAMReady +MACROCELL | 2 | 12 | ram/RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 6 | 6 | 0 | 12 | 0 | 0 | 0 | 15 -INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | cnt/RefCnt<6>.EXP | EXP34_.EXP -INPUTMC | 8 | 3 | 2 | 1 | 9 | 6 | 7 | 2 | 17 | 6 | 9 | 2 | 6 | 6 | 15 | 6 | 17 -INPUTP | 4 | 109 | 21 | 105 | 15 -IMPORTS | 2 | 6 | 15 | 6 | 17 +OUTPUTMC | 4 | 5 | 9 | 7 | 16 | 7 | 0 | 7 | 5 +INPUTS | 12 | A_FSB<21> | cs/nOverlay1 | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | A_FSB<23> | A_FSB<22> | ram/Once | fsb/ASrf | EXP22_.EXP | EXP23_.EXP +INPUTMC | 8 | 5 | 7 | 3 | 12 | 5 | 13 | 3 | 0 | 5 | 0 | 4 | 2 | 2 | 11 | 2 | 13 +INPUTP | 4 | 134 | 112 | 127 | 153 +IMPORTS | 2 | 2 | 11 | 2 | 13 EQ | 33 | !ram/RAMReady.D = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -1648,7 +1602,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 -;Imported pterms FB7_16 +;Imported pterms FB3_12 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & @@ -1659,7 +1613,7 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf -;Imported pterms FB7_18 +;Imported pterms FB3_14 # ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 @@ -1667,91 +1621,263 @@ EQ | 33 | !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB7_1 +;Imported pterms FB3_15 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7>; ram/RAMReady.CLK = CLK_FSB; // GCK GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 1 | 16 | nAS_IOB_OBUF +MACROCELL | 1 | 13 | nAS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 5 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 5 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 -EQ | 3 | - nAS_IOB.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; +INPUTS | 4 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 +EQ | 5 | + nAS_IOB.D = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1; !nAS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 5 | 1 | nCAS_OBUF +MACROCELL | 4 | 14 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 1 | ram/RASEL -INPUTMC | 1 | 3 | 0 -EQ | 2 | +OUTPUTMC | 1 | 4 | 15 +INPUTS | 5 | ram/RASEL | iobm/IOS_FSM_FFd4 | CLK_IOB | iobm/RESrf | iobm/RESrr +INPUTMC | 4 | 2 | 3 | 4 | 13 | 1 | 1 | 1 | 0 +INPUTP | 1 | 42 +EXPORTS | 1 | 4 | 15 +EQ | 4 | nCAS.D = !ram/RASEL; !nCAS.CLK = CLK_FSB; // GCK + nCAS_OBUF.EXP = !iobm/IOS_FSM_FFd4 & CLK_IOB & iobm/RESrf & + iobm/RESrr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 7 | 14 | nDinLE_OBUF +MACROCELL | 6 | 1 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 -INPUTMC | 2 | 4 | 15 | 4 | 5 -EQ | 2 | - !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; +INPUTS | 3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 +INPUTMC | 3 | 4 | 13 | 4 | 15 | 4 | 10 +EQ | 3 | + nDinLE.D = iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd1 + # !iobm/IOS_FSM_FFd4 & iobm/IOS_FSM_FFd3; !nDinLE.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 1 | 13 | nDoutOE_OBUF +MACROCELL | 1 | 16 | nDoutOE_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 7 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd2 -INPUTMC | 7 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 13 -EQ | 5 | +INPUTS | 4 | IORW0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd2 +INPUTMC | 4 | 0 | 15 | 4 | 13 | 4 | 15 | 4 | 9 +EQ | 4 | nDoutOE.D = !IORW0 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7 & + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd2; nDoutOE.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 10 | nLDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 7 | IOL0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 15 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 -EQ | 6 | +INPUTS | 6 | IOL0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 +INPUTMC | 6 | 5 | 10 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 0 | 15 +EQ | 8 | nLDS_IOB.D = !IOL0 - # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; !nLDS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB MACROCELL | 1 | 11 | nUDS_IOB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 7 | IOU0 | IORW0 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 -INPUTMC | 7 | 5 | 13 | 2 | 2 | 4 | 15 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 -EQ | 6 | +INPUTS | 6 | IOU0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | IORW0 +INPUTMC | 6 | 3 | 17 | 4 | 13 | 4 | 15 | 4 | 10 | 4 | 9 | 0 | 15 +EQ | 8 | nUDS_IOB.D = !IOU0 - # IORW0 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 - # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & - !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & !iobm/IOS_FSM_FFd7; + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + !iobm/IOS_FSM_FFd2 + # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd3 & + iobm/IOS_FSM_FFd1 + # IORW0 & iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd2 & + !iobm/IOS_FSM_FFd1; !nUDS_IOB.CLK = CLK2X_IOB; // GCK GLOBALS | 1 | 2 | CLK2X_IOB -MACROCELL | 3 | 1 | RA_0_OBUF +MACROCELL | 2 | 10 | RA_0_OBUF ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 0 -INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | cs/nOverlay1.EXP -INPUTMC | 11 | 3 | 2 | 1 | 9 | 6 | 12 | 6 | 7 | 2 | 17 | 2 | 6 | 6 | 0 | 6 | 15 | 6 | 13 | 6 | 4 | 3 | 2 -INPUTP | 2 | 15 | 21 -EXPORTS | 1 | 3 | 0 -IMPORTS | 1 | 3 | 2 -EQ | 15 | - RA<0> = ;Imported pterms FB4_3 - A_FSB<10> & !ram/RASEL +OUTPUTMC | 1 | 2 | 9 +INPUTS | 9 | A_FSB<10> | ram/RASEL | A_FSB<1> | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<22> | cs/nOverlay1 +INPUTMC | 2 | 2 | 3 | 5 | 7 +INPUTP | 7 | 143 | 116 | 127 | 134 | 147 | 136 | 153 +EXPORTS | 1 | 2 | 9 +EQ | 6 | + RA<0> = A_FSB<10> & !ram/RASEL # ram/RASEL & A_FSB<1>; - RA_0_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + RA_0_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<11> | ram/RASEL | A_FSB<2> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 105 | 90 +EQ | 2 | + RA<1> = A_FSB<11> & !ram/RASEL + # ram/RASEL & A_FSB<2>; + +MACROCELL | 4 | 1 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<12> | ram/RASEL | A_FSB<3> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 104 | 107 +EQ | 2 | + RA<2> = A_FSB<12> & !ram/RASEL + # ram/RASEL & A_FSB<3>; + +MACROCELL | 4 | 5 | RA_3_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 19 | 96 +EQ | 2 | + RA<3> = A_FSB<13> & !ram/RASEL + # ram/RASEL & A_FSB<4>; + +MACROCELL | 4 | 8 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<14> | ram/RASEL | A_FSB<5> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 102 | 24 +EQ | 2 | + RA<4> = A_FSB<14> & !ram/RASEL + # ram/RASEL & A_FSB<5>; + +MACROCELL | 5 | 1 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 140 | 157 +EQ | 2 | + RA<5> = A_FSB<15> & !ram/RASEL + # ram/RASEL & A_FSB<6>; + +MACROCELL | 5 | 5 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 145 | 123 +EQ | 2 | + RA<6> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + +MACROCELL | 5 | 8 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<8> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 136 | 117 +EQ | 2 | + RA<7> = A_FSB<8> & ram/RASEL + # A_FSB<17> & !ram/RASEL; + +MACROCELL | 5 | 11 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<9> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 147 | 114 +EQ | 2 | + RA<8> = A_FSB<9> & ram/RASEL + # A_FSB<18> & !ram/RASEL; + +MACROCELL | 4 | 11 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> +INPUTMC | 1 | 2 | 3 +INPUTP | 2 | 98 | 22 +EQ | 2 | + RA<9> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + +MACROCELL | 0 | 1 | nBERR_FSB_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 2 +INPUTS | 13 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 | EXP10_.EXP +INPUTMC | 6 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 5 | 7 | 0 | 0 +INPUTP | 7 | 112 | 127 | 134 | 147 | 102 | 19 | 153 +EXPORTS | 1 | 0 | 2 +IMPORTS | 1 | 0 | 0 +EQ | 9 | + nBERR_FSB = nAS_FSB + # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r +;Imported pterms FB1_1 + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; + nBERR_FSB_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 3 | 5 | nOE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 97 | 112 +EQ | 1 | + !nOE = nWE_FSB & !nAS_FSB; + +MACROCELL | 3 | 14 | nROMWE_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | nWE_FSB | nAS_FSB +INPUTP | 2 | 97 | 112 +EQ | 1 | + !nROMWE = !nWE_FSB & !nAS_FSB; + +MACROCELL | 6 | 11 | nVPA_FSB_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | fsb/VPA | nAS_FSB +INPUTMC | 1 | 7 | 17 +INPUTP | 1 | 112 +EQ | 1 | + !nVPA_FSB = fsb/VPA & !nAS_FSB; + +MACROCELL | 6 | 7 | nADoutLE0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 2 | ALE0M | ALE0S +INPUTMC | 2 | 4 | 12 | 5 | 2 +EQ | 1 | + nADoutLE0 = !ALE0M & !ALE0S; + +MACROCELL | 0 | 4 | nDinOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 0 | 3 +INPUTS | 9 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<17> | A_FSB<16> +INPUTP | 9 | 127 | 97 | 112 | 153 | 134 | 22 | 98 | 136 | 145 +EXPORTS | 1 | 0 | 3 +EQ | 6 | + nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & + !nAS_FSB; + nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + +MACROCELL | 2 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 2 | 3 +INPUTS | 13 | A_FSB<22> | cs/nOverlay1 | cnt/RefDone | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | ram/RS_FSM_FFd3 | EXP19_.EXP +INPUTMC | 11 | 5 | 7 | 3 | 12 | 5 | 16 | 5 | 13 | 3 | 0 | 4 | 2 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 3 | 2 | 5 +INPUTP | 2 | 153 | 112 +EXPORTS | 1 | 2 | 3 +IMPORTS | 1 | 2 | 5 +EQ | 18 | + !nRAS = ;Imported pterms FB3_6 + RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; + nRAS_OBUF.EXP = A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr @@ -1764,770 +1890,187 @@ EQ | 15 | ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf -MACROCELL | 4 | 1 | RA_1_OBUF +MACROCELL | 2 | 14 | A_FSB_19_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 4 | 0 -INPUTS | 15 | A_FSB<11> | ram/RASEL | A_FSB<2> | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | CLK_IOB | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd8 | iobm/BERRrf | iobm/BERRrr | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr -INPUTMC | 12 | 3 | 0 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 4 | 10 | 4 | 8 | 4 | 6 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 -INPUTP | 3 | 140 | 24 | 42 -EXPORTS | 1 | 4 | 0 -EQ | 11 | - RA<1> = A_FSB<11> & !ram/RASEL - # ram/RASEL & A_FSB<2>; - RA_1_OBUF.EXP = !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/BERRrf & iobm/BERRrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/DTACKrf & iobm/DTACKrr - # !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & - !iobm/IOS_FSM_FFd6 & CLK_IOB & !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd8 & - iobm/RESrf & iobm/RESrr - -MACROCELL | 3 | 7 | RA_2_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 8 -INPUTS | 10 | A_FSB<12> | ram/RASEL | A_FSB<3> | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 -INPUTMC | 3 | 3 | 0 | 3 | 9 | 3 | 2 -INPUTP | 7 | 146 | 127 | 147 | 136 | 105 | 109 | 15 -EXPORTS | 1 | 3 | 8 -EQ | 6 | - RA<2> = A_FSB<12> & !ram/RASEL - # ram/RASEL & A_FSB<3>; - RA_2_OBUF.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once - -MACROCELL | 4 | 7 | RA_3_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<13> | ram/RASEL | A_FSB<4> -INPUTMC | 1 | 3 | 0 -INPUTP | 2 | 136 | 92 -EQ | 2 | - RA<3> = A_FSB<13> & !ram/RASEL - # ram/RASEL & A_FSB<4>; - -MACROCELL | 3 | 11 | RA_4_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 12 -INPUTS | 11 | A_FSB<14> | ram/RASEL | A_FSB<5> | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 -INPUTMC | 7 | 3 | 0 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 -INPUTP | 4 | 147 | 23 | 15 | 109 -EXPORTS | 1 | 3 | 12 -EQ | 8 | - RA<4> = A_FSB<14> & !ram/RASEL - # ram/RASEL & A_FSB<5>; - RA_4_OBUF.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - -MACROCELL | 6 | 1 | RA_5_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 3 | A_FSB<15> | ram/RASEL | A_FSB<6> -INPUTMC | 1 | 3 | 0 -INPUTP | 2 | 134 | 29 -EQ | 2 | - RA<5> = A_FSB<15> & !ram/RASEL - # ram/RASEL & A_FSB<6>; - -MACROCELL | 6 | 5 | RA_6_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 4 -INPUTS | 14 | A_FSB<16> | ram/RASEL | A_FSB<7> | A_FSB<22> | cs/nOverlay1 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefDone | nAS_FSB | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf -INPUTMC | 10 | 3 | 0 | 3 | 2 | 6 | 12 | 6 | 7 | 6 | 4 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 2 | 6 -INPUTP | 4 | 153 | 30 | 15 | 21 -EXPORTS | 1 | 6 | 4 -EQ | 10 | - RA<6> = A_FSB<16> & !ram/RASEL - # ram/RASEL & A_FSB<7>; - RA_6_OBUF.EXP = !A_FSB<22> & !cs/nOverlay1 & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !cnt/RefDone & !nAS_FSB & ram/RS_FSM_FFd2 & - ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 & - ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & - fsb/ASrf - -MACROCELL | 6 | 8 | RA_7_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 9 -INPUTS | 13 | A_FSB<17> | ram/RASEL | A_FSB<8> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | fsb/ASrf | A_FSB<21> | nAS_FSB -INPUTMC | 7 | 3 | 0 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 6 -INPUTP | 6 | 155 | 103 | 105 | 15 | 109 | 21 -EXPORTS | 1 | 6 | 9 -EQ | 10 | - RA<7> = A_FSB<8> & ram/RASEL - # A_FSB<17> & !ram/RASEL; - RA_7_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & fsb/ASrf - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - !ram/RS_FSM_FFd3 & fsb/ASrf - -MACROCELL | 6 | 11 | RA_8_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 12 -INPUTS | 13 | A_FSB<18> | ram/RASEL | nAS_FSB | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | fsb/ASrf | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2.EXP -INPUTMC | 9 | 3 | 0 | 6 | 7 | 6 | 4 | 6 | 0 | 2 | 6 | 6 | 15 | 6 | 13 | 3 | 2 | 6 | 10 -INPUTP | 4 | 123 | 21 | 105 | 15 -EXPORTS | 1 | 6 | 12 -IMPORTS | 1 | 6 | 10 -EQ | 11 | - RA<8> = A_FSB<18> & !ram/RASEL -;Imported pterms FB7_11 - # A_FSB<9> & ram/RASEL; - RA_8_OBUF.EXP = nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<5> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<6> & !fsb/ASrf - # nAS_FSB & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & - !cnt/RefCnt<7> & !fsb/ASrf - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 - -MACROCELL | 6 | 14 | RA_9_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 6 | 15 -INPUTS | 9 | A_FSB<19> | ram/RASEL | A_FSB<20> | cnt/RefCnt<0> | cnt/RefCnt<5> | cnt/RefCnt<1> | cnt/RefCnt<2> | cnt/RefCnt<3> | cnt/RefCnt<4> -INPUTMC | 7 | 3 | 0 | 4 | 9 | 6 | 0 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 -INPUTP | 2 | 117 | 114 -EXPORTS | 1 | 6 | 15 -EQ | 4 | - RA<9> = A_FSB<20> & ram/RASEL - # A_FSB<19> & !ram/RASEL; - RA_9_OBUF.EXP = cnt/RefCnt<0> & cnt/RefCnt<5> & cnt/RefCnt<1> & - cnt/RefCnt<2> & cnt/RefCnt<3> & cnt/RefCnt<4> - -MACROCELL | 0 | 5 | nBERR_FSB_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 6 -INPUTS | 20 | nAS_FSB | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 | A_FSB<13> -INPUTMC | 8 | 4 | 14 | 1 | 14 | 3 | 15 | 1 | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 2 | 10 -INPUTP | 12 | 21 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 | 136 -EXPORTS | 1 | 0 | 6 -EQ | 12 | - nBERR_FSB = nAS_FSB - # !BERR_IOBS & !TimeoutB & !fsb/BERR0r & !fsb/BERR1r - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & - !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r; - nBERR_FSB_OBUF.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - !nADoutLE1 - -MACROCELL | 0 | 10 | nOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 0 | 11 -INPUTS | 21 | nWE_FSB | nAS_FSB | fsb/ASrf | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | TimeoutA | fsb/Ready2r -INPUTMC | 4 | 2 | 6 | 3 | 2 | 1 | 15 | 0 | 11 -INPUTP | 17 | 98 | 21 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 -EXPORTS | 1 | 0 | 11 -EQ | 14 | - !nOE = nWE_FSB & !nAS_FSB; - nOE_OBUF.EXP = nAS_FSB & !fsb/ASrf - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - -MACROCELL | 5 | 11 | nROMWE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 98 | 21 -EQ | 1 | - !nROMWE = !nWE_FSB & !nAS_FSB; - -MACROCELL | 5 | 14 | nVPA_FSB_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | fsb/VPA | nAS_FSB -INPUTMC | 1 | 0 | 14 -INPUTP | 1 | 21 -EQ | 1 | - !nVPA_FSB = fsb/VPA & !nAS_FSB; - -MACROCELL | 7 | 8 | nADoutLE0_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 4 | 12 | 2 | 7 -EQ | 1 | - nADoutLE0 = !ALE0M & !ALE0S; - -MACROCELL | 3 | 16 | nDinOE_OBUF -ATTRIBUTES | 264962 | 0 -OUTPUTMC | 1 | 3 | 17 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/BERR0r.EXP -INPUTMC | 10 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 15 -INPUTP | 4 | 105 | 15 | 109 | 21 -EXPORTS | 1 | 3 | 17 -IMPORTS | 1 | 3 | 15 -EQ | 19 | - nDinOE = ;Imported pterms FB4_16 - A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & nWE_FSB & - !nAS_FSB; - nDinOE_OBUF.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & - !ram/RS_FSM_FFd1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & - fsb/ASrf - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & - cnt/RefCnt<7> - -MACROCELL | 2 | 4 | nRAS_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> -INPUTMC | 4 | 5 | 4 | 3 | 2 | 6 | 10 | 3 | 13 -INPUTP | 4 | 105 | 15 | 21 | 109 -EQ | 5 | - !nRAS = RefAck - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; - -MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<19> -INPUTP | 1 | 117 -EQ | 1 | +OUTPUTMC | 1 | 2 | 13 +INPUTS | 5 | A_FSB<19> | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 4 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 +INPUTP | 1 | 98 +EXPORTS | 1 | 2 | 13 +EQ | 3 | RA<11> = A_FSB<19>; + A_FSB_19_IBUF$BUF0.EXP = !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> -MACROCELL | 7 | 5 | A_FSB_21_IBUF$BUF0 +MACROCELL | 0 | 13 | A_FSB_21_IBUF$BUF0 ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<21> -INPUTP | 1 | 109 -EQ | 1 | - RA<10> = A_FSB<21>; - -MACROCELL | 2 | 10 | nADoutLE1_OBUF -ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 22 | 3 | 8 | 4 | 14 | 2 | 2 | 5 | 15 | 5 | 13 | 0 | 5 | 2 | 16 | 1 | 17 | 0 | 2 | 2 | 8 | 3 | 5 | 2 | 12 | 2 | 5 | 2 | 10 | 0 | 4 | 2 | 0 | 2 | 1 | 2 | 3 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 -INPUTS | 6 | iobs/Clear1 | nADoutLE1 | iobs/Load1 | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 6 | 2 | 5 | 2 | 10 | 3 | 5 | 2 | 8 | 2 | 11 | 2 | 3 -EXPORTS | 1 | 2 | 11 +OUTPUTMC | 1 | 0 | 14 +INPUTS | 7 | A_FSB<21> | A_FSB<23> | A_FSB<22> | A_FSB<20> | cs/nOverlay0 | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 0 | 14 | 4 | 2 +INPUTP | 5 | 134 | 127 | 153 | 22 | 112 +EXPORTS | 1 | 0 | 14 EQ | 5 | + RA<10> = A_FSB<21>; + A_FSB_21_IBUF$BUF0.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & !nAS_FSB + # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & + !cs/nOverlay0 & fsb/ASrf + +MACROCELL | 5 | 14 | nADoutLE1_OBUF +ATTRIBUTES | 8815366 | 0 +OUTPUTMC | 25 | 7 | 11 | 0 | 11 | 0 | 15 | 5 | 10 | 3 | 17 | 7 | 5 | 7 | 10 | 0 | 3 | 5 | 12 | 7 | 2 | 0 | 8 | 2 | 9 | 0 | 6 | 5 | 15 | 5 | 14 | 0 | 5 | 0 | 7 | 0 | 9 | 0 | 10 | 0 | 12 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 9 +INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 +INPUTMC | 3 | 5 | 15 | 5 | 14 | 2 | 9 +EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; nADoutLE1.CLK = CLK_FSB; // GCK - nADoutLE1_OBUF.EXP = iobs/PS_FSM_FFd2 - # iobs/PS_FSM_FFd1 & iobs/IOACTr GLOBALS | 1 | 2 | CLK_FSB -MACROCELL | 5 | 5 | nRAMLWE_OBUF +MACROCELL | 3 | 8 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 5 | nWE_FSB | nLDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 2 | 6 | 10 | 3 | 13 -INPUTP | 3 | 98 | 37 | 21 +INPUTMC | 2 | 2 | 7 | 2 | 16 +INPUTP | 3 | 97 | 15 | 112 EQ | 2 | !nRAMLWE = !nWE_FSB & !nLDS_FSB & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; -MACROCELL | 5 | 8 | nRAMUWE_OBUF +MACROCELL | 3 | 11 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 5 | nWE_FSB | nUDS_FSB | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 -INPUTMC | 2 | 6 | 10 | 3 | 13 -INPUTP | 3 | 98 | 82 | 21 +INPUTMC | 2 | 2 | 7 | 2 | 16 +INPUTP | 3 | 97 | 28 | 112 EQ | 2 | !nRAMUWE = !nWE_FSB & !nUDS_FSB & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1; -MACROCELL | 4 | 11 | nROMCS_OBUF +MACROCELL | 0 | 7 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay1 -INPUTMC | 1 | 3 | 2 -INPUTP | 4 | 105 | 15 | 109 | 114 -EQ | 3 | +OUTPUTMC | 1 | 0 | 6 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<18> | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | cs/nOverlay1 | iobs/PS_FSM_FFd2.EXP +INPUTMC | 4 | 0 | 8 | 5 | 14 | 5 | 7 | 0 | 8 +INPUTP | 8 | 127 | 153 | 134 | 22 | 147 | 136 | 102 | 19 +EXPORTS | 1 | 0 | 6 +IMPORTS | 1 | 0 | 8 +EQ | 12 | !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> +;Imported pterms FB1_9 # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay1; - -MACROCELL | 7 | 11 | nAoutOE_OBUF -ATTRIBUTES | 264962 | 0 -INPUTS | 0 -EQ | 1 | - nAoutOE = Gnd; - -MACROCELL | 0 | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 4 | 0 | 14 | 0 | 12 | 0 | 13 | 0 | 16 -INPUTS | 21 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<9> | EXP17_.EXP -INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 8 -INPUTP | 17 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 19 -IMPORTS | 1 | 0 | 8 -EQ | 33 | - fsb/VPA__or00001/fsb/VPA__or00001_D2 = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r -;Imported pterms FB1_9 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r; - -MACROCELL | 0 | 16 | $OpTx$FX_DC$602 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 7 | 0 | 14 | 0 | 1 | 0 | 3 | 0 | 12 | 0 | 13 | 0 | 16 | 0 | 15 -INPUTS | 25 | TimeoutB | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | iobs/IOReady | fsb/ASrf | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | $OpTx$FX_DC$606 -INPUTMC | 9 | 1 | 14 | 4 | 14 | 3 | 15 | 1 | 8 | 1 | 17 | 2 | 6 | 0 | 9 | 0 | 16 | 0 | 3 -INPUTP | 16 | 105 | 15 | 109 | 114 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 117 | 123 | 155 | 153 -EXPORTS | 1 | 0 | 15 -EQ | 14 | - $OpTx$FX_DC$602 = !TimeoutB - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20>; - $OpTx$FX_DC$602.EXP = A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 3 | $OpTx$FX_DC$606 -ATTRIBUTES | 133888 | 0 -OUTPUTMC | 4 | 0 | 12 | 0 | 15 | 0 | 16 | 0 | 2 -INPUTS | 28 | A_FSB<9> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<13> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | $OpTx$FX_DC$602 | iobs/IOReady | EXP16_.EXP -INPUTMC | 11 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 16 | 1 | 17 | 0 | 4 -INPUTP | 17 | 19 | 15 | 109 | 114 | 136 | 117 | 123 | 155 | 153 | 98 | 134 | 147 | 146 | 140 | 143 | 105 | 103 -EXPORTS | 1 | 0 | 2 -IMPORTS | 1 | 0 | 4 -EQ | 27 | - $OpTx$FX_DC$606 = A_FSB<22> & !A_FSB<21> & A_FSB<20> - # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB -;Imported pterms FB1_5 - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1; - $OpTx$FX_DC$606.EXP = A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & $OpTx$FX_DC$602 - -MACROCELL | 0 | 0 | EXP14_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 1 -INPUTS | 17 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP21_.EXP -INPUTMC | 7 | 0 | 6 | 1 | 17 | 0 | 1 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 17 -INPUTP | 10 | 105 | 15 | 109 | 114 | 147 | 117 | 123 | 155 | 153 | 98 -EXPORTS | 1 | 0 | 1 -IMPORTS | 1 | 0 | 17 -EQ | 37 | - EXP14_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & - !iobs/IOReady & nDTACK_FSB + nROMCS_OBUF.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady - # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB -;Imported pterms FB1_18 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB + cs/nOverlay1 & !iobs/PS_FSM_FFd2 & nADoutLE1 -MACROCELL | 0 | 2 | EXP15_ +MACROCELL | 7 | 10 | nAoutOE_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 9 +INPUTS | 13 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nADoutLE1 +INPUTMC | 4 | 5 | 7 | 7 | 8 | 5 | 12 | 5 | 14 +INPUTP | 9 | 19 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 +EXPORTS | 1 | 7 | 9 +EQ | 5 | + nAoutOE = Gnd; + nAoutOE_OBUF.EXP = A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 4 | 6 | $OpTx$$OpTx$FX_DC$355_INV$439 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 5 | 7 | 17 | 7 | 0 | 7 | 1 | 7 | 15 | 7 | 16 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 4 | 2 +INPUTP | 1 | 112 +EQ | 1 | + $OpTx$$OpTx$FX_DC$355_INV$439 = nAS_FSB & !fsb/ASrf; + +MACROCELL | 6 | 17 | $OpTx$FX_DC$360 +ATTRIBUTES | 133888 | 0 +OUTPUTMC | 2 | 7 | 2 | 7 | 6 +INPUTS | 2 | A_FSB<22> | cs/nOverlay1 +INPUTMC | 1 | 5 | 7 +INPUTP | 1 | 153 +EQ | 2 | + !$OpTx$FX_DC$360 = A_FSB<22> + $ cs/nOverlay1; + +MACROCELL | 0 | 0 | EXP10_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 0 | 1 -INPUTS | 25 | A_FSB<13> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | A_FSB<14> | A_FSB<21> | nADoutLE1 | A_FSB<9> | A_FSB<15> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | A_FSB<8> | $OpTx$FX_DC$606.EXP -INPUTMC | 8 | 3 | 2 | 0 | 6 | 1 | 17 | 0 | 1 | 2 | 10 | 1 | 15 | 0 | 11 | 0 | 3 -INPUTP | 17 | 136 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 147 | 109 | 19 | 134 | 146 | 140 | 143 | 105 | 103 +INPUTS | 7 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r +INPUTMC | 3 | 0 | 11 | 0 | 12 | 3 | 10 +INPUTP | 4 | 127 | 153 | 134 | 22 EXPORTS | 1 | 0 | 1 -IMPORTS | 1 | 0 | 3 -EQ | 37 | - EXP15_.EXP = A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & - !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & - nDTACK_FSB & !nADoutLE1 - # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB -;Imported pterms FB1_4 - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & $OpTx$FX_DC$602 +EQ | 2 | + EXP10_.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & A_FSB<20> & + !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r -MACROCELL | 0 | 4 | EXP16_ +MACROCELL | 0 | 2 | EXP11_ ATTRIBUTES | 2048 | 0 OUTPUTMC | 1 | 0 | 3 -INPUTS | 12 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<21> | nADoutLE1 | A_FSB<13> -INPUTMC | 2 | 3 | 2 | 2 | 10 -INPUTP | 10 | 147 | 15 | 114 | 117 | 123 | 155 | 153 | 98 | 109 | 136 +INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nBERR_FSB_OBUF.EXP +INPUTMC | 6 | 0 | 3 | 4 | 2 | 0 | 8 | 5 | 4 | 5 | 7 | 0 | 1 +INPUTP | 5 | 97 | 112 | 127 | 153 | 134 EXPORTS | 1 | 0 | 3 -EQ | 8 | - EXP16_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & - A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !nWE_FSB & !nADoutLE1 - -MACROCELL | 0 | 8 | EXP17_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 9 -INPUTS | 20 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | A_FSB<8> -INPUTMC | 3 | 3 | 2 | 1 | 15 | 0 | 11 -INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 -EXPORTS | 1 | 0 | 9 -EQ | 12 | - EXP17_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r - -MACROCELL | 0 | 12 | EXP18_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 13 -INPUTS | 30 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | fsb/ASrf | ram/RAMReady | A_FSB<9> | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | BERR_IOBS | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | nAS_FSB | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | iobs/IOReady | $OpTx$FX_DC$606 -INPUTMC | 13 | 3 | 2 | 6 | 6 | 0 | 14 | 2 | 6 | 6 | 16 | 4 | 14 | 3 | 15 | 1 | 8 | 0 | 6 | 0 | 9 | 0 | 16 | 1 | 17 | 0 | 3 -INPUTP | 17 | 105 | 15 | 109 | 19 | 103 | 134 | 147 | 136 | 146 | 140 | 143 | 114 | 117 | 123 | 155 | 153 | 21 -EXPORTS | 1 | 0 | 13 -EQ | 26 | - EXP18_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 13 | EXP19_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 14 -INPUTS | 8 | BERR_IOBS | fsb/VPA | fsb/ASrf | fsb/BERR0r | fsb/BERR1r | fsb/VPA__or00001/fsb/VPA__or00001_D2 | $OpTx$FX_DC$602 | EXP18_.EXP -INPUTMC | 8 | 4 | 14 | 0 | 14 | 2 | 6 | 3 | 15 | 1 | 8 | 0 | 9 | 0 | 16 | 0 | 12 -EXPORTS | 1 | 0 | 14 -IMPORTS | 1 | 0 | 12 -EQ | 33 | - EXP19_.EXP = BERR_IOBS & fsb/VPA & fsb/ASrf - # fsb/BERR0r & fsb/VPA & fsb/ASrf - # fsb/BERR1r & fsb/VPA & fsb/ASrf - # fsb/VPA & fsb/ASrf & - fsb/VPA__or00001/fsb/VPA__or00001_D2 - # fsb/VPA & fsb/ASrf & !$OpTx$FX_DC$602 -;Imported pterms FB1_13 - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/Ready1r & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - !nAS_FSB & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 15 | EXP20_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 14 -INPUTS | 13 | fsb/Ready1r | fsb/VPA | iobs/IOReady | nAS_FSB | $OpTx$FX_DC$606 | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<21> | $OpTx$FX_DC$602.EXP -INPUTMC | 9 | 0 | 6 | 0 | 14 | 1 | 17 | 0 | 3 | 2 | 6 | 3 | 2 | 6 | 6 | 6 | 16 | 0 | 16 -INPUTP | 4 | 21 | 105 | 15 | 109 -EXPORTS | 1 | 0 | 14 -IMPORTS | 1 | 0 | 16 -EQ | 23 | - EXP20_.EXP = !fsb/Ready1r & fsb/VPA & !iobs/IOReady & !nAS_FSB & - $OpTx$FX_DC$606 - # !fsb/Ready1r & fsb/VPA & !iobs/IOReady & fsb/ASrf & - $OpTx$FX_DC$606 - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady - # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & - !fsb/Ready0r & fsb/VPA & fsb/ASrf & !ram/RAMReady - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !nAS_FSB & !ram/RAMReady -;Imported pterms FB1_17 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - iobs/IOReady & fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 - # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & - A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & - A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & - A_FSB<17> & A_FSB<16> & !BERR_IOBS & !fsb/BERR0r & !fsb/BERR1r & - fsb/ASrf & !fsb/VPA__or00001/fsb/VPA__or00001_D2 & - $OpTx$FX_DC$602 & !$OpTx$FX_DC$606 - -MACROCELL | 0 | 17 | EXP21_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 0 | 0 -INPUTS | 21 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | A_FSB<8> -INPUTMC | 4 | 3 | 2 | 1 | 15 | 0 | 11 | 0 | 1 -INPUTP | 17 | 19 | 134 | 147 | 136 | 146 | 140 | 143 | 105 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 103 -EXPORTS | 1 | 0 | 0 -EQ | 25 | - EXP21_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & - A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & - !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & - A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & - A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & - nDTACK_FSB - -MACROCELL | 2 | 0 | EXP22_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 1 -INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> -INPUTMC | 7 | 3 | 2 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 10 | 2 | 6 -INPUTP | 11 | 147 | 15 | 109 | 114 | 117 | 123 | 155 | 153 | 98 | 21 | 136 -EXPORTS | 1 | 2 | 1 -EQ | 16 | - EXP22_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - -MACROCELL | 2 | 1 | EXP23_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 2 -INPUTS | 13 | A_FSB<23> | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | EXP22_.EXP -INPUTMC | 7 | 3 | 9 | 2 | 2 | 2 | 8 | 2 | 11 | 2 | 6 | 2 | 10 | 2 | 0 -INPUTP | 6 | 105 | 98 | 15 | 109 | 114 | 21 -EXPORTS | 1 | 2 | 2 -IMPORTS | 1 | 2 | 0 -EQ | 31 | - EXP23_.EXP = A_FSB<23> & !iobs/Once & !IORW0 & nWE_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !iobs/Once & - !IORW0 & nWE_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & - fsb/ASrf & nADoutLE1 -;Imported pterms FB3_1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & - !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 - # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & - cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & - !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - -MACROCELL | 2 | 9 | EXP24_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 8 -INPUTS | 12 | A_FSB<23> | A_FSB<21> | A_FSB<18> | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 4 | 2 | 8 | 2 | 11 | 2 | 10 | 3 | 2 -INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 -EXPORTS | 1 | 2 | 8 +IMPORTS | 1 | 0 | 1 EQ | 10 | - EXP24_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> & + EXP11_.EXP = !nWE_FSB & !iobs/IORW1 + # nAS_FSB & !fsb/ASrf + # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 +;Imported pterms FB1_2 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 + +MACROCELL | 0 | 5 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 6 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/PS_FSM_FFd2 | nADoutLE1 | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB +INPUTMC | 3 | 0 | 8 | 5 | 14 | 5 | 7 +INPUTP | 6 | 127 | 153 | 134 | 98 | 145 | 97 +EXPORTS | 1 | 0 | 6 +EQ | 10 | + EXP12_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & + !iobs/PS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 0 | 9 | EXP13_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 0 | 8 +INPUTS | 12 | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB | nVMA_IOB_OBUF.EXP +INPUTMC | 6 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 | 5 | 7 | 0 | 10 +INPUTP | 6 | 112 | 127 | 153 | 134 | 98 | 97 +EXPORTS | 1 | 0 | 8 +IMPORTS | 1 | 0 | 10 +EQ | 21 | + EXP13_.EXP = nAS_FSB & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & + !fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # !A_FSB<23> & A_FSB<21> & nWE_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_11 + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 @@ -2538,144 +2081,119 @@ EQ | 10 | # !A_FSB<23> & A_FSB<22> & A_FSB<21> & cs/nOverlay1 & !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 -MACROCELL | 2 | 15 | EXP25_ +MACROCELL | 0 | 16 | EXP14_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 2 | 16 -INPUTS | 11 | nWE_FSB | iobs/IORW1 | nAS_FSB | fsb/ASrf | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | nVMA_IOB_OBUF.EXP -INPUTMC | 6 | 2 | 16 | 2 | 6 | 2 | 8 | 2 | 11 | 3 | 2 | 2 | 14 -INPUTP | 5 | 98 | 21 | 105 | 15 | 109 -EXPORTS | 1 | 2 | 16 -IMPORTS | 1 | 2 | 14 -EQ | 9 | - EXP25_.EXP = !nWE_FSB & !iobs/IORW1 - # nAS_FSB & !fsb/ASrf - # !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 -;Imported pterms FB3_15 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 +OUTPUTMC | 1 | 0 | 15 +INPUTS | 19 | A_FSB<14> | A_FSB<22> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | nAS_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | nADoutLE1 | fsb/ASrf | A_FSB<13> | A_FSB<21> | EXP15_.EXP +INPUTMC | 8 | 5 | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 5 | 14 | 4 | 2 | 0 | 17 +INPUTP | 11 | 102 | 153 | 22 | 98 | 147 | 136 | 145 | 97 | 112 | 19 | 134 +EXPORTS | 1 | 0 | 15 +IMPORTS | 1 | 0 | 17 +EQ | 33 | + EXP14_.EXP = A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & + !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 +;Imported pterms FB1_18 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -MACROCELL | 3 | 4 | EXP26_ +MACROCELL | 0 | 17 | EXP15_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | nWE_FSB -INPUTMC | 1 | 3 | 2 -INPUTP | 5 | 105 | 15 | 109 | 117 | 98 -EXPORTS | 1 | 3 | 5 -EQ | 4 | - EXP26_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 - # !A_FSB<23> & A_FSB<21> & !A_FSB<19> - # !A_FSB<23> & A_FSB<21> & nWE_FSB +OUTPUTMC | 1 | 0 | 16 +INPUTS | 18 | A_FSB<14> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | iobs/Once | IORW0 | nWE_FSB | iobs/PS_FSM_FFd2 | iobs/PS_FSM_FFd1 | fsb/ASrf | nADoutLE1 | A_FSB<13> | nAS_FSB +INPUTMC | 7 | 5 | 7 | 7 | 12 | 0 | 15 | 0 | 8 | 5 | 4 | 4 | 2 | 5 | 14 +INPUTP | 11 | 102 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 19 | 112 +EXPORTS | 1 | 0 | 16 +EQ | 12 | + EXP15_.EXP = A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !nAS_FSB & + !iobs/PS_FSM_FFd2 & !iobs/PS_FSM_FFd1 & nADoutLE1 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !iobs/Once & IORW0 & !nWE_FSB & !iobs/PS_FSM_FFd2 & + !iobs/PS_FSM_FFd1 & fsb/ASrf & nADoutLE1 -MACROCELL | 3 | 6 | EXP27_ +MACROCELL | 2 | 0 | EXP16_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 5 -INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<18> | A_FSB<17> | A_FSB<16> | A_FSB<14> | A_FSB<13> | A_FSB<22> | cs/nOverlay1 -INPUTMC | 1 | 3 | 2 -INPUTP | 8 | 105 | 109 | 123 | 155 | 153 | 147 | 136 | 15 -EXPORTS | 1 | 3 | 5 +OUTPUTMC | 1 | 2 | 17 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | cs/nOverlay1 +INPUTMC | 6 | 3 | 12 | 5 | 13 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 +INPUTP | 2 | 153 | 134 +EXPORTS | 1 | 2 | 17 EQ | 6 | - EXP27_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<18> - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + EXP16_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 2 | 1 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 2 +INPUTS | 13 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> +INPUTMC | 9 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 4 | 3 | 3 | 3 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 +EXPORTS | 1 | 2 | 2 +EQ | 15 | + EXP17_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & + !ram/RS_FSM_FFd1 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 - -MACROCELL | 3 | 8 | EXP28_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 9 -INPUTS | 9 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | RA_2_OBUF.EXP -INPUTMC | 6 | 3 | 9 | 2 | 11 | 2 | 8 | 2 | 10 | 3 | 2 | 3 | 7 -INPUTP | 3 | 105 | 15 | 109 -EXPORTS | 1 | 3 | 9 -IMPORTS | 1 | 3 | 7 -EQ | 11 | - EXP28_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 - # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 - # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once - # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & - !iobs/Once -;Imported pterms FB4_8 - # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & - !iobs/Once - # !A_FSB<23> & A_FSB<22> & A_FSB<21> & - cs/nOverlay1 & !iobs/Once - -MACROCELL | 3 | 10 | EXP29_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 9 -INPUTS | 8 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB -INPUTMC | 1 | 3 | 9 -INPUTP | 7 | 105 | 109 | 117 | 123 | 155 | 153 | 98 -EXPORTS | 1 | 3 | 9 -EQ | 5 | - EXP29_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once - # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB - -MACROCELL | 3 | 12 | EXP30_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 9 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 | RA_4_OBUF.EXP -INPUTMC | 6 | 1 | 9 | 6 | 7 | 2 | 17 | 2 | 6 | 3 | 2 | 3 | 11 -INPUTP | 3 | 15 | 109 | 21 -EXPORTS | 1 | 3 | 13 -IMPORTS | 1 | 3 | 11 -EQ | 17 | - EXP30_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd1 & !ram/BACTr + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + fsb/ASrf # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_12 - # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & + cnt/RefCnt<7> -MACROCELL | 3 | 14 | EXP31_ +MACROCELL | 2 | 2 | EXP18_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 13 -INPUTS | 14 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB -INPUTMC | 11 | 1 | 9 | 6 | 7 | 6 | 0 | 6 | 15 | 6 | 13 | 3 | 2 | 2 | 17 | 2 | 6 | 6 | 9 | 6 | 12 | 6 | 4 -INPUTP | 3 | 105 | 15 | 21 -EXPORTS | 1 | 3 | 13 -EQ | 10 | - EXP31_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & - !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf - # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> - # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & - cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf - -MACROCELL | 3 | 17 | EXP32_ -ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 3 | 0 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | nDinOE_OBUF.EXP -INPUTMC | 8 | 3 | 2 | 6 | 9 | 6 | 12 | 6 | 7 | 2 | 6 | 1 | 9 | 2 | 17 | 3 | 16 -INPUTP | 4 | 105 | 15 | 21 | 109 -EXPORTS | 1 | 3 | 0 -IMPORTS | 1 | 3 | 16 +OUTPUTMC | 1 | 2 | 3 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | cnt/RefDone | ram/BACTr | EXP17_.EXP +INPUTMC | 8 | 5 | 7 | 5 | 0 | 5 | 16 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 0 | 2 | 1 +INPUTP | 4 | 127 | 153 | 112 | 134 +EXPORTS | 1 | 2 | 3 +IMPORTS | 1 | 2 | 1 EQ | 26 | - EXP32_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + EXP18_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & fsb/ASrf @@ -2685,7 +2203,7 @@ EQ | 26 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/BACTr -;Imported pterms FB4_17 +;Imported pterms FB3_2 # !A_FSB<23> & A_FSB<22> & A_FSB<21> & !cs/nOverlay1 & !ram/Once & !nAS_FSB & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 @@ -2702,109 +2220,582 @@ EQ | 26 | !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -MACROCELL | 4 | 16 | EXP33_ +MACROCELL | 2 | 5 | EXP19_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 4 | 17 -INPUTS | 10 | nBERR_IOB | IOBERR | iobm/IOS_FSM_FFd3 | CLK_IOB | iobm/DTACKrf | iobm/DTACKrr | iobm/RESrf | iobm/RESrr | iobm/BERRrf | iobm/BERRrr -INPUTMC | 8 | 4 | 17 | 4 | 15 | 5 | 3 | 5 | 2 | 1 | 3 | 1 | 2 | 4 | 8 | 4 | 6 -INPUTP | 2 | 28 | 42 -EXPORTS | 1 | 4 | 17 -EQ | 10 | - EXP33_.EXP = nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # nBERR_IOB & IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/BERRrf & iobm/BERRrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/DTACKrf & iobm/DTACKrr - # !nBERR_IOB & !IOBERR & iobm/IOS_FSM_FFd3 & - CLK_IOB & iobm/RESrf & iobm/RESrr +OUTPUTMC | 1 | 2 | 4 +INPUTS | 8 | RefAck | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/RAMDIS2 | nAS_FSB | ram/RAMDIS1 | A_FSB<21> +INPUTMC | 4 | 5 | 17 | 5 | 7 | 2 | 7 | 2 | 16 +INPUTP | 4 | 127 | 153 | 112 | 134 +EXPORTS | 1 | 2 | 4 +EQ | 5 | + EXP19_.EXP = RefAck + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/RAMDIS2 & !nAS_FSB & !ram/RAMDIS1 -MACROCELL | 6 | 17 | EXP34_ +MACROCELL | 2 | 6 | EXP20_ ATTRIBUTES | 2048 | 0 -OUTPUTMC | 1 | 6 | 16 -INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | cnt/RefCnt<5>.EXP -INPUTMC | 7 | 6 | 12 | 6 | 4 | 6 | 7 | 1 | 9 | 2 | 17 | 2 | 6 | 6 | 0 -INPUTP | 2 | 105 | 21 -EXPORTS | 1 | 6 | 16 -IMPORTS | 1 | 6 | 0 +OUTPUTMC | 1 | 2 | 7 +INPUTS | 15 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | cnt/RefDone | ram/RAMDIS2 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd1 | ram/RS_FSM_FFd3 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | fsb/ASrf | A_FSB<21> | nAS_FSB +INPUTMC | 11 | 5 | 7 | 5 | 0 | 3 | 12 | 2 | 7 | 5 | 16 | 5 | 13 | 5 | 3 | 3 | 4 | 3 | 3 | 3 | 2 | 4 | 2 +INPUTP | 4 | 127 | 153 | 134 | 112 +EXPORTS | 1 | 2 | 7 +EQ | 12 | + EXP20_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & ram/Once & + !cnt/RefDone & !ram/RAMDIS2 & !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & + !ram/RS_FSM_FFd3 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & + fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & !nAS_FSB & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & ram/Once & !cnt/RefDone & !ram/RAMDIS2 & + !ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd1 & !ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & fsb/ASrf + +MACROCELL | 2 | 8 | EXP21_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 9 +INPUTS | 8 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | A_FSB<19> | A_FSB<16> | nWE_FSB | ram/RAMDIS2.EXP +INPUTMC | 2 | 5 | 7 | 2 | 7 +INPUTP | 6 | 127 | 153 | 134 | 98 | 145 | 97 +EXPORTS | 1 | 2 | 9 +IMPORTS | 1 | 2 | 7 +EQ | 7 | + EXP21_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 + # !A_FSB<23> & A_FSB<21> & !A_FSB<19> + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> + # !A_FSB<23> & A_FSB<21> & nWE_FSB +;Imported pterms FB3_8 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> + +MACROCELL | 2 | 11 | EXP22_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 12 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | ram/Once | nAS_FSB | ram/RS_FSM_FFd1 | fsb/ASrf | cnt/RefDone | ram/BACTr | A_FSB<21> +INPUTMC | 6 | 5 | 7 | 5 | 0 | 5 | 13 | 4 | 2 | 3 | 12 | 3 | 0 +INPUTP | 4 | 127 | 153 | 112 | 134 +EXPORTS | 1 | 2 | 12 EQ | 10 | - EXP34_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 + EXP22_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !nAS_FSB & !ram/RS_FSM_FFd1 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & !ram/Once & + !ram/RS_FSM_FFd1 & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !ram/Once & !ram/RS_FSM_FFd1 & fsb/ASrf + +MACROCELL | 2 | 13 | EXP23_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 12 +INPUTS | 9 | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd1 | A_FSB<23> | cnt/RefDone | nAS_FSB | ram/BACTr | fsb/ASrf | A_FSB_19_IBUF$BUF0.EXP +INPUTMC | 7 | 5 | 16 | 5 | 3 | 5 | 13 | 3 | 12 | 3 | 0 | 4 | 2 | 2 | 14 +INPUTP | 2 | 127 | 112 +EXPORTS | 1 | 2 | 12 +IMPORTS | 1 | 2 | 14 +EQ | 10 | + EXP23_.EXP = ram/RS_FSM_FFd2 & !ram/RS_FSM_FFd3 # !ram/RS_FSM_FFd2 & ram/RS_FSM_FFd1 # !ram/RS_FSM_FFd1 & ram/RS_FSM_FFd3 # A_FSB<23> & !cnt/RefDone & !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr # A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf -;Imported pterms FB7_1 +;Imported pterms FB3_15 # !cnt/RefDone & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> -PIN | A_FSB<9> | 64 | 0 | N/A | 19 | 9 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 10 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 16 | 0 | 17 -PIN | A_FSB<8> | 64 | 0 | N/A | 103 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 8 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<15> | 64 | 0 | N/A | 134 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 6 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<14> | 64 | 0 | N/A | 147 | 21 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 0 | 2 | 3 | 2 | 13 | 2 | 9 | 3 | 11 | 0 | 9 | 0 | 2 | 0 | 3 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 -PIN | A_FSB<13> | 64 | 0 | N/A | 136 | 20 | 3 | 7 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 14 | 0 | 3 | 2 | 3 | 2 | 13 | 2 | 9 | 4 | 7 | 0 | 9 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 6 -PIN | A_FSB<12> | 64 | 0 | N/A | 146 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 3 | 7 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<11> | 64 | 0 | N/A | 140 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 4 | 1 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 -PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 10 | 0 | 11 | 0 | 12 | 0 | 9 | 0 | 17 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 16 | 3 | 2 -PIN | A_FSB<23> | 64 | 0 | N/A | 105 | 52 | 6 | 9 | 3 | 9 | 2 | 2 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 10 | 0 | 0 | 3 | 0 | 6 | 11 | 6 | 7 | 6 | 4 | 2 | 8 | 3 | 5 | 2 | 12 | 3 | 13 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 2 | 0 | 3 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 1 | 2 | 7 | 2 | 9 | 2 | 11 | 2 | 13 | 2 | 14 | 2 | 15 | 2 | 17 | 3 | 4 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 10 | 3 | 16 | 3 | 17 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 -PIN | A_FSB<22> | 64 | 0 | N/A | 15 | 48 | 6 | 9 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 15 | 6 | 10 | 0 | 0 | 2 | 14 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 14 | 2 | 4 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 3 | 2 | 9 | 2 | 13 | 3 | 1 | 3 | 6 | 3 | 7 | 3 | 8 | 3 | 11 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 -PIN | A_FSB<21> | 64 | 0 | N/A | 109 | 45 | 6 | 8 | 3 | 8 | 2 | 1 | 6 | 3 | 3 | 15 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 6 | 9 | 0 | 0 | 2 | 17 | 3 | 16 | 6 | 7 | 3 | 17 | 2 | 7 | 3 | 4 | 2 | 11 | 3 | 12 | 6 | 16 | 0 | 5 | 3 | 11 | 2 | 4 | 7 | 5 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 15 | 0 | 17 | 2 | 0 | 2 | 9 | 2 | 13 | 2 | 14 | 2 | 15 | 3 | 6 | 3 | 7 | 3 | 10 | 6 | 13 | 6 | 15 -PIN | A_FSB<20> | 64 | 0 | N/A | 114 | 25 | 3 | 9 | 2 | 1 | 6 | 3 | 3 | 15 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 16 | 0 | 0 | 2 | 8 | 3 | 5 | 2 | 12 | 6 | 14 | 0 | 5 | 2 | 3 | 4 | 11 | 0 | 9 | 0 | 16 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 8 | 0 | 10 | 0 | 17 | 2 | 0 -PIN | A_FSB<19> | 64 | 0 | N/A | 117 | 22 | 2 | 17 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 3 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 6 | 14 | 7 | 1 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | A_FSB<18> | 64 | 0 | N/A | 123 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 11 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | A_FSB<17> | 64 | 0 | N/A | 155 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 13 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 9 | 6 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | A_FSB<16> | 64 | 0 | N/A | 153 | 21 | 3 | 6 | 2 | 0 | 0 | 6 | 0 | 11 | 0 | 12 | 2 | 9 | 0 | 0 | 2 | 3 | 2 | 17 | 2 | 11 | 6 | 5 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 10 | 0 | 16 | 0 | 17 | 3 | 10 -PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 33 | 2 | 14 | 4 | 17 | 5 | 12 | 5 | 10 | 4 | 15 | 5 | 17 | 5 | 9 | 5 | 16 | 4 | 5 | 4 | 4 | 4 | 3 | 4 | 2 | 2 | 13 | 4 | 10 | 4 | 0 | 5 | 0 | 4 | 13 | 1 | 0 | 4 | 12 | 4 | 6 | 5 | 2 | 7 | 16 | 1 | 2 | 1 | 13 | 1 | 4 | 1 | 1 | 4 | 8 | 5 | 3 | 1 | 3 | 1 | 16 | 7 | 14 | 1 | 10 | 1 | 11 -PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 3 | 2 | 6 | 9 | 1 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 5 | 15 | 5 | 13 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 0 | 11 | 0 | 14 | 5 | 7 | 2 | 16 | 1 | 17 | 5 | 6 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 12 | 6 | 7 | 6 | 4 | 2 | 8 | 2 | 11 | 4 | 9 | 6 | 0 | 6 | 15 | 2 | 3 | 1 | 7 | 1 | 6 | 1 | 5 | 6 | 2 | 3 | 5 | 2 | 17 | 6 | 13 | 5 | 4 | 2 | 7 | 2 | 12 | 2 | 5 | 3 | 13 | 6 | 16 | 2 | 10 | 2 | 6 | 5 | 1 -PIN | nAS_FSB | 64 | 0 | N/A | 21 | 50 | 3 | 2 | 6 | 9 | 3 | 9 | 4 | 14 | 2 | 2 | 6 | 3 | 1 | 15 | 1 | 14 | 1 | 12 | 3 | 15 | 1 | 8 | 6 | 6 | 0 | 6 | 5 | 8 | 0 | 14 | 2 | 15 | 1 | 17 | 6 | 10 | 0 | 1 | 3 | 0 | 6 | 11 | 6 | 7 | 3 | 17 | 2 | 8 | 3 | 5 | 2 | 17 | 2 | 12 | 2 | 6 | 3 | 13 | 6 | 16 | 0 | 5 | 0 | 10 | 5 | 11 | 5 | 14 | 3 | 14 | 2 | 4 | 5 | 5 | 0 | 12 | 0 | 15 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 1 | 3 | 12 | 3 | 16 | 6 | 5 | 6 | 8 | 6 | 13 | 6 | 15 | 6 | 17 -PIN | nWE_FSB | 64 | 0 | N/A | 98 | 25 | 2 | 15 | 2 | 2 | 0 | 6 | 0 | 11 | 2 | 16 | 0 | 0 | 2 | 7 | 3 | 4 | 2 | 11 | 0 | 10 | 5 | 11 | 3 | 15 | 5 | 5 | 5 | 8 | 0 | 9 | 0 | 3 | 0 | 2 | 0 | 4 | 0 | 5 | 0 | 8 | 0 | 17 | 2 | 0 | 2 | 1 | 2 | 3 | 3 | 10 -PIN | nBERR_IOB | 64 | 0 | N/A | 28 | 4 | 4 | 17 | 4 | 8 | 4 | 6 | 4 | 16 -PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 9 | 4 | 17 | 4 | 15 | 4 | 2 | 4 | 10 | 4 | 0 | 4 | 13 | 4 | 1 | 4 | 16 | 7 | 17 -PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 3 | 1 | 2 | 6 | 3 -PIN | nLDS_FSB | 64 | 0 | N/A | 37 | 3 | 5 | 15 | 5 | 7 | 5 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 82 | 3 | 5 | 13 | 5 | 6 | 5 | 8 -PIN | E_IOB | 64 | 0 | N/A | 77 | 1 | 7 | 17 -PIN | nVPA_IOB | 64 | 0 | N/A | 96 | 2 | 1 | 1 | 1 | 0 -PIN | nDTACK_IOB | 64 | 0 | N/A | 88 | 2 | 5 | 3 | 5 | 2 -PIN | A_FSB<1> | 64 | 0 | N/A | 144 | 1 | 3 | 2 -PIN | A_FSB<2> | 64 | 0 | N/A | 24 | 1 | 4 | 1 -PIN | A_FSB<3> | 64 | 0 | N/A | 127 | 1 | 3 | 7 -PIN | A_FSB<4> | 64 | 0 | N/A | 92 | 1 | 4 | 7 -PIN | A_FSB<5> | 64 | 0 | N/A | 23 | 1 | 3 | 11 -PIN | A_FSB<6> | 64 | 0 | N/A | 29 | 1 | 6 | 1 -PIN | A_FSB<7> | 64 | 0 | N/A | 30 | 1 | 6 | 5 -PIN | nVMA_IOB | 536871040 | 0 | N/A | 56 -PIN | nDTACK_FSB | 536871040 | 0 | N/A | 18 -PIN | nAS_IOB | 536871040 | 0 | N/A | 17 -PIN | nCAS | 536871040 | 0 | N/A | 118 -PIN | nDinLE | 536871040 | 0 | N/A | 116 -PIN | nDoutOE | 536871040 | 0 | N/A | 13 +MACROCELL | 2 | 15 | EXP24_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 16 +INPUTS | 8 | A_FSB<22> | A_FSB<21> | cnt/RefDone | nAS_FSB | ram/RS_FSM_FFd1 | ram/BACTr | fsb/ASrf | cs/nOverlay1 +INPUTMC | 5 | 3 | 12 | 5 | 13 | 3 | 0 | 4 | 2 | 5 | 7 +INPUTP | 3 | 153 | 134 | 112 +EXPORTS | 1 | 2 | 16 +EQ | 10 | + EXP24_.EXP = A_FSB<22> & !A_FSB<21> & !cnt/RefDone & !nAS_FSB & + !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !nAS_FSB & !ram/RS_FSM_FFd1 & !ram/BACTr + +MACROCELL | 2 | 17 | EXP25_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 2 | 16 +INPUTS | 15 | A_FSB<23> | cnt/RefDone | ram/RS_FSM_FFd1 | cnt/RefCnt<5> | cnt/RefCnt<6> | cnt/RefCnt<7> | A_FSB<22> | cs/nOverlay1 | ram/BACTr | fsb/ASrf | ram/Once | ram/RS_FSM_FFd2 | ram/RS_FSM_FFd3 | nAS_FSB | EXP16_.EXP +INPUTMC | 12 | 3 | 12 | 5 | 13 | 3 | 4 | 3 | 3 | 3 | 2 | 5 | 7 | 3 | 0 | 4 | 2 | 5 | 0 | 5 | 16 | 5 | 3 | 2 | 0 +INPUTP | 3 | 127 | 153 | 112 +EXPORTS | 1 | 2 | 16 +IMPORTS | 1 | 2 | 0 +EQ | 17 | + EXP25_.EXP = A_FSB<23> & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & !ram/BACTr & fsb/ASrf + # ram/Once & !cnt/RefDone & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & ram/RS_FSM_FFd2 & ram/RS_FSM_FFd3 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !cnt/RefDone & nAS_FSB & !ram/RS_FSM_FFd1 & + cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> & !fsb/ASrf +;Imported pterms FB3_1 + # A_FSB<22> & !A_FSB<21> & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # A_FSB<22> & cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + # !A_FSB<22> & !cs/nOverlay1 & !cnt/RefDone & + !ram/RS_FSM_FFd1 & cnt/RefCnt<5> & cnt/RefCnt<6> & cnt/RefCnt<7> + +MACROCELL | 7 | 0 | EXP26_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 20 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay1 | fsb/Ready0r | fsb/VPA | ram/RAMReady | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | nADoutLE1 | EXP27_.EXP +INPUTMC | 9 | 5 | 7 | 5 | 9 | 7 | 17 | 2 | 12 | 4 | 6 | 7 | 8 | 5 | 12 | 5 | 14 | 7 | 1 +INPUTP | 11 | 127 | 153 | 134 | 102 | 22 | 98 | 147 | 136 | 145 | 97 | 19 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 1 +EQ | 45 | + EXP26_.EXP = !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & fsb/VPA & !iobs/IOReady & + !nADoutLE1 & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_2 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 + +MACROCELL | 7 | 1 | EXP27_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 0 +INPUTS | 28 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<8> | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | iobs/IOReady +INPUTMC | 11 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 17 | 4 | 6 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 7 | 8 | 5 | 12 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 +EXPORTS | 1 | 7 | 0 +EQ | 25 | + EXP27_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 + +MACROCELL | 7 | 2 | EXP28_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 3 +INPUTS | 24 | A_FSB<13> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | fsb/Ready1r | iobs/IOReady | nDTACK_FSB | nADoutLE1 | A_FSB<8> | A_FSB<15> | A_FSB<14> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | TimeoutA | fsb/Ready2r | $OpTx$FX_DC$360 +INPUTMC | 8 | 5 | 7 | 7 | 8 | 5 | 12 | 7 | 4 | 5 | 14 | 3 | 16 | 7 | 7 | 6 | 17 +INPUTP | 16 | 19 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 | 140 | 102 | 104 | 105 | 143 | 127 +EXPORTS | 1 | 7 | 3 +EQ | 9 | + EXP28_.EXP = A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + +MACROCELL | 7 | 3 | EXP29_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 9 | A_FSB<23> | TimeoutB | nDTACK_FSB | A_FSB<22> | A_FSB<21> | fsb/Ready1r | iobs/IOReady | A_FSB<20> | EXP28_.EXP +INPUTMC | 5 | 3 | 15 | 7 | 4 | 7 | 8 | 5 | 12 | 7 | 2 +INPUTP | 4 | 127 | 153 | 134 | 22 +EXPORTS | 1 | 7 | 4 +IMPORTS | 1 | 7 | 2 +EQ | 17 | + EXP29_.EXP = A_FSB<23> & TimeoutB & nDTACK_FSB + # !A_FSB<22> & TimeoutB & nDTACK_FSB + # A_FSB<21> & TimeoutB & nDTACK_FSB + # A_FSB<23> & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady & nDTACK_FSB +;Imported pterms FB8_3 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + +MACROCELL | 7 | 5 | EXP30_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | cs/nOverlay1 | fsb/Ready0r | nDTACK_FSB | ram/RAMReady | A_FSB<21> | A_FSB<14> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | fsb/Ready1r | iobs/IOReady | A_FSB<13> | nADoutLE1 | EXP31_.EXP +INPUTMC | 8 | 5 | 7 | 5 | 9 | 7 | 4 | 2 | 12 | 7 | 8 | 5 | 12 | 5 | 14 | 7 | 6 +INPUTP | 11 | 127 | 153 | 134 | 102 | 22 | 98 | 147 | 136 | 145 | 97 | 19 +EXPORTS | 1 | 7 | 4 +IMPORTS | 1 | 7 | 6 +EQ | 40 | + EXP30_.EXP = !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + !cs/nOverlay1 & !fsb/Ready0r & nDTACK_FSB & !ram/RAMReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady & nDTACK_FSB + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + nDTACK_FSB & !nADoutLE1 +;Imported pterms FB8_7 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady + +MACROCELL | 7 | 6 | EXP31_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 5 +INPUTS | 27 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | TimeoutA | fsb/Ready2r | nDTACK_FSB | $OpTx$FX_DC$360 | A_FSB<8> | A_FSB<22> | BERR_IOBS | TimeoutB | fsb/BERR0r | fsb/BERR1r | fsb/Ready1r | iobs/IOReady +INPUTMC | 10 | 3 | 16 | 7 | 7 | 7 | 4 | 6 | 17 | 0 | 11 | 3 | 15 | 0 | 12 | 3 | 10 | 7 | 8 | 5 | 12 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 | 153 +EXPORTS | 1 | 7 | 5 +EQ | 25 | + EXP31_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & A_FSB<21> & + A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + !nWE_FSB & !TimeoutA & !fsb/Ready2r & nDTACK_FSB & + !$OpTx$FX_DC$360 + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & fsb/Ready1r + # A_FSB<9> & A_FSB<8> & A_FSB<15> & A_FSB<14> & + A_FSB<13> & A_FSB<12> & A_FSB<11> & A_FSB<10> & A_FSB<23> & + A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !BERR_IOBS & !TimeoutB & !fsb/BERR0r & + !fsb/BERR1r & iobs/IOReady + +MACROCELL | 7 | 9 | EXP32_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 16 | A_FSB<23> | fsb/Ready1r | iobs/IOReady | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<14> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | A_FSB<13> | nADoutLE1 | nAoutOE_OBUF.EXP +INPUTMC | 5 | 7 | 8 | 5 | 12 | 5 | 7 | 5 | 14 | 7 | 10 +INPUTP | 11 | 127 | 153 | 134 | 22 | 102 | 98 | 147 | 136 | 145 | 97 | 19 +EXPORTS | 1 | 7 | 8 +IMPORTS | 1 | 7 | 10 +EQ | 18 | + EXP32_.EXP = A_FSB<23> & !fsb/Ready1r & !iobs/IOReady + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + !iobs/IOReady + # A_FSB<14> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<13> & A_FSB<22> & A_FSB<20> & A_FSB<19> & + A_FSB<18> & A_FSB<17> & A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & + !fsb/Ready1r & !iobs/IOReady + # A_FSB<14> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 +;Imported pterms FB8_11 + # A_FSB<13> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & + cs/nOverlay1 & !nWE_FSB & !fsb/Ready1r & !iobs/IOReady & + !nADoutLE1 + +MACROCELL | 7 | 11 | EXP33_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 8 | A_FSB<23> | iobs/Once | iobs/PS_FSM_FFd1 | iobs/PS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<21> | cs/nOverlay1 +INPUTMC | 5 | 7 | 12 | 5 | 4 | 0 | 8 | 5 | 14 | 5 | 7 +INPUTP | 3 | 127 | 153 | 134 +EXPORTS | 1 | 7 | 12 +EQ | 6 | + EXP33_.EXP = A_FSB<23> & !iobs/Once & iobs/PS_FSM_FFd1 + # !iobs/Once & iobs/PS_FSM_FFd2 & !nADoutLE1 + # !iobs/Once & iobs/PS_FSM_FFd1 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & !iobs/Once + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay1 & + !iobs/Once + +MACROCELL | 7 | 13 | EXP34_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 9 | A_FSB<23> | A_FSB<21> | A_FSB<19> | iobs/Once | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | EXP35_.EXP +INPUTMC | 2 | 7 | 12 | 7 | 14 +INPUTP | 7 | 127 | 134 | 98 | 147 | 136 | 145 | 97 +EXPORTS | 1 | 7 | 12 +IMPORTS | 1 | 7 | 14 +EQ | 10 | + EXP34_.EXP = !A_FSB<23> & A_FSB<21> & !A_FSB<19> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<18> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<17> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !A_FSB<16> & !iobs/Once + # !A_FSB<23> & A_FSB<21> & !iobs/Once & nWE_FSB +;Imported pterms FB8_15 + # !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 7 | 14 | EXP35_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 13 +INPUTS | 7 | A_FSB<14> | A_FSB<13> | A_FSB<23> | A_FSB<21> | iobs/Once | A_FSB<22> | cs/nOverlay1 +INPUTMC | 2 | 7 | 12 | 5 | 7 +INPUTP | 5 | 102 | 19 | 127 | 134 | 153 +EXPORTS | 1 | 7 | 13 +EQ | 4 | + EXP35_.EXP = !A_FSB<14> & !A_FSB<13> & !A_FSB<23> & A_FSB<21> & + !iobs/Once + # !A_FSB<23> & A_FSB<22> & A_FSB<21> & + cs/nOverlay1 & !iobs/Once + +MACROCELL | 7 | 15 | EXP36_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 16 +INPUTS | 22 | A_FSB<9> | A_FSB<15> | A_FSB<14> | A_FSB<13> | A_FSB<12> | A_FSB<11> | A_FSB<10> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay1 | nWE_FSB | TimeoutA | fsb/Ready2r | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<8> +INPUTMC | 5 | 5 | 7 | 3 | 16 | 7 | 7 | 7 | 17 | 4 | 6 +INPUTP | 17 | 114 | 140 | 102 | 19 | 104 | 105 | 143 | 127 | 153 | 134 | 22 | 98 | 147 | 136 | 145 | 97 | 117 +EXPORTS | 1 | 7 | 16 +EQ | 25 | + EXP36_.EXP = A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + +MACROCELL | 7 | 16 | EXP37_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 13 | A_FSB<22> | TimeoutB | fsb/VPA | $OpTx$$OpTx$FX_DC$355_INV$439 | A_FSB<21> | A_FSB<23> | fsb/Ready1r | iobs/IOReady | cs/nOverlay1 | fsb/Ready0r | ram/RAMReady | A_FSB<20> | EXP36_.EXP +INPUTMC | 9 | 3 | 15 | 7 | 17 | 4 | 6 | 7 | 8 | 5 | 12 | 5 | 7 | 5 | 9 | 2 | 12 | 7 | 15 +INPUTP | 4 | 153 | 134 | 127 | 22 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 15 +EQ | 37 | + EXP37_.EXP = !A_FSB<22> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<21> & TimeoutB & fsb/VPA & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<23> & !fsb/Ready1r & fsb/VPA & + !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 + # !A_FSB<23> & !A_FSB<22> & cs/nOverlay1 & + !fsb/Ready0r & fsb/VPA & !ram/RAMReady & + !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<22> & !A_FSB<21> & A_FSB<20> & !fsb/Ready1r & + fsb/VPA & !iobs/IOReady & !$OpTx$$OpTx$FX_DC$355_INV$439 +;Imported pterms FB8_16 + # A_FSB<9> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<9> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & !cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & A_FSB<14> & A_FSB<13> & + A_FSB<12> & A_FSB<11> & A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + # A_FSB<8> & A_FSB<15> & !A_FSB<14> & A_FSB<13> & + !A_FSB<12> & !A_FSB<11> & !A_FSB<10> & !A_FSB<23> & !A_FSB<22> & + A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & A_FSB<17> & + A_FSB<16> & cs/nOverlay1 & !nWE_FSB & !TimeoutA & !fsb/Ready2r & + fsb/VPA & !$OpTx$$OpTx$FX_DC$355_INV$439 + +PIN | A_FSB<9> | 64 | 0 | N/A | 114 | 5 | 7 | 7 | 7 | 15 | 7 | 1 | 5 | 11 | 7 | 6 +PIN | A_FSB<8> | 64 | 0 | N/A | 117 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 5 | 8 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<15> | 64 | 0 | N/A | 140 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 5 | 1 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<14> | 64 | 0 | N/A | 102 | 17 | 7 | 9 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 2 | 7 | 4 | 8 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 14 +PIN | A_FSB<13> | 64 | 0 | N/A | 19 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 2 | 7 | 4 | 5 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 14 +PIN | A_FSB<12> | 64 | 0 | N/A | 104 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 4 | 1 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<11> | 64 | 0 | N/A | 105 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 3 | 1 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<10> | 64 | 0 | N/A | 143 | 7 | 7 | 7 | 7 | 15 | 7 | 2 | 2 | 10 | 7 | 1 | 7 | 6 | 7 | 8 +PIN | A_FSB<23> | 64 | 0 | N/A | 127 | 51 | 5 | 0 | 7 | 12 | 0 | 15 | 0 | 13 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 17 | 0 | 3 | 2 | 7 | 7 | 3 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 3 | 0 | 8 | 2 | 9 | 0 | 6 | 2 | 16 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 2 | 0 | 7 | 0 | 1 | 0 | 2 | 0 | 5 | 0 | 9 | 0 | 10 | 0 | 14 | 2 | 1 | 2 | 5 | 2 | 6 | 2 | 8 | 2 | 10 | 2 | 11 | 2 | 13 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 11 | 7 | 13 | 7 | 14 | 7 | 15 | 7 | 16 +PIN | A_FSB<22> | 64 | 0 | N/A | 153 | 48 | 5 | 0 | 7 | 12 | 0 | 14 | 0 | 13 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 16 | 0 | 2 | 2 | 7 | 7 | 3 | 2 | 2 | 5 | 15 | 5 | 13 | 5 | 2 | 0 | 1 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 1 | 0 | 7 | 6 | 17 | 0 | 9 | 0 | 10 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 10 | 2 | 11 | 2 | 17 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 11 | 7 | 14 | 7 | 15 +PIN | A_FSB<21> | 64 | 0 | N/A | 134 | 46 | 5 | 0 | 7 | 11 | 0 | 14 | 0 | 10 | 0 | 12 | 5 | 9 | 7 | 6 | 7 | 7 | 7 | 16 | 0 | 3 | 2 | 6 | 7 | 3 | 2 | 2 | 2 | 11 | 5 | 13 | 5 | 2 | 0 | 2 | 2 | 8 | 0 | 5 | 2 | 15 | 2 | 12 | 0 | 0 | 0 | 4 | 2 | 1 | 0 | 13 | 0 | 7 | 0 | 1 | 0 | 8 | 0 | 9 | 0 | 16 | 0 | 17 | 2 | 0 | 2 | 5 | 2 | 7 | 2 | 10 | 5 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 13 | 7 | 14 | 7 | 15 +PIN | A_FSB<20> | 64 | 0 | N/A | 22 | 28 | 7 | 12 | 0 | 14 | 0 | 13 | 0 | 12 | 7 | 6 | 7 | 7 | 7 | 17 | 0 | 3 | 7 | 4 | 0 | 8 | 2 | 9 | 0 | 6 | 4 | 11 | 0 | 0 | 0 | 4 | 0 | 7 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 3 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 10 | 7 | 15 | 7 | 16 +PIN | A_FSB<19> | 64 | 0 | N/A | 98 | 19 | 7 | 10 | 0 | 9 | 7 | 6 | 7 | 7 | 7 | 15 | 2 | 14 | 7 | 2 | 0 | 4 | 2 | 8 | 0 | 5 | 4 | 11 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | A_FSB<18> | 64 | 0 | N/A | 147 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 1 | 7 | 2 | 0 | 7 | 0 | 17 | 5 | 11 | 0 | 16 | 2 | 10 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | A_FSB<17> | 64 | 0 | N/A | 136 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 5 | 8 | 7 | 2 | 0 | 7 | 0 | 17 | 0 | 4 | 0 | 16 | 2 | 10 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | A_FSB<16> | 64 | 0 | N/A | 145 | 18 | 7 | 10 | 0 | 10 | 7 | 6 | 7 | 7 | 7 | 15 | 5 | 5 | 7 | 2 | 0 | 4 | 2 | 8 | 0 | 5 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | nBERR_IOB | 64 | 0 | N/A | 92 | 4 | 4 | 17 | 1 | 8 | 1 | 7 | 4 | 0 +PIN | CLK2X_IOB | 4096 | 0 | N/A | 33 | 29 | 0 | 10 | 4 | 13 | 4 | 15 | 4 | 9 | 4 | 10 | 1 | 14 | 1 | 12 | 1 | 17 | 1 | 9 | 1 | 15 | 4 | 16 | 4 | 17 | 1 | 5 | 1 | 4 | 1 | 3 | 6 | 14 | 4 | 12 | 1 | 7 | 1 | 0 | 1 | 16 | 1 | 2 | 1 | 6 | 6 | 15 | 1 | 8 | 1 | 1 | 1 | 13 | 6 | 1 | 1 | 10 | 1 | 11 +PIN | CLK_FSB | 8192 | 0 | N/A | 35 | 50 | 5 | 7 | 5 | 0 | 3 | 12 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 14 | 5 | 10 | 3 | 17 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 7 | 7 | 7 | 17 | 5 | 6 | 0 | 3 | 5 | 12 | 4 | 7 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 16 | 5 | 13 | 5 | 3 | 0 | 8 | 5 | 4 | 4 | 4 | 3 | 4 | 3 | 3 | 4 | 0 | 4 | 3 | 3 | 9 | 3 | 7 | 3 | 6 | 2 | 9 | 3 | 0 | 3 | 2 | 5 | 17 | 5 | 2 | 0 | 6 | 5 | 15 | 2 | 16 | 2 | 12 | 5 | 14 | 4 | 2 | 4 | 14 +PIN | nAS_FSB | 64 | 0 | N/A | 112 | 50 | 5 | 7 | 5 | 0 | 7 | 12 | 0 | 11 | 0 | 15 | 0 | 13 | 3 | 16 | 3 | 15 | 3 | 13 | 0 | 12 | 3 | 10 | 5 | 9 | 7 | 8 | 5 | 17 | 0 | 2 | 5 | 12 | 2 | 7 | 7 | 4 | 2 | 3 | 5 | 15 | 5 | 13 | 5 | 2 | 4 | 6 | 2 | 9 | 3 | 0 | 0 | 6 | 4 | 2 | 2 | 16 | 2 | 12 | 0 | 1 | 3 | 5 | 3 | 14 | 6 | 11 | 0 | 4 | 2 | 2 | 3 | 8 | 3 | 11 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 2 | 1 | 2 | 4 | 2 | 5 | 2 | 6 | 2 | 11 | 2 | 13 | 2 | 15 | 2 | 17 | 5 | 4 +PIN | nWE_FSB | 64 | 0 | N/A | 97 | 25 | 7 | 10 | 0 | 15 | 7 | 6 | 7 | 7 | 7 | 15 | 0 | 3 | 7 | 2 | 0 | 2 | 2 | 8 | 0 | 5 | 3 | 5 | 3 | 14 | 0 | 4 | 3 | 8 | 3 | 11 | 0 | 9 | 0 | 14 | 0 | 16 | 0 | 17 | 7 | 0 | 7 | 1 | 7 | 5 | 7 | 8 | 7 | 9 | 7 | 13 +PIN | nRES | 65600 | 0 | N/A | 160 | 3 | 1 | 1 | 1 | 0 | 0 | 14 +PIN | nLDS_FSB | 64 | 0 | N/A | 15 | 3 | 5 | 10 | 5 | 6 | 3 | 8 +PIN | nUDS_FSB | 64 | 0 | N/A | 28 | 3 | 3 | 17 | 4 | 7 | 3 | 11 +PIN | CLK_IOB | 16448 | 0 | N/A | 42 | 7 | 4 | 13 | 4 | 15 | 4 | 16 | 4 | 17 | 4 | 0 | 4 | 14 | 6 | 16 +PIN | E_IOB | 64 | 0 | N/A | 86 | 1 | 6 | 16 +PIN | nDTACK_IOB | 64 | 0 | N/A | 30 | 2 | 1 | 6 | 1 | 5 +PIN | nVPA_IOB | 64 | 0 | N/A | 37 | 2 | 6 | 15 | 6 | 14 +PIN | A_FSB<1> | 64 | 0 | N/A | 116 | 1 | 2 | 10 +PIN | A_FSB<2> | 64 | 0 | N/A | 90 | 1 | 3 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 107 | 1 | 4 | 1 +PIN | A_FSB<4> | 64 | 0 | N/A | 96 | 1 | 4 | 5 +PIN | A_FSB<5> | 64 | 0 | N/A | 24 | 1 | 4 | 8 +PIN | A_FSB<6> | 64 | 0 | N/A | 157 | 1 | 5 | 1 +PIN | A_FSB<7> | 64 | 0 | N/A | 123 | 1 | 5 | 5 +PIN | nVMA_IOB | 536871040 | 0 | N/A | 26 +PIN | nDTACK_FSB | 536871040 | 0 | N/A | 103 +PIN | nAS_IOB | 536871040 | 0 | N/A | 13 +PIN | nCAS | 536871040 | 0 | N/A | 72 +PIN | nDinLE | 536871040 | 0 | N/A | 79 +PIN | nDoutOE | 536871040 | 0 | N/A | 17 PIN | nLDS_IOB | 536871040 | 0 | N/A | 11 PIN | nUDS_IOB | 536871040 | 0 | N/A | 12 -PIN | RA<0> | 536871040 | 0 | N/A | 141 -PIN | RA<1> | 536871040 | 0 | N/A | 58 -PIN | RA<2> | 536871040 | 0 | N/A | 145 -PIN | RA<3> | 536871040 | 0 | N/A | 62 -PIN | RA<4> | 536871040 | 0 | N/A | 149 -PIN | RA<5> | 536871040 | 0 | N/A | 79 -PIN | RA<6> | 536871040 | 0 | N/A | 86 -PIN | RA<7> | 536871040 | 0 | N/A | 90 -PIN | RA<8> | 536871040 | 0 | N/A | 95 -PIN | RA<9> | 536871040 | 0 | N/A | 97 -PIN | nBERR_FSB | 536871040 | 0 | N/A | 22 -PIN | nOE | 536871040 | 0 | N/A | 26 -PIN | nROMWE | 536871040 | 0 | N/A | 135 -PIN | nVPA_FSB | 536871040 | 0 | N/A | 139 -PIN | nADoutLE0 | 536871040 | 0 | N/A | 107 -PIN | nDinOE | 536871040 | 0 | N/A | 157 +PIN | RA<0> | 536871040 | 0 | N/A | 47 +PIN | RA<1> | 536871040 | 0 | N/A | 141 +PIN | RA<2> | 536871040 | 0 | N/A | 58 +PIN | RA<3> | 536871040 | 0 | N/A | 60 +PIN | RA<4> | 536871040 | 0 | N/A | 63 +PIN | RA<5> | 536871040 | 0 | N/A | 118 +PIN | RA<6> | 536871040 | 0 | N/A | 125 +PIN | RA<7> | 536871040 | 0 | N/A | 130 +PIN | RA<8> | 536871040 | 0 | N/A | 135 +PIN | RA<9> | 536871040 | 0 | N/A | 68 +PIN | nBERR_FSB | 536871040 | 0 | N/A | 18 +PIN | nOE | 536871040 | 0 | N/A | 144 +PIN | nROMWE | 536871040 | 0 | N/A | 155 +PIN | nVPA_FSB | 536871040 | 0 | N/A | 95 +PIN | nADoutLE0 | 536871040 | 0 | N/A | 88 +PIN | nDinOE | 536871040 | 0 | N/A | 21 PIN | nRAS | 536871040 | 0 | N/A | 36 -PIN | RA<11> | 536871040 | 0 | N/A | 102 -PIN | RA<10> | 536871040 | 0 | N/A | 104 -PIN | nADoutLE1 | 536871040 | 0 | N/A | 47 -PIN | nRAMLWE | 536871040 | 0 | N/A | 125 -PIN | nRAMUWE | 536871040 | 0 | N/A | 130 -PIN | nROMCS | 536871040 | 0 | N/A | 68 -PIN | nAoutOE | 536871040 | 0 | N/A | 112 +PIN | RA<11> | 536871040 | 0 | N/A | 56 +PIN | RA<10> | 536871040 | 0 | N/A | 29 +PIN | nADoutLE1 | 536871040 | 0 | N/A | 139 +PIN | nRAMLWE | 536871040 | 0 | N/A | 146 +PIN | nRAMUWE | 536871040 | 0 | N/A | 149 +PIN | nROMCS | 536871040 | 0 | N/A | 23 +PIN | nAoutOE | 536871040 | 0 | N/A | 109 diff --git a/cpld/XC95144/MXSE.nga b/cpld/XC95144/MXSE.nga new file mode 100644 index 0000000..ca7838f --- /dev/null +++ b/cpld/XC95144/MXSE.nga @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$4ga4`<,Fz_t)*@pUz'B]YCK-9>9RGAV%60=+B582.D|Yv+$NrW|!@_WMI/?8;PIOT'06?)L88;7)ATy&'KuR,ORTHN*<54]JJS"3;0$O>85#_=1:&LtQ~#,Fz_t)HW_EA'703XAG\/8>7!UHO25>"Hx]r/JUQKC%154+B6:2.D|Yv+FY]GG!518'N:=?5+OqV{ C^XLJ.8:= K2038 JvSp-LSSIM+372-U45<,Fz_t)HW_EA'736)]@G>7)\jv%58,5<729#m7%>50;2*$-6=83:"==5'1123>46783;;<='>0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,13783>><=4;512*55=/>9:;6;>?0;4345/682"<<=>57123>2678 ;;7%7?018:456=19:;%<5?1:31?46538;97<<>2:31>JSSX\^1^L2>1;2=6>74:2;?>6?:2:356>70:2;3>6?62:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3;1?6553:?97>9=;521?1453=<978>=;411?0253=80:8<46708224<>1;0;?58039457=0:;1>7:22:>66=2::66>>1:22<>6661:;1?<6530;974<=;811?<2530?9748=;851?1J@H>B.P;8EIC7E'XNK85NLD2P3>GKM9Y%H55NLD2P*A7?3HFN<^ K289BH@6T&LR[i6OCE1Q-A]VXX]U;i6OCE1Q-A]VXX]U:i6OCE1Q-A]VXX]U9i6OCE1Q-A]VXX]U8=l5NLD2P*@^WW}ybakaalgg[kcsW>1J@H>\.P;8EIC7['XNK95NLD30?DHC12KEBBZNTDF:?DYA[K6:<3j4A^DPF9776'ZJH45N_GQA8479l2KTJ^L310<-TDB>3HUM_O2>2?f8EZ@TJ5;92#^ND89B[CUE4895h6OPFR@?568)XHN27LQISC>20;b/RB@<=FWOYI0<;1d:C\BVD;9<4%\LJ6;@]EWG:6>7n0MRH\B=35:+VFL01JSK]M<05=`>GXNZH7=:0!P@F:?DYA[K6:43j4A^DPF97?6'ZJH45N_GQA84?9l2KTJ^L318<-TDB?3HUM_O2>>b9B[CUE484%\LJ6;@]EWG:587n0MRH\B=03:+VFL01JSK]M<33=`>GXNZH7><0!P@F:?DYA[K69>3j4A^DPF9456'ZJHo5N_GQA875=9730MRH\B=00:a=FWOYI0?=1.QCG<>GXNZH7>3m4A^DPF949&YKO46OPFR@?7;eGXNZH783m4A^DPF929&YKO46OPFR@?1;eGXNZH7:3m4A^DPF909&YKO46OPFR@?3;eGXNZH743m4A^DPF9>9&YKO46OPFR@?=;eGXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]O;97LQISC]2=ZOE]O.IYK>>4:C\BVDX90UBNXH+BTD3*A723HUM_OQ>9^KAQC"E]O:%H9^KAQC"E]O:%IU^=7:C\BVDX90UBNXH+BTD3*@^WW}ybakaalgg[kcsW8>0MRH\B^3:[LDRN-H^J= ^c:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_M=?5N_GQA[77XAK_M(O[I0068EZ@TJV8:SDLZF%@VB5(C9<1JSK]M_33\MGSA,K_M<#J>149B[CUEW;;TEO[I$CWE4+B59?1JSK]M_33\MGSA,K_M<#KWP0`8EZ@TJV8:SDLZF%@VB5(BPYU[XR>>b:C\BVDX:8UBNXH+BTD3*@^WWY^T=?94A^DPFZ46W@H^J)LZF1,F\UYs{`gyicobee]maqY6<2KTJ^LP20]JFP@#J\L;"\j4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M56LJRS]JKGUe3KOY^RG@BR,Gg>DBZ[UBCO]!D0a8F@TUW@EI_#J=109AAWTXAFHX"I2:@FVWYNGKY%IU^PPU]357=EM[XTEBL\.DZS[URX98o0NH\]_HMAW+C_XV~xe`|jn`of`Zhb|Vh0NH\]_HMAW+Wc3KOY^RG@BR,QAB7f3KOY^RG@BR]wwlkdzlkiiQwos]0?GSA02H^_RGAFN08G@5EKCVCDN#^NDb9@HNYNGKUBNXH6;BMNILRSMM=0O_KNTDF:?FjhkbY{in64D`vbWucd=2Nn`lwn;DZS55Y)MQZ:n6KWP02\*@^WW}ybakaalgg[kcsWh1NT]?>_/G[Tc=BPY;:S#KWP^RW[5`_/G[TZVSW=l0IU^>1^,F\UYW\V?:n6KWP03\*@^WW}ybakaalgg[kcsWh1NT]?=_/G[Tc=BPY;9S#KWP^RW[5`R JXQ]SPZ7a3LR[=?Q!EYR\TQY5n2OS\<C_X89T"HV__QV\4c=BPY;8S#KWP^RW[4`Q!EYR\TQY4n2OS\<=P.DZS[URXC_X8>T"HV__QV\4c=BPY;?S#KWP^RW[4`C_X8?T"HV__QV\4c=BPY;>S#KWP^RW[4`V$NT]Q_T^3e?@^W9?U%IU^PPU]15g=BPY;=S#KWP^vpmhtbfhgnhR`jt^c8A]V6?V$NT]h4EYR23Z(BPYU[XR>i;DZS52Y)MQZT\YQ>f:G[T41X&LR[S]ZP2g9F\U70W'OS\R^[_2d8A]V6?V$NT]Q_T^62f>C_X8=T"HV__uqjiwciidooSck{_`9F\U7?W'OS\k5JXQ3;[+C_XVZ_S=h4EYR2X&LR[S]ZP3g9F\U7?W'OS\R^[_5d8A]V60V$NT]Q_T^72f>C_X82T"HV__uqjiwciidooSck{_`9F\U7>W'OS\k5JXQ3:[+C_XVZ_S=h4EYR2=Z(BPYU[XR?i;DZS5W'OS\Rz|ilpfjdkblVdnxRo4EYR14Z(BPYl0IU^=0^,F\UYW\V:m7HV_21]-A]VXX]U:j6KWP32\*@^WWY^T>_/G[TZVSW;l0IU^=1^,F\UYW\V9m7HV_20]-A]VXX]U?j6KWP33\*@^WWY^T9?Q!EYR\TQY7n2OS\?>Q!EYR\TQY6n2OS\?=P.DZS[URX:o1NT]<<_/G[TZVSW:l0IU^=3^,F\UYW\V>m7HV_22]-A]VXX]U>=o5JXQ00[+C_XV~xe`|jn`of`Zhb|Vk0IU^=4^,F\U`9Q!EYR\TQY6n2OS\?:P.DZS[URX:o1NT]<;_/G[TZVSW:l0IU^=4^,F\UYW\V>:n6KWP36\*@^WW}ybakaalgg[kcsWh1NT]<:_/G[Tc=BPY8>S#KWP^RW[5`8Q!EYR\TQY5n2OS\?;P.DZS[URX;o1NT]<:_/G[TZVSW=l0IU^=5^,F\UYW\V?:n6KWP37\*@^WW}ybakaalgg[kcsWh1NT]<9_/G[Tc=BPY8=S#KWP^RW[5`;Q!EYR\TQY5n2OS\?8P.DZS[URX;o1NT]<9_/G[TZVSW=l0IU^=6^,F\UYW\V?:n6KWP34\*@^WW}ybakaalgg[kcsWh1NT]<8_/G[Tc=BPY8:Q!EYR\TQY5n2OS\?9P.DZS[URX;o1NT]<8_/G[TZVSW=;i7HV_26]-A]VX|zcf~h`nmdf\j`rXi2OS\?6P.DZSb>C_X;2T"HV__QV\4c=BPY83S#KWP^RW[47e3LR[>5Q!EYR\pvojzldjahjPndv\e>C_X;3T"HV_f:G[T7?X&LR[S]ZP0g9F\U4>W'OS\R^[_0d8A]V51V$NT]Q_T^0e?@^W:0U%IU^PPU]0b>C_X;3T"HV__QV\0c=BPY82S#KWP^RW[07e3LR[>4Q!EYR\pvojzldjahjPndv\e>C_X::T"HV_f:G[T66X&LR[S]ZP0g9F\U57W'OS\R^[_0d8A]V48V$NT]Q_T^0e?@^W;9U%IU^PPU]0b>C_X::T"HV__QV\0c=BPY9;S#KWP^RW[07e3LR[?=Q!EYR\pvojzldjahjPndv\e>C_X:;T"HV_f:G[T67X&LR[S]ZP0g9F\U56W'OS\R^[_0d8A]V49V$NT]Q_T^0e?@^W;8U%IU^PPU]0b>C_X:;T"HV__QV\04dC_X:8T"HV__QV\14dR JXQ]wwlkumgkfiiQaeu]b?@^W;:U%IU^i;DZS76Y)MQZT\YQ?f:G[T65X&LR[S]ZP1g9F\U54W'OS\R^[_3d8A]V4;V$NT]Q_T^1e?@^W;:U%IU^PPU]75g=BPY98S#KWP^vpmhtbfhgnhR`jt^c8A]V4i;DZS71Y)MQZT\YQ>f:G[T62X&LR[S]ZP2g9F\U53W'OS\R^[_2d8A]V4i;DZS70Y)MQZT\YQ>1c9F\U52W'OS\Rz|ilpfjdkblVdnxRo4EYR02Z(BPYl0IU^<6^,F\UYW\V:m7HV_37]-A]VXX]U:j6KWP24\*@^WWY^T>k5JXQ15[+C_XVZ_S>h4EYR02Z(BPYU[XR:>b:G[T60X&LR[Sy}fmsgmehccWgoSl5JXQ14[+C_Xo1NT]=8_/G[TZVSW9l0IU^<7^,F\UYW\V;m7HV_36]-A]VXX]U9j6KWP25\*@^WWY^T?k5JXQ14[+C_XVZ_S9h4EYR03Z(BPYU[XR;>b:G[T61X&LR[Sy}fmsgmehccWgoS85J_HMA=>CXAFH%\LJn;D]JKGYNJ\L<7HjheWco=>@DDB'BCR?6;GAOO(OHW:30JNBD-HM\0==AKEABCR>7;GAOOLIX:;1MJ55ISS/JKZ343Ndo>6GA3:KM46=NF8>0EC??4:KM542OI9>>0EC?74:KM5<595FN317?LH5<=1BB?;;;HL121=NF;=?7D@=859JJ7?43@D886GA3168MK56;2CE8>5FN418MK043@DOHIJ^%H?Q_T^3g?LIFK]$O>R^[_3f8MJGD\'N9S]ZP3e9JKDES&M8T\YQ;d:KLEFR)L;U[XR;8;HMBGQ(V12CDMNZ!RDE5?LIEM[X37DAMESP-@<=NGKOY^#J>9:KLF@TU&M8n7DAMESP-@7YW\V:n7DAMESP-@7YW\V;n7DAMESP-@7YW\V8n7DAMESP-@7YW\V9n7DAMESP-@7YW\V>n7DAMESP-@7YW\V?37DAMESP-Ud=NGKOY^#\JG058MJDBZ[UdclrdcwaaYg{U?7DAC069JKI6)KL<0EBB?.E58MJJ7&M;<7DAC0/F1g>OHD9$O>R^[_1a8MJJ7&M8T\YQ>6:KLH5(V02CD@= ]EF78MJTBY>1BC_K^.E:8MJTBY'N:46G@RDS-@7bOHZL[%H?Q_T^0g?LIUMX$O>R^[_2f8MJTBY'N9S]ZP4e9JKWCV&M8T\YQ:d:KLV@W)L;U[XR88;HMQAT(V12CD^H_!RDE6?LIU_9=0EB\X0/F;?LIU_9$O=55FOSU3*A4c3@EY[= K2^RW[5bOHZ^:%H?Q_T^1g?LIU_9$O>R^[_5f8MJTP8'N9S]ZP5e9JKWQ7&M8T\YQ97:KLVR6)Y01BC_Y?.SGD53=NG[];Sy}fmbpfeqccWqeyS95FOT24?LIR8'IN:6G@U1,G3>OH]9$O=:5FOT2-@7eOH]9$O>R^[_048MJS7&X20EB[?.SGD<>Oi|Mogmt=4LNA4?II@AJKG86CWSD:8I]UB&gmjo6CnjnpUawunggi0Ad``rWgqwlii?2DNXZA]K29LJ@4VFZ]=0\D@AALGb?UOIWK_XEIVm;QKM[GSTFHGN:6^jrhmg<>Vhz}Zjxe;4R@>3:<=UI5:5"]OK6:PB8469i2XJ0<>1.QCG<>TF48;1<384R@>25;gTF4;427_O32?,SEA389QE939&YKO96\N<7<:?WG;>7$[MI;4R@>4:<=UI5=5"]OK5:PB8=8>3[K743 _AE78VD:>601YM171.QCG=>TFW9UDNXHm;SC\4ZIE]O$Oo6\N_1]LFP@)L8i0^LQ?_N@VB+B5981YMR>POCWE*A4XX]U;=<5]A^2\KGSA&M8T\YQ>d:PB[5YHJ\L%IU^>2:PB[5YHJ\L%IU^PPU]357=UIV:TCO[I.DZS[URX9880^LQ?_N@VB+C_XVZ_S??j;SC\4ZIE]O$NT]Q{shoqakgjmmUeiyQm;SC\4ZIE]O$Z56\N_0]LFP@e3[KT=RAMUG,Gg>TFW8UDNXH!D0a8VDY6WFH^J#J=109QEZ7XGK_M"ITFW:UDNXH!D332?WGX;VEIYK K2^RW[5763[KT?RAMUG,G6ZVSW8h0^LQ<_N@VB+W>3[KT8RAMUG`8VDY3WFH^J#Jl;SC\0ZIE]O$O=n5]A^6\KGSA&M8:=6\N_5]LFP@)L;U[XR>>1:PB[1YHJ\L%H?Q_T^3a?WGXSBLZF/F154=UIV?TCO[I.E0\TQY7981YMR;POCWE*A4XX]U:n6\N_4]LFP@)Y01YMR8POCWEf>TFW?UDNXH!Db9QEZ0XGK_M"I?l;SC\2ZIE]O$O>XGK_M"I<>1:PB[=YHJ\L%H?Q_T^225>TFW1UDNXH!D3]SPZ7e3[KT4RAMUG,R=>TFW0UDNXHm;SC\=ZIE]O$Oo6\N_8]LFP@)L8i0^LQ6_N@VB+B5981YMR7POCWE*A4XX]U;=<5]A^;\KGSA&M8T\YQ>b:PB[5]SU48V``Fkb20^hhNcj,G=>TbnHi`"I?6;SgeEfm)L;k0^hhNcj,F\U`Rnele~xLo4ThofkprF&Mh0XdcjotvB*A7e3]cfib{{A/F155=SadodyyO!D3]SPZ6682^bahazt@,G6ZVSW8;;7YgbenwwE+B5WY^T>l5[ilglqqG)Yj1_e`k`uuC-V@A612^bahazt@]wwlkdzlkiiQwos];?QojmfNl5[ilglqqD)Lk1_e`k`uu@-@4d<\`gncxzM.E024>Rnele~xO K2^RW[5773]cfib{{B/F1[URX98:0XdcjotvA*A4XX]U9m6ZfmdmvpG(Vk2^bahaztC,QAB7>3]cfib{{B^vpmheumh~nhRv`r^a8QVCUW_CXEOBJ9:TJARYSQYO87[ml5:Uj`qn5k2RH58?;4YN.55+SY8:;,%]edf#Bljb2)\n~~g`n!1-4,2^c`VZye`Xjrrklj==_laU_e`kk;Yfk[QojmJbnofj4Xej\PlkbLlfju<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl7?_CUGm1hby!]egAmp969n2iex"\jfBlw858)L8:0ocz Rdd@jq:76'N:==5lnu-QacEi|5:5"I1.SGD5`=df}%YikMat=2=[qunejxnmykk_ymq[a=df}%YikMat=3=b>ei|&XnjN`{<0<-@462:+B6991hby!]egAmp979&M8m7n`{/SgeGkr;97$Z=<5lnu-QacEi|5;5"_KH1d9`jq)UmoIex1?1_uqjiftbi}ooSua}_e9`jq)UmoIex1<1f:amp*TbnJd0?0!D028gkr(ZllHby2=>/F255=df}%YikMat=0=*A4a3jd#_kiCov?6;(V981hby!]egAmp949&[OL=h5lnu-QacEi|585Sy}fmbpfeqccWqeySi5lnu-QacEi|595j6mat.PfbFhs4:4%H<>4cov,V``Df}682#J>119`jq)UmoIex1=1.E0e?fhs'[omOcz33?,R54=df}%YikMat=1=*WC@9l1hby!]egAmp959W}yban|jaugg[}iuWm1hby!]egAmp929n2iex"\jfBlw818)L8:0ocz Rdd@jq:36'N:==5lnu-QacEi|5>5"Iei|&XnjN`{<4<-@466:+B6991hby!]egAmp939&M8m7n`{/SgeGkr;=7$Z=<5lnu-QacEi|5?5"_KH1d9`jq)UmoIex1;1_uqjiftbi}ooSua}_e9`jq)UmoIex181f:amp*TbnJd0;0!D028gkr(ZllHby29>/F255=df}%YikMat=4=*A4a3jd#_kiCov?2;(V981hby!]egAmp909&[OL=h5lnu-QacEi|5<5Sy}fmbpfeqccWqeySi5lnu-QacEi|5=5j6mat.PfbFhs4>4%H<>4cov,V``Df}6<2#J>119`jq)UmoIex191.E0e?fhs'[omOcz37?,R54=df}%YikMat=5=*WC@9l1hby!]egAmp919W}yban|jaugg[}iuWk1hby!]egFlj`b6mat.Vji`ir|KZyi#J=169`jq)SadodyyL_rd,G6ZVSW9;<7n`{/UknajssJYxn"I;blw+QojmfN]|j.P30?fhs']cfib{{BQpf*WC@:91hby![ilglqqDWzlUdclrdcwaaYg{Uh7n} nNtfvig~8l1h"`@vdpoe|6)Lo1h"`@vdpoe|6)L8l0o~!aOwgqhd7&M8:<6m|/oMuawjfq9$NT]?:;bq,jJpbzekr<#KWP^RW[5723jy$bBxjrmcz4+C_XVZ_S?:;bq,jJpbzekr<#KWP^RW[1463jy$bBxjrmcz4+C_XV~xe`|jn`of`Zhb|Vo0o~!aOwgqhd7&X;;7n} nNtfvig~8'XNK0:ap+kIqm{fju< ]EF68aaab02lxn"O\rgc8bvd(IZxm"Il4fr`,EVta&M;i7k}m/@Qqb+B5i2lxn"O\rg,Rg>`tj&KX~k ]EFc8bvd(JLXY<m4fr`,F@TU8{$Oh6h|b.@FVW6u&M;o7k}m/CGQV5t)L;;97k}m/CGQV5t)L;U[XR>>2:dpf*DBZ[:y"Ir/Fg?cue'KOY^<|!D0f8bvd(JLXY= K2008bvd(JLXY= K2^RW[5753oyi#OK]R0p-@7YW\V;h7k}m/CGQV4t)Yl1mo!MESP2v+TBOk1mo!]e`fz4wb`tj&Xnmiw>r/Ff?cue'[ojht?}.E3f?cue'[ojht?}.E027>`tj&Xnmiw>r/F1[URX8890j~l Rdcg}4t)L;U[XR?i;gqa+Wcflp;y"HV_159ewg)Umhnr= JXQ]SPZ66<2lxn"\jae{2v+C_XVZ_S=5isc-Qadb~9{$NT]Q{shoqakgjmmUeiyQk;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMNh0eblb/CGQVw`c3`eia"LJRSpe*Acohjd%OXLMDrs,G6`=ngkg$HYOLKsp-U46ohjd%N_1>1.Ef8mjdj'LY7<3 K1e9jkgk(MZ6;2#J=139jkgk(MZ6;2#J=_QV\444>/F2`>ohjd%N_1?1.E026>ohjd%N_1?1.E0\TQY79;1bcoc ER>2:+B5WY^T=<<4in`n+@U;97$O>R^[_3a8mjdj'LY7=3 ^e:klfh)B[5;5"_KHa:klfh)B[585o6g`bl-FW949&Mn0eblb/DQ?6;(C9m1bcoc ER>1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ46:2cdn`!JS=0=*A4XX]U8=?5foco,AV:56'N9S]ZP4b9jkgk(MZ692#_j;hmai*CT4;4%^HIn;hmai*CT4:4h7damm.GP868)Lm1bcoc ER>0:+B6l2cdn`!JS=1=*A46:2cdn`!JS=1=*A4XX]U;=?5foco,AV:46'N9S]ZP1008mjdj'LY7?3 K2^RW[7eohjd%N_1=1.SGD5g=ngkg$I^2<>^vpmheumh~nhRv`r^c8mjdj'LY783m4in`n+@U;<7$Oh6g`bl-FW929&M;o7damm.GP818)L;;97damm.GP818)L;U[XR>>2:klfh)B[5>5"I/F1[URX:880eblb/DQ?0;(C:VZ_S>m4in`n+@U;<7$Zi6g`bl-FW929&[OL=o5foco,AV:36V~xe`m}e`vf`Z~hzVk0eblb/DVBGNeohjd%NXLMD.E3g?liee&O_MNE!D3a8mjdj'L^JOF ^e:klfh)B\HI@"_KH7:klfh)Bz01bcoc Es,Ge>ohjd%N~#J>a:klfh)Bz'N956g`bl-Fv+We3`eia"K}.SGD<>ohjd%N~?o4in`n+@t5&Mh0eblb/Dp1*A7e3`eia"K}2/F1e>ohjd%N~? ^c:klfh)Bz;$YIJl4in`n+LIUMXxo7damm.KLV@Wu&Mo0eblb/HMQATt)L8o0eblb/HMQATt)L;n0eblb/HMQATt)Yo1bcoc INPFUw(UMN;:7damm.KLWZ@TEVLMh5foco,MJUXNZGTJKj=.E37?liee&CD_RH\M^DE`7(C98>0eblb/HMP[CUJWOLo>#J=189jkgk(AFYTJ^CPFGf1*A4XX]U;=45foco,MJUXNZGTJKj=.E0\TQY69:1bcoc INQ\BVKXNOn9"\?:;hmai*OH[VLXARHId3,QAB763`eia"G@S^DPIZ@Al:;87damm.KLWZ@TEVLMh> K159jkgk(AFYTJ^CPFGf0*A76<2cdn`!FOR]EWHYANm9%H??6;hmai*OH[VLXARHId2,G6ZVSW9;27damm.KLWZ@TEVLMh> K2^RW[47>3`eia"G@S^DPIZ@Al:$O>R^[_33:?liee&CD_RH\M^DE`6(C:VZ_S>?6;hmai*OH[VLXARHId2,G6ZVSW=;>7damm.KLWZ@TEVLMh> JXQ05?liee&CD_RH\M^DE`6(BPYUdc}eocnaaYim}U:?6g`bl-JKVYA[DUMJi=!Q078mjdj'@EXSK]B_GDg7+TBO8;0eblb/HMP[CUJWOLo8<=4in`n+LITWOYFSKHk4/F20>ohjd%BC^QISL]EBa2)L8;?7damm.KLWZ@TEVLMh9 K20;8mjdj'@EXSK]B_GDg0+B5WY^T<<74in`n+LITWOYFSKHk4/F1[URX9830eblb/HMP[CUJWOLo8#J=_QV\64?%^HIn;hmai*TB[{lh7damm.PFWw`)Lm1bcoc RDQqb+B6l2cdn`!]ERpe*A4d3`eia"\JSsd-U`=ngkg$^H]}f/PFCd=ngkg$^H]}rb9jkgk(ZLYy~#Jk;hmai*TB[{x%Hn5foco,V@Uuz'[n7damm.PFWwt)ZLMj7damm.TSEw`d3`eia"X_Asd-@a=ngkg$Z]O}f/F2`>ohjd%]\L|i.E0`?liee&\[Mh!Qd9jkgk(^YKyj#\JG`9jkgk(^YKy~n5foco,RUGuz'No7damm.TSEwt)L8n0eblb/WRBvw(C:j1bcoc VQCqv+Wb3`eia"X_Asp-V@Ae3`ei"Mce`p2`>ohjz%H`ho}1/Ff?lie{&Igil|>.E3f?lie{&Igil|>.E0e?lie{&Igil|>.DZS51=ngky$Oaknr0,F\UYW\V::86g`br-@h`gu9'OS\R^[_037?lie{&Igil|>.DZS[URX:8>0ebl|/Bnfew7)MQZT\YQ<219jkgu(Keoj~< JXQ]wwlkumgkfiiQaeu]g?lie{&Igil|>.Pd8mjdt'Jfnm?!RDEa?lie{&CDMNZ}d:klfv)NGHI_~#Jj;hmaw*OHIJ^y"I?j;hmaw*OHIJ^y"I=5focq,MJGD\{$NT]Q{shoqakgjmmUeiyQk;hmaw*OHIJ^y"\h4in`p+LIFK]x%^HI6;hmaw*OHD8i0ebl|/HMO5+EBj2cdn~!FOM3-@f=ngky$EBB>.E3`?lie{&CD@< K2c9jkgu(AFF:"\j4in`p+LIK9'XNKl5focq,MJTP9j1bco} INPT5+Bc3`ei"G@RV3-@4b2:klfv)NG[]:"Iohjz%BC_Y>.E0\TQY1k2cdn~!FOSU2*Tcohjz%BC_kndxg8mjdt'@EYiljv.Ed8mjdt'@EYiljv.E3e?lie{&CD^hoky/F151=ngky$EB\jae{-@7YW\V::86g`br-JKWcflp$O>R^[_037?lie{&CD^hoky/F1[URX:8>0ebl|/HMQadb~&M8T\YQohjz%BC_kndx]wwlkdzlkiiQwos]:?lie{&CDY.Pf8mjdt'@E^=#\JG`9jkgu(Dfko=n5focq,Hjgc9'No7dams.Nlea7)L8n0ebl|/Mmb`4(C:880ebl|/Mmb`4(C:VZ_S=?=;hmaw*Jhim;%H?Q_T^326>ohjz%Gclj>.E0\TQY59;1bco} Lncg5+B5WY^T?<<4in`p+Iifl8$O>R^[_531?lie{&Fdmi?!D3]SPZ36:2cdn~!Co`f2*A4XX]U=o6g`br-Okdb6&Xo0ebl|/Mmb`4(UMN30ebl|/Nl`ag=ngky$Ccmj.Ea8mjdt'Fdhi#J>c:klfv)Hfjo%H??>;hmaw*Iikl$O>R^[_132?lie{&Eeoh K2^RW[4763`ei"Aacd,G6ZVSW;;:7dams.Mmg`(C:VZ_S>?>;hmaw*Iikl$O>R^[_532?lie{&Eeoh K2^RW[0763`ei"Aacd,G6ZVSW?h0ebl|/Nl`a+Wc3`ei"Aacd,QAB773`ei"^\_GQN[C@c9880ebl|/QQ\BVKXNOn:"I?<;hmaw*VTWOYFSKHk1/F256=ngky$\^QISL]EBa7)L;;37dams.RP[CUJWOLo=#J=_QV\44>159jkgu(XZUM_@QIFe3-A]V612cdn~!_S^DPIZ@Al8$NT]Q_T^22=>ohjz%[_RH\M^DE`4(BPYU[XR?=5:klfv)W[VLXARHId0,F\UYs{`gyicobee]maqY6:2cdn~!_S^DPIZ@Al8$Z=95focq,TVYA[DUMJi?!RDE24>ohjz%[_RH\M^DE`7753`ei"^\_GQN[C@c:'N:?6g`br-SWZ@TEVLMh? K1018mjdt'YYTJ^CPFGf1*A4602cdn~!_S^DPIZ@Al;$O>R^[_13;?lie{&ZXSK]B_GDg6+B5WY^T=<64in`p+UUXNZGTJKj=.E0\TQY5911bco} PR]EWHYANm8%H?Q_T^12<>ohjz%[_RH\M^DE`7(C:VZ_S9?;;hmaw*VTWOYFSKHk2/G[T73hFLf@H>!P@Ff?kGCg|~GI=Q@BTD24>hFLf@H>POCWE*A763gKOcxzCE1]LFP@)L8;:7cOKotvOA5YHJ\L%H???;oCGkprKM9UDNXH!Q89mEAir|EO:h6`NDnwwH@7)XHNn7cOKotvOA4YHJ\L:<6`NDnwwH@7XGK_M"I?>;oCGkprKM8UDNXH!D032?kGCg|~GI>6:lB@jssDL;TCO[I.E0\TQY6991eMIaztMG2[JDRN'[:>6`NDnwwH@7XGK_M"_KH7:lBWZ@TJk1eM^QISC,SEAehF[VCDNo5aAR]JKG(WIMi0bL]PIN@\KGSAm2dJ_RG@B^MAQC(Cn2dJ_RG@B^MAQC(C9o1eM^QFOC]LFP@)L;;?7cO\_HMA[JDRN'N9S]ZP0068jDUXAFHTCO[I.E0\TQY6m2dJ_RG@B^MAQC(V991eM^QFOC]LFP@)ZLM<7cO`uuMFf>hFg|~DI#^NDd9mEjssGLUDNXH+Qd9mEjssGLUDNXH!Dg9mEjssGLUDNXH!D0d8jDir|FOTCO[I.E024>hFg|~DIRAMUG,F\U463gKdyyAJ_N@VB+C_XV~xe`|jn`of`Zhb|Vo0bLaztNG\KGSA&X30bOK]R^DPFa=iJLXYSK]M.QCGa>hEM[XTJ^LPOCWE55=iJLXYSK]M_N@VB+B692dII_\PFR@\KGSA&M;:=6`MESP\BVDXGK_M"I<>6:lAAWTXNZHTCO[I.E0\TQY79?1eNH\]_GQA[JDRN'N9S]ZP1048jGCUZVLXNRAMUG,G6ZVSW;;97cLJRS]EWGYHJ\L%IU^>7:lAAWTXNZHTCO[I.DZS[URX88=0bOK]R^DPFZIE]O$NT]Q_T^323>hEM[XTJ^LPOCWE*@^WWY^T>?=4nCGQVZ@TJVEIYK JXQ]wwlkumgkfiiQaeu]24>hEM[XTJ^LPOCWE*T?hDIZUDNXH!EYR2a>hDIZUDNXH!EYR\pvojzldjahjPndv\f>hDIZUDNXH!Qe9mGDUXGK_M"_KHa:lGPDELWOYIi6`KT@AH[CUE&YKOj6`KT@AH[CUEWFH^J7:lGPDELWOYISBLZF/F1[URX:8=0bIZNCJ]EWGYHJ\L%H?Q_T^123>hC\HI@SK]M_N@VB+B5WY^T8<94nEVBGNYA[KUDNXH!D3]SPZ36?2dOXLMD_GQA[JDRN'N9S]ZP6038jARFKBUM_OQ@BTD-U45=5aDhlLAZIE]O$NT]Q{shoqakgjmmUeiyQk;oFjjJCXGK_M"\94nEmvpJCe3gNdyyAJ.QCGg>hCg|~DIRAMUGg8jAir|FOTCO[I.Ed8jAir|FOTCO[I.E3e?kBh}}ENSBLZF/F151=iLfCHQ@BTD-@7YW\V::86`KotvLAZIE]O$O>R^[_0g8jAir|FOTCO[I.P33?kBh}}ENSBLZF/PFC==iDMYTJ^Ll;oNGWZ@TJ'ZJHi5aLEQ\BVDXAK_M46`CDR]JKGehKLZUBCOQ@BTDe?kJC[VCDNRAMUG,G55=iDMYTEBLPOCWE*A7682dGH^QFOC]LFP@)L;;>7cBKS^KLFZIE]O$O>R^[_136?kJC[VCDNRAMUG,G6ZVSW8;>7cBKS^KLFZIE]O$O>R^[_336?kJC[VCDNRAMUG,G6ZVSW:l0bAJ\_HMA[JDRN'[:=6`CDR]JKGYHJ\L%^HI<;oMF3>hHM'ZJH55aOD]LFP@f3gENSBLZF/Fa?kIBWFH^J#J>b:lLAZIE]O$O>l5aOD]LFP@)Y>1e^LCCWD`8jWGJD^O%\LJl;oPBIIQBWFH^Jh5aR@OOS@YHJ\L%Hk5aR@OOS@YHJ\L%HhUID_\IRAMUG,G6`=iZHG^[HQ@BTD-U1=iZHY37c\NS/RB@<=iZHYTCO[Ib:lQEVYHJ\L%Hn5aR@Q\KGSA&M;h7c\NS^MAQC(C:m1e^L]POCWE*@^W9;1e^L]POCWE*@^WWY^T<<<4nSCP[JDRN'OS\R^[_031?kTF[VEIYK JXQ]SPZ46:2dYM^Q@BTD-A]VXX]U8=?5aR@Q\KGSA&LR[S]ZP40g8jWGTWFH^J#KWP^vpmhtbfhgnhR`jt^`8jWGTWFH^J#_;;oPFW==iZLY%\LJ6;oPFWZOE]O<0b_ABCRc8jWIJKZ$[MIl4nSMNGVYHJ\Lo7c\@MBQ\KGSA&Mo0b_ABCR]LFP@)L8o0b_ABCR]LFP@)L;;87c\@MBQ\KGSA&M8T\YQ?129mVJKD[VEIYK K2^RW[4`hUGDIXSBLZF/G[TZrtadxnblcjd^lfpZbhRLZUM_Om4nTFP[CUE&YKOh6`ZDR]EWGYNJ\L37c[KS^KLFf=i]MYTEBL!P@Fg?kSC[VCDNRAMUGd8jPBTW@EISBLZF/F24>hRLZUBCOQ@BTD-@4773g_O_RG@B^MAQC(C:8?0bXJ\_HMA[JDRN'N9S]ZP0078jPBTW@EISBLZF/F1[URX98?0bXJ\_HMA[JDRN'N9S]ZP2078jPBTW@EISBLZF/F1[URX;o1eYI]PIN@\KGSA&X;:7c[KS^KLFZIE]O$YIJ64nWOB[LIEk2d]ALQFOC,SEAbhQEHUBCOQ@BTD-A]VXX]U9=;5aVLC\MJDXGK_M"HV__QV\7401eijkkgd58tjublno27ob/CC@Pwd5}al-QEHBN[8$O>R^[_130?wgj'[KFHD]>.E0\TQY69:1ym`!]ALFJW4(C:VZ_S??<;scn+WGJL@Y:"I#KWP328vdk(ZHGOE^tfe&XJAIG\2/Se?wgj'[KFHD]=.SGD5f=uid%YM@JFS3]wwlkdzlkiiQwos]`?wgj'[KF^hokyd9qeh)UIDXnmiw!Dg9qeh)UIDXnmiw!D0d8vdk(ZHGYiljv.E020>tfe&XJA_kndx,G6ZVSW9;?7ob/SCNV`gcq'N9S]ZP1068vdk(ZHGYiljv.E0\TQY59=1ym`!]ALPfea)L;U[XR=>4:pbi*TFE[ojht K2^RW[1733{kf#_OBRdcg}+B5WY^T9<:4r`o,VDKUmhnr"Itfe&XJA_kndx,R55=uid%YM@\jae{-V@A>3{kf#_O\EM`8vdk(ZHYN@#Jl;scn+WGTME$O=n5}al-QEVCK&M8:=6|nm.PBW@J)L;U[XR>>1:pbi*TF[LF%H?Q_T^325>tfe&XJ_HB!D3]SPZ4692xja"\NSDN-@7YW\V9:=6|nm.PBW@J)L;U[XR:>1:pbi*TF[LF%H?Q_T^725>tfe&XJ_HB!D3]SPZ0e3{kf#_O\EM,R`>tfe&XJ_HB!RDEe?wgj'[YTJ^CPFGf254=uid%Y_RH\M^DE`4(C9;1ym`!]S^DPIZ@Al8$O=<<4r`o,VVYA[DUMJi?!D334?wgj'[YTJ^CPFGf2*A4XX]U;=:5}al-QWZ@TEVLMh< K2^RW[4703{kf#_]PFRO\BCb6&M8T\YQ=169qeh)U[VLXARHId0,G6ZVSW:;<7ob/SQ\BVKXNOn:"I_uqjiftbi}ooSua}_g9qeh)U[VLXARHId332?wgj'[YTJ^CPFGf1*A753{kf#_]PFRO\BCb5&M;:>6|nm.PP[CUJWOLo>#J=169qeh)U[VLXARHId3,G6ZVSW9;<7ob/SQ\BVKXNOn9"I1ym`!]S^DPIZ@Al;$O>R^[_534?wgj'[YTJ^CPFGf1*A4XX]U>=:5}al-QWZ@TEVLMh? K2^RW[3763{kf#_]PFRO\BCb5&X;87ob/SQ\BVKXNOn9"_KH219qeh)U[VLXARHId3]wwlkdzlkiiQwos]e?wgj'[YTJ^CPFGf054=uid%Y_RH\M^DE`6(C9;1ym`!]S^DPIZ@Al:$O=<<4r`o,VVYA[DUMJi=!D334?wgj'[YTJ^CPFGf0*A4XX]U;=:5}al-QWZ@TEVLMh> K2^RW[4703{kf#_]PFRO\BCb4&M8T\YQ=169qeh)U[VLXARHId2,G6ZVSW:;<7ob/SQ\BVKXNOn8"I5}al-QWZ@TEVLMh> ]EF03?wgj'[YTJ^CPFGf0[qunejxnmykk_ymq[0=qienqMN781b?EF:;81J7<51zQf:793d9g07fsg8h;7?4n3a;>3=#:j:1>ok4}Rg4>7dc2h0:?9662g8`14g:0yPa=<5jm0j6<=;880e>f36i2|_;;4?:082>1g2sZo36?lk:`8271>>:o0h97}r:;91=6{=2583?x"5j009>=5+23c96gev<6:0g9ylg3290/>?j5929m67e=m21bm?4?:%01`??43g89o7h4;h:2>5<#:;n15>5a23a9<>=n?o0;6)<=d;;0?k45k3307d9k:18'67b=1:1e>?m5a:9j3g<72-89h77<;o01g?d<3`=26=4+23f9=6=i:;i1o65f7683>!45l3387c<=c;f8?l?3290/>?j5929m67e=821b5<4?:%01`??43g89o7?4;h:e>5<#:;n15>5a23a96>=n0m0;6)<=d;;0?k45k3907d66:18'67b=1:1e>?m54:9j<2<72-89h77<;o01g?3<3`2>6=4+23f9=6=i:;i1:65f8283>!45l3387c<=c;58?l2em3:17dk?:188m`4=831b84750;9j123=831b9><50;9j156=831b99l50;9ja1<722c:ml4?::k7`g<722c>;?4?::k;f?6=,;8o64=4n30`>40<3`3=6=4+23f9=6=i:;i1=854i8:94?"5:m02?6`=2b820>=n1h0;6)<=d;;0?k45k3;876g6c;29 74c2090b??j5929m67e=9810el>50;&16a<>;2d9>n4>0:9l10g=831d8nl50;9l0i4=359m67e=j21d>>950;&16a<5;=1e>?m5a:9l66?=83.9>i4=359m67e=121d>>l50;&16a<5;=1e>?m58:9l66b=83.9>i4=359m67e=?21d>>h50;&16a<5;=1e>?m56:9l617=83.9>i4=359m67e==21d>9=50;&16a<5;=1e>?m54:9l613=83.9>i4=359m67e=;21d>9950;&16a<5;=1e>?m52:9l0d`=831d9=h50;9l112=831d9;l50;9l0=>=831d8h650;9l172=831d>>;50;&16a<5;=1e>?m50:9l664=83.9>i4=359m67e=921d85h50;9l140=831d9f;65?!45j3>=76smcb83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:ae6<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6;?!45j3>376smcc83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<7<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;70?!45j3?876smac83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<5<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;1f?!45j39n76sma`83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3`<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;3g?!45j3;o76sma883>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3f<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;63?!45j3>;76sma983>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3d<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;62?!45j3>:76sma683>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a3=<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;76?!45j3?>76sma783>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=0<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6`?!45j3>h76smc583>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=7<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7`?!45j3?h76smc283>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a=5<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;6e?!45j3>m76smb883>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<`<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;03?!45j38;76smb983>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:af;1g?!45j39o76smag83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<=<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7b?!45j3?j76smad83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<3<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;72?!45j3?:76smae83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a<1<7280;6=u+2b0967?<@;h37d<=9;29 7e52;827)<>f;7;?!45j3?376smab83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a0g`=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g80<>"5:k08465rb5a3>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8ygc6290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d962=#:;h1>:54}c3a6?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7c=#=>31j6sr}|9~f4ga29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55G2b38R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6k5+56;9b>{zut1vnh=50;394?6|,;i96?<6;I0a<>o5:00;6)"59o0946*=2c81<>=zj8h:6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1j6*:788e?x{zu2wi=lk50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9b>"2?00m7psr}:a0t$3a1>74>3A8i46g=2883>!4d:38956*=1g871>"5:k0?965rb5;a>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg30>3:1=7>50z&1g7<5:01C>o64i30:>5<#:j81>?74$33e>6e<,;8i6>m4;|`632<72;0;6=u+2b09`f=O:k20e{e=:91<7?50;2x 7e52;827E7<=9:&15c<2n2.9>o4:f:9~f05329096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8L7e63_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;36?!3013;>7psr}:a157=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g862>"5:k0>:65rb421>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg33k3:1=7>50z&1g7<5:01C>o64i30:>5<#:j81>?74$33e>72<,;8i6?:4;|`60a<72;0;6=u+2b09`f=O:k20e{em<0;6<4?:1y'6f4=:;30D?l7;h01=?6=,;i96?<6;%02b?4d3-89n7{e9k:1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:J1g4=Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5f:&63<44?:%0`6?4512.9=k4<5:&16g<4=21vn5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5129'12?=9:1vqps4}c6gg?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;30?!3013;87psr}:a125=83;1<7>t$3a1>74>3A8i46g=2883>!4d:38956*=1g81f>"5:k09n65rb457>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg>d290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d902=#:;h18:54}c`4>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?0290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d972=#:;h1?:54}ca6>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?>290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d907=#:;h18?54}ca5>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?e290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d97d=#:;h1?l54}ca4>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?c290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d967=#:;h1>?54}ca;>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg?a290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d91`=#:;h19h54}ca:>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8ygg6290:6=4?{%0`6?4512B9n55f23;94?"5k;09>45+20d90d=#:;h18l54}cab>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c956=#=>31=>5r}|8yg32j3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>75<,;8i6?=4;|`61d<72;0;6=u+2b09`f=O:k20e;|~y>{e7<=9:&15c<4n2.9>o4b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z2h7>51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2=o0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi8n<50;394?6|,;i96?<6;I0a<>i5:00;6)"59o08?6*=2c807>=zj=i:6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo:kf;295?6=8r.9o?4=289K6g>:4$30a>62<3th?hh4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm4g594?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4>b:&16g<6j21vn9h9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c730?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a11`=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g870>"5:k0?865rb46f>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg4493:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>7b<,;8i6?j4;|`175<72;0;6=u+2b09`f=O:k20e;|~y>{e::21<7?50;2x 7e52;827E7<=9:&15c<2<2.9>o4:4:9~f75029096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2;l0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi>>m50;394?6|,;i96?<6;I0a<>i5:00;6)"59o08<6*=2c804>=zj;9i6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo<?4$30a>67<3th9?i4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm25294?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4;9:&16g<3121vn?=i:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c076?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a612=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g87`>"5:k0?h65rb360>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg43>3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>06<,;8i68>4;|`100<72;0;6=u+2b09`f=O:k20e;|~y>{e:=21<7?50;2x 7e52;827E7<=9:&15c<4:2.9>o4<2:9~f72029096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Zi<7>51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a28i0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi9<>50;394?6|,;i96?<6;I0a<>i5:00;6)"59o0>;6*=2c863>=zj<:m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo;;5;295?6=8r.9o?4=289K6g>0b<3th>894?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm57a94?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4<9:&16g<4121vn88m:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c6;=?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a0`?=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g82b>"5:k0:j65rb5g;>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg35=3:1=7>50z&1g7<5:01C>o64o30:>5<#:j81>?74$33e>7g<,;8i6?o4;|`661<72;0;6=u+2b09`f=O:k20e;|~y>{e::<1<7?50;2x 7e52;827E7<=9:&15c<5m2.9>o4=e:9~f75229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z51;294~"5k;09>45G2c:8k74>290/>n<523;8 77a2;?0(?o64i0`5>5<#:j81=o84;n01ae81!30i3;:7);89;32?x{zu2wi84>50;394?6|,;i96?<6;I0a<>i5:00;6)"59o0>>6*=2c866>=zj=2m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1=<5+56;954=zutw0qo;>7;295?6=8r.9o?4=289K6g>0?<3th>=;4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?;%74=?763twvq6sm50g94?7=83:p(?m=:30:?M4e02e9>44?:%0`6?4512.9=k4:b:&16g<2j21vn8?k:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5109'12?=981vqps4}c702?6=93:17<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;32?!3013;:7psr}:a0d1=83;1<7>t$3a1>74>3A8i46a=2883>!4d:38956*=1g877>"5:k0??65rb5c5>5<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c954=#=>31=<5r}|8yg32l3:1>7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;?50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c75=?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1c794?7=83:p(?m=:3a:?M4e02e9>54?:%0`6?45021vn?ln:182>5<7s-8h>7<=6:J1f==h:;21<7*=c3816==i7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>9k4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e=?:1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=?81<7950;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a135=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;;50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Zo6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m6413:147>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64150z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi??=50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gh4={Wg:>7}#=>21hk5+33c912gk4=a09U5db=:r.>;l4>7:&63<<6?2wvqAl4:7`9~ 0cf28=0qps4i3;7>5<#:j81>4:4V3a7>7}K:j<1=vX=1d81!45n38286X>ae81!30i3;<7);89;34?x{zD;i>6?650;&1g7<5:110qo==5;297?6=8r.9o?4=bg9K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi??950;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn86m:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th>4?4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi95=50;394?6|,;i96?m6;I0a<>i5:10;6)=zj<2>6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<2=6=46:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=>=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4i0f0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`8a?!3013h0qpsr;h3a=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=?=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28<0(896:048yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<6>2.>;44>6:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5b:&63<b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63dg=zutw0e4de3_;jh7g=#=>31n6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1n6*:788a?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<1290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;`8 01>2k1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f2k1/9:75b:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;991<7=50;2x 7e52;hm7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo=?4;295?6=8r.9o?4=c89K6g><3th8<;4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj::<6=46:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c953=#=>31=;5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8<84?:283>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8;<50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn98<:180>5<7s-8h>74d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`721<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm47494?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d00;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dh0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d=<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0e4b53_;jh7g=#=>31n6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|```?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fa3=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5129'12?=9:1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjmk1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998ygeb29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d554}cf2>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28<0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8e?!3013l0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{el90;684?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?db683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3tho;7>51083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m641o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m641o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>7:&63<<6?2wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z;44m;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c9f>"2?00i7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0i7);89;`8yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjm21<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;:<6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi><:50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?di5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn?>7:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<3th95}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z<^;i?65<>290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0m7);89;d8yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6>2.>;44>6:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<>0;29=?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9=<4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28<0(896:048yx{z3`;o>7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`822>"2?00::6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f76f29036=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0i7);89;`8yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:;?6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?<650;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c115?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`065<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb236>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c122?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>28:0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>7:&63<<6?2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Zo6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>?n:1825?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g2?6=,;i96P5k=0:wAk4>d79U5db=:r.>;l4>7:&63<<6?2wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z;44m;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9f>"2?00i7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c12f?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;h3g7?6=,;i96P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>7:&63<<6?2wvqp5f1e694?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>7:&63<<6?2wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c952=#=>31=:5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9f>"2?00i7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0i7);89;`8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<9:k2f3<72-8h>7?m6:T1g1<6sE8h:7?tV33f>7}#:;l1=o84V0cg>7}#=>k1n6*:788a?x{zu2coi7>5$3a1>ac<^;i?6!4d:3nm7[7=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:o4}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm7ac<,:8j689n;|&6ad<6:2w]>?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>41f283>6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5c1=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?i2;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:008yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj;;<6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd59h0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<>b;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:8<1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6db=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f7g229086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d3:1=7>50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`1e=<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1e<<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;`8 01>2k1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2k1/9:75b:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1ed<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ol50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gd<^8ko6?u+56c9f>"2?00i7psr}:k2ff<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nn5Y1`f96~"2?h0i7);89;`8yx{z3`;ih7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a`>P6im09w);8a;`8 01>2k1vqps4i0`f>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hn7[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:ho1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9n:4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh745<,<=26<=4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qob29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi>lh50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?l?:182>5<7s-8h>77>54;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c953=#=>31=;5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:k2`0<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e78R4gc2;q/9:o5179'12?=9?1vqps4i0f5>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<6>2.>;44>6:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1f1<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c953=#=>31=;5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m640n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c9f>"2?00i7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0i7);89;`8yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;h:6=4;:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;h3`7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a745=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f66e29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`04`<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`04c<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6>2.>;44>6:~yx=n9k31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5b:&63<b`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63dg=zutw0e4dd3_;jh7g=#=>31n6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1n6*:788a?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh740<,<=26<84}|~?l7c:3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`822>"2?00::6sr}|9j5a5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g0?6=,;i96P5k=0:wAk4>d59U5db=:r.>;l4>6:&63<<6>2wvqp5f1e794?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=o750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c9f>"2?00i7psr}:k2fd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nl5Y1`f96~"2?h0i7);89;`8yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;`8 01>2k1vqps4i0``>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f2k1/9:75b:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5b:&63<bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63dg=zutw0qo=?d;290?6=8r.9o?4=269K6g>;44m;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4m;%74=?d7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:936=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?>l50;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>=::180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a760=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c103?6=>3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8e?!3013l0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;:>1<7:50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6?2.>;44>7:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`006<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb21g>5<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th88<4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:9m6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>:l:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th8884?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo=;8;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f62>290=6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z;44i;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a71g=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2o1/9:75f:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c17`?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f63429096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg53m3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?9h50;394?6|,;i96?m6;I0a<>i5:10;6)=zj:?:6=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:?96=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{97>52083>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g1?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1==5+56;955=zutw0e4df3_;jh746<,<=26<>4}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63d<682.>;44>0:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5119'12?=991vqps4i0`f>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{0Z;44m;|~y>o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?8850;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5169'12?=9>1vqps4i0`e>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?mf:T2ea<5s-?c183>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n>4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g7=Q9hn1>v*:7`8a?!3013h0qpsr;h3`0?6=,;i96ae81!30i3h0(896:c9~yx{6=4+2b095g0<^;i?6;44m;|~y>o6k>0;6)P5k=0:wAk4>c69U5db=:r.>;l4m;%74=?d290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=no50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fg<^8ko6?u+56c9f>"2?00i7psr}:k2gg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oo5Y1`f96~"2?h0i7);89;`8yx{z3`;ho7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`g>P6im09w);8a;`8 01>2k1vqps4i0ag>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28io7[?nd;0x 01f2k1/9:75b:~yx=n9jl1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bd8R4gc2;q/9:o5b:&63<d183>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l91]=lj52z&63dg=zutw0qo=:7;2964<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<682.>;44>0:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5119'12?=991vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ae>P6im09w);8a;33?!3013;;7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0:<6*:78824>{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c955=#=>31==5r}|8m4dc290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c955=#=>31==5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i97[?nd;0x 01f2k1/9:75b:~yx=n9j>1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b68R4gc2;q/9:o5b:&63<c483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a70>=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`01g<72;0;6=u+2b09`f=O:k20e3:1:7>50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{h7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd4=o0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d90;6??50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4i;%74=?`n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c9b>"2?00m7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5f:&63<d483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?c=zutw0e4d>3_;jh7c=#=>31j6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1j6*:788e?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`8e?!3013l0qpsr;h3ag?6=,;i96ae81!30i3l0(896:g9~yx{n851zTff?4|,;8m6405$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k91]=lj52z&63d<6>2.>;44>6:~yx=n9j;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e63_;jh740<,<=26<84}|~?l7d:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l2:T2ea<5s-?g=zutw0e4e33_;jh7g=#=>31n6sr}|9j5f3=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n;4V0cg>7}#=>k1n6*:788a?x{zu2c:o;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g3=Q9hn1>v*:7`8a?!3013h0qpsr;h3`3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kh0;6)P5k=0:wAk4>c`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fb<^8ko6?u+56c9f>"2?00i7psr}:k2gc<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ok5Y1`f96~"2?h0i7);89;`8yx{z3`;o<7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g4>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:<:6=4=1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4i;%74=?`n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;d8 01>2o1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?c=zutw0e4b13_;jh7c=#=>31j6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1j6*:788e?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`8e?!3013l0qpsr;h3af?6=,;i96ae81!30i3l0(896:g9~yx{;44i;|~y>o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1cd94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=n>50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i;7[?nd;0x 01f28<0(896:048yx{z3`;h=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k81]=lj52z&63d<6>2.>;44>6:~yx=n9j81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b08R4gc2;q/9:o5b:&63<c583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63dg=zutw0e4e13_;jh7g=#=>31n6sr}|9j5f1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n94V0cg>7}#=>k1n6*:788a?x{zu2c:o54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g==Q9hn1>v*:7`8a?!3013h0qpsr;h3`=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kj0;6)P5k=0:wAk4>cb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i>50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a6<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8:?4?:3394?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79K6f7<^;i?6;44i;|~y>o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9b>"2?00m7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5f:&63<d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1j6*:788e?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z;44i;|~y>o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4i;%74=?`2\:mi4={%74e?`<,<=26k5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c9b>"2?00m7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0m7);89;d8yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;d8 01>2o1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2o1/9:75f:~yx=n9ko1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74db3_;jh740<,<=26<84}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`8e?!3013l0qpsr;h3`4?6=,;i96P5k=0:wAk4>c19U5db=:r.>;l4>6:&63<<6>2wvqp5f1b394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n;50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f3<^8ko6?u+56c9f>"2?00i7psr}:k2g3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o;5Y1`f96~"2?h0i7);89;`8yx{z3`;h;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`3>P6im09w);8a;`8 01>2k1vqps4i0a;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i37[?nd;0x 01f2k1/9:75b:~yx=n9j31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b;8R4gc2;q/9:o5b:&63<c`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kh1]=lj52z&63dg=zutw0e4ed3_;jh7g=#=>31n6sr}|9j5fb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nj4V0cg>7}#=>k1n6*:788a?x{zu2c:ok4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gc=Q9hn1>v*:7`8a?!3013h0qpsr;h3g4?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<593:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dc=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;d8 01>2o1vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{;44i;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4i;%74=?`n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9b>"2?00m7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0::6*:78822>{zut1b=oh50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hm7[?nd;0x 01f2o1/9:75f:~yx=n9j:1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e73_;jh740<,<=26<84}|~?l7d93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g4=Q9hn1>v*:7`822>"2?00::6sr}|9j5f4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n<4V0cg>7}#=>k1n6*:788a?x{zu2c:o94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g1=Q9hn1>v*:7`8a?!3013h0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d=0;6??50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9b>"2?00m7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5f:&63<d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1j6*:788e?x{zu2c:h>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m90Z;44i;|~y>o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c9b>"2?00m7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0m7);89;d8yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;d8 01>2o1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2o1/9:75f:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5f:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dc=zutw0e4dc3_;jh7c=#=>31j6sr}|9j5gc=83.9o?4>b79K6f7<^;i?628<0qpsr;h3ab?6=,;i96P5k=0:wAk4>bg9U5db=:r.>;l4i;%74=?`{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28<0(896:048yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;`8 01>2k1vqps4i0a7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i?7[?nd;0x 01f2k1/9:75b:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>;j:184>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>9<:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th8:54?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?;750;394?6|,;i96?m6;I0a<>i5:10;6)=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8:h4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>8i:187>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0<729q/>n<5749K6g>28<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;>;1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh7g=#=>31n6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1n6*:788a?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{9i7>52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=;:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8>>50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=>:182>5<7s-8h>78>7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a07`=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<:?1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?8?4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh745<,<=26<=4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:;1;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8>850;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c603?6=93:16<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=n:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<4290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9b>"2?00m7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th??h4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9=i:187>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:304?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a015=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{2890qpsr;n01ae81!30i3h0(896:c9~yx{?o7>56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e<=>1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=>>6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg23?3:187>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<=31<7650;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zn<51c48R7e328qG>n851zTff?4|,;8m640{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f121290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a01c=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912g;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg2283:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:;f;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7:e?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0g429096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=h=1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;30?!3013;87psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m;4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj<3i6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd21l0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Z28<0qpsr;h3g1?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h;4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m<0Z;44m;|~y>o6jk0;6)P5k=0:wAk4>bc9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m<4?:0394?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28<0(896:048yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;35?!3013;=7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0::6*:78822>{zut1b=i850;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n=7[?nd;0x 01f28<0(896:048yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;`8 01>2k1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f2k1/9:75b:~yx=n9kh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c`8R4gc2;q/9:o5b:&63<bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63dg=zutw0e4db3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9l<50;32>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh7c=#=>31j6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=66X>ae81!30i3;=7);89;35?x{zu2c:h>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c953=#=>31=;5r}|8m4b1290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4>6:&63<<6>2wvqp5f1c`94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>5i4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<2290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>467>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=nj6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8i750;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==hi7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?ok4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96ae81!30i3l0(896:g9~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7`0<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?h:4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?h=4?:983>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7`5?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm5c494?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;m9;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;ma;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;mb;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;md;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c953=#=>31=;5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>nh4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0da29036=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6f=<7210;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8jn:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th>ok4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;k2;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;k3;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;k4;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;k6;291?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6`2<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=m21<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8j>:18;>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;h3g7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7cb=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1e1?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;o<1<7?50;2x 7e52;i27E7<=8:9~f6`?290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1e=?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:lj6=4::183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?kl50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0e529096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=jn1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;30?!3013;87psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>on4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zjb79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd2k?0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>o:4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c=zutw0e4e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8e?!3013l0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>6:&63<<6>2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7`N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e4eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;h3g7?6=,;i96P5k=0:wAk4>d29U5db=:r.>;l4>6:&63<<6>2wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Zn<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:k2`6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5179'12?=9?1vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1=;5+56;953=zutw0e4b13_;jh7g=#=>31n6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1n6*:788a?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`8a?!3013h0qpsr;h3af?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4m;%74=?do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e4d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28<0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>6:&63<<6>2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28<0(896:048yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;`8 01>2k1vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f2k1/9:75b:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5b:&63<bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63dg=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6g0<72?0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9h750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?di5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn8jk:180>5<7s-8h>74d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6``<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm5d294?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6a6<72?0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1`3=83<1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63dg=zutw0qo=j7;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7c5=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1f;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;l31<7?50;2x 7e52;i27E7<=8:9~f6ce29086=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6cd29086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0aa<72=0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0a`<72<0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96ae81!30i3;=7);89;35?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?hh50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6cf290=6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8j<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;ml1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th8i;4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj:o;6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi?h=50;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;l>1<7:50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0``<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2f;>5<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<>h1<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?494?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:77;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8:m50;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c64`?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi85?50;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7<7<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7<6<72?0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c64a?6=>3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;h3`a?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<1?1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1;g?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6?229086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>3:&63<<6;2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;0>1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg5?l3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?5k50;394?6|,;i96?m6;I0a<>i5:10;6)=zj:3;6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th85<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi?4<50;594?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>6:&63<<6>2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1;b?6=<3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>7j:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th85:4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo=6a;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1:f?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:326=4;:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;h3`7?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7d?=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c1b4?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;h;1<7?50;2x 7e52;i27E7<=8:9~f6g429086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0e1<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo=n2;292?6=8r.9o?4=269K6g>;44m;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6o5+56;9f>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a03`=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e<>:1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj==:6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg20;3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{<87>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:82;297?6=8r.9o?4=269K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>7:&63<<6?2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{28=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{28=0qpsr;h3g0?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`732<7200;6=u+2b0930=O:k20e4d03_;jh741<,<=26<94}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6?2.>;44>7:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5169'12?=9>1vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c644d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0ed<72;0;6=u+2b09`f=O:k20e50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5129'12?=9:1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:h96=49:183!4d:3;i?6F=b99'5a1=901b=o850;&1g7<6j?1]>n:51zN1g3<6s_8:i74d13_;jh7g=#=>31n6sr}|9j``<72-8h>7jj;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{P5k=09wA?h5dg9U5db=:r.>;l4k;%74=?bn;54zT1e7<4s_o26?u+56:9`c=#;;k19:o4}Wgb>7}#=>21=o84$20b>01f3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36a`<,:8j689n;|&6ad<602wvq6g=a083>!4d:38j=6X=c581I4d>3;pZ??j:3y'67`=:h;0Z1/9:75169~yxJ5k<0:w[;54=a09'77g==>k0q);ja;34?x{z3`8287>5$3a1>7?33_8h874}Q:8o1>v*=2g81=1=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038286*<2`863d=z,n<523:8?xd4ik0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;hi1<7?50;2x 7e52;i27E7<=8:9~f6gb290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn>l?:186>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28<0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;hn1<7:50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0f`<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m64550z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6d1290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c1aN5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7g?=83<1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28<0qpsr;h3g5?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0fd<72?0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0g4?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=>5+56;956=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7ea290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`1gg<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6fe=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb3ag>5<6290;w)N5j11d>?650;&1g7<5:110qo<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:?6*:78827>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6c4=83<1<7>t$3a1>4d43A8i46*>d682=>o6j?0;6)P5k=0:wA?h51c48R4gc2;q/9:o5b:&63<g=#=>31n6sr}|9j`c<72-8h>7ji;W0`0?4|D;i=6ae81!30i3n0(896:e9~yxJ5k<0?w[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556c8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?2mo0(>2;q/9:65dg9'77g==>k0q);ja;3;?x{z3`8j=7>5$3a1>7g63_8h874}Q:8o1>v*=2g81e4=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038j=6*<2`863d=z,n<52868R7e32;qG>n851zT15`<5s-89j7<64:T2ea<5s-?7?33-99m7;8a:'1`g=9>1vqp5`23:94?"5k;09>554}c0fa?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`1ac<7280;6=u+2b096f?<@;h37b<=8;29 7e52;8376sm2g294?0=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m640i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0ee?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=>5+56;956=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7`>290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`1b0<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6c0=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb3d4>5<0290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e4e43_;jh740<,<=26<84}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=896=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8?850;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn9<<:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:=4;295?6=8r.9o?4=c89K6g><3th?>84?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m640i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=:5+56;952=zutw0e4b33_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:=8;2954<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1=:5+56;952=zutw0e4b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63dg=zutw0e4df3_;jh7g=#=>31n6sr}|9j5gd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1n6*:788a?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`8a?!3013h0qpsr;h3a`?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<;31<7?>:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{o6l=0;6)P5k=0:wAk4>d59U5db=:r.>;l4>7:&63<<6?2wvqp5f1e794?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m?0Z1/9:75169~yx{;44m;|~y>o6jh0;6)P5k=0:wAk4>b`9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c9f>"2?00i7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c61e?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28=0(896:058yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:k2`6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5169'12?=9>1vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28=0(896:058yx{z3`;o97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;34?!3013;<7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0i7);89;`8yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;`8 01>2k1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f2k1/9:75b:~yx=n9kh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c`8R4gc2;q/9:o5b:&63<bb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jj1]=lj52z&63dg=zutw0e4db3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8?l50;794?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9h<4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn?j;:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6ac=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f7b>29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`1`g<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c956=#=>31=>5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?k;:185>5<7s-8h>7?m3:J1f==#9m=1=45f1c494?"5k;0:n;5Y2b695~J5k?0:w[<>e;0x 74a28h=7[?nd;0x 01f2k1/9:75b:~yx=nll0;6)k4ke:T2ea<5s-?g=zutw0eih50;&1g7v*:7`8g?!3013n0qpsC2b790~P5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=j7p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;ff?!55i3?{Q:;o1>vXj9;0x 01?2ml0(>77}#:;l1>l?4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981e4=#;;k19:o4}%7fe?703twv7d<64;29 7e52;3?7[;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47<64:&06d<2?h1v(8kn:058yx{<3th9i=4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>h?50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;o96=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0::6*:78822>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m6403:1>7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;oh6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`827>"2?00:?6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>hl50;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9i54?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e:l31<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e4eb3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo::5;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f130290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c66=?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00d=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00e=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a00b=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo::e;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi88h50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`71=<7210;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e=>i1<7=50;2x 7e52;hm7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo;8d;295?6=8r.9o?4=c89K6g><3th>;h4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3gN5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f4c4290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`2`<<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8nj6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg7ck3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5179'12?=9?1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6>2.>;44>6:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8o;6=48:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0::6*:78822>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5`7=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m640o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?ddc83>2<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0e4b53_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=h:50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?df183>6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2890(896:018yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3fb?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1d794?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo?j8;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?j9;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=ho50;594?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z;44i;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<84$45:>40i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?dec83>=<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0m7);89;d8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28<0(896:048yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:in4?:983>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dd083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0e4b53_;jh740<,<=26<84}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8e?!3013l0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2a2<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`044<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m64550z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7`c290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c0ea?6=03:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m640o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>6:&63<<6>2wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28<0qpsr;h3g7?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0g0<72;0;6=u+2b09`f=O:k20e50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6e0290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c1`N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1g5?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f6b1290=6=4?{%0`6?7e;2B9n55+1e595<=n9k<1<7*=c382f3=Q:j>1=vB=c782S46m38p(?!4d:3nn7[7}#:;l1hk5Y1`f96~"2?h0o7);89;f8yx{K:j?18vX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=9k<0(>6sY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74=>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?{#=lk1o6sY23g96~Pb138p(897:ed8 64f2<=j7p*:e`82<>{zu2c9m<4?:%0`6?4f92\9o94={M0`2?7|^;;n6?u+23d96d7<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7<3:1(?m=:3;7?S4d<38p@?m9:0yU64c=:r.9>k4=959U5db=:r.>;l4>7:&63<<6?2wvqA<2.8>l4:7`9~ 0cf28=0qps4o30;>5<#:j81>?64;|`0`7<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a7a5=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb2f7>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7?229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:031<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9m=4?:783>5}#:j81=o=4H3`;?!7c?3;27d?m6;29 7e528h=7[n<5dd9U6f2=9rF9o;4>{W02a?4|,;8m6ik4V0cg>7}#=>k1n6*:788a?x{zu2coj7>5$3a1>a`<^;i?6?uC2b495~P59l09w)<=f;fe?S7fl38p(89n:e9'12?=l2wvqAl4:7`9~R`g=:r.>;54>b79'77g==>30q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:65dd9'77g==>k0q);ja;a8yS45m38pZh752z&63=l4:7`9~ 0cf2820qps4i3c2>5<#:j81>l?4V3a7>7}K:j<1=vX=1d81!45n38j=6X>ae81!30i3;<7);89;34?x{zD;i>64:50;&1g7<51=1]>n:52zN1g3<6s_8:i77?33_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>4:4$20b>01f3t.>il4>7:~y>i5:10;6)=zj;3=6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd5110;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?l7c:3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>4l50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641k3:147>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95i4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0qo=70;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>6<:180>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;1=1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:236=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg5?13:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0g1<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2a3>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1`5?6=93:12<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`0`5<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2a`>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c1``?6=93:16<729q/>n<5749K6g>28<0qpsr;h3aae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`74d<72;0;6=u+2b09`f=O:k20e50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f16d290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c63`?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8<>50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3<729q/>n<51c18L7d?3-;o;7?6;h3a2?6=,;i961=vB=c782S46m38p(?a=#=>31h6sr}M0`1?2|^;k96>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;l5r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:7982f3=#;;k19:74}%7fe?753t\9m?4<{Wg:>7}#=>21hk5+33c912gn<52`38R7e32;qG>n851zT15`<5s-89j77g63-99m7;8a:'1`g=9>1vqp5f28694?"5k;09595Y2b696~J5k?0:w[<>e;0x 74a2;3?7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652868 64f2<=j7p*:e`823>{zu2e9>54?:%0`6?45021vn9?>:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo:>2;295?6=8r.9o?4=c89K6g><3th?=>4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f36=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<6j:1C>o64$0f4>4?P6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n015$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj?81<7?50;2x 7e52;i27E7<=8:9~f32=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th=97>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a26<72:0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a2=<72;0;6=u+2b09`f=O:k20eb783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?:0;6;4?:1y'6f4=9k90D?l7;%3g3?7>3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f3?=8391<7>t$3a1>7da3A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f3g=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb7`94?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj?n1<7850;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th=i7>56;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e>o0;6;4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?62\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?df`83>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c032?6=>3:1n<51c48R7e328qG>n851zT15`<5s-89j7?m6:T2ea<5s-?g=zutw0eik50;&1g7{M0`2?7|^;;n6?u+23d9``=Q9hn1>v*:7`8a?!3013h0qpsr;hfe>5<#:j81hk5Y2b696~J5k?0:w[<>e;0x 74a2ml0Z;44k;|~H7e22=q]>l<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;8a:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:789~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=l4:7`9~ 0cf2j1vZ?1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:h;0(>7<64:T1g1<5sE8h:7?tV33f>7}#:;l1>4:4V0cg>7}#=>k1=:5+56;952=zutF9o84>{W0af?4|^l31>v*:7981=1=#;;k19:o4}%7fe?703twv7b<=8;29 7e52;8376sm1g`94?5=83:p(?m=:3`e?M4e02c:n:4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`2b`<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2bc<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`145<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>=?50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c037?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628<0qpsr;h3`a?6=,;i96ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`141<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1j6*:788e?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z<^;i?65N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;`8 01>2k1vqps4i0af>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f2k1/9:75b:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5b:&63<d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f64e29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e;::1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg55k3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo=84;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn>98:180>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5f:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0:n>5G2c:8 4b02830e2\:mi4={%74e?d<,<=26o5r}|8mac=83.9o?4ke:T1g1<6sE8h:7?tV33f>7}#:;l1hh5Y1`f96~"2?h0i7);89;`8yx{z3`nm6=4+2b09`c=Q:j>1>vB=c782S46m38p(?a`<,:8j689n;|Tfe?4|,<=36l4:7`9~R`g=:r.>;54ke:&06d<2?h1v(8kn:b9~R74b2;q]i44={%74e;0x 74a2;k:7[?nd;0x 01f28=0(896:058yx{K:j?1=vX=bc81Sc>2;q/9:652`38 64f2<=j7p*:e`823>{zu2c9594?:%0`6?4><2\9o94={M0`2?7|^;;n6?u+23d96<2<^8ko6?u+56c952=#=>31=:5r}|N1g0<6s_8in7{e;>h1<7=50;2x 7e52;hm7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj:=h6=4>:183!4d:38h56F=b99l67>=83.9o?4=2998yg50l3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`746<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb2de>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c634?6=93:16<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=:?6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8=750;494?6|,;i96"6l>0:56g>b783>!4d:3;i:6X=c582I4d>3;pZ??j:3y'67`=9k<0Z;44m;|~y>ocm3:1(?m=:eg8R7e328qG>n851zT15`<5s-89j7jj;W3b`?4|,<=j6o5+56;9f>{zut1bhk4?:%0`6?ba3_8h874}Q:8o1>v*=2g8gb>P6im09w);8a;f8 01>2m1vqpB=c487S4f:39pZh752z&63=l4:7`9~R`g=:r.>;54>b79'77g==>k0q);ja;31?xP5i;08w[k6:3y'12>=lo1/??o556c8yScf2;q/9:651c48 64f2<=27p*:e`826>{Q:h81?vXj9;0x 01?2ml0(>=lo1/??o556c8y!3bi3;37psr;h0b5?6=,;i96?o>;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0b5>"4:h0>;l5r$4gb>410Z?m;:3yO6f0=9r\9=h4={%01b?4><2\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?7;;%11e?30i2w/9ho5169~yx=h:;21<7*=c3816==;97>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?<;4?:083>5}#:j81>n74H3`;?j4503:1(?m=:30;?>{e<9=1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c622?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f17f29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e<;;1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg26?3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?=o4?:783>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:>c;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a04c=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f17a290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a1=b=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f0>b29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`6=4<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6=7<72:0;6=u+2b0930=O:k20e4d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`6=6<72:0;6=u+2b0930=O:k20e4d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi94:50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f0?2290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi94850;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>5:4?:783>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5179'12?=9?1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>m54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;m4;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi9l750;194?6|,;i96?li;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c7be?6=93:16<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d2<729q/>n<5749K6g>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;35?!3013;=7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5179'12?=9?1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?c=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z;44i;|~y>o6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c953=#=>31=;5r}|8m4b6290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;35?!3013;=7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5f:&63<b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c953=#=>31=;5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>n<4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;d8 01>2o1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6>2.>;44>6:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh740<,<=26<84}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`822>"2?00::6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{7>58;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44i;|~y>o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4i;%74=?`{zut1b=i?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2o1/9:75f:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh740<,<=26<84}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9ll50;:94?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7e0<72?0;6=u+2b095g5<@;h37)?k7;3:?l7e>3:1(?m=:0`5?S4d<3;p@?m9:0yU64c=:r.9>k4>b79U5db=:r.>;l4m;%74=?dn:51zN1g3<6s_8:i7ac<^8ko6?u+56c9f>"2?00i7psr}:kgb?6=,;i96ih4V3a7>7}K:j<1=vX=1d81!45n3nm7[?nd;0x 01f2m1/9:75d:~yI4d=3>pZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%742.8>l4:7`9~ 0cf2880q[;54kf:&06d<2?h1vZho52z&63=<6j?1/??o556;8y!3bi3;97pX=a380Sc>2;q/9:65dg9'77g==>k0q[kn:3y'12>=ll1/??o556c8y!3bi3i0q[<=e;0xR`?=:r.>;54kf:&06d<2?h1v(8kn:0:8yx{P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;k:7)==a;74e>{#=lk1=:5r}|9j6<2=83.9o?4=959U6f2=:rF9o;4>{W02a?4|,;8m6?7;;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96<2<,:8j689n;|&6ad<6?2wvq6a=2983>!4d:389465rb5;e>5<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c6b4?6=93:11<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?m>4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c6b5?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7g6<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=ij6=49:183!4d:3;i?6F=b99'5a1=901b=o850;&1g7<6j?1]>n:51zN1g3<6s_8:i74d13_;jh7g=#=>31n6sr}|9j``<72-8h>7jj;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{P5k=09wA?h5dg9U5db=:r.>;l4k;%74=?bn;54zT1e7<4s_o26?u+56:9`c=#;;k19:o4}Wgb>7}#=>21=o84$20b>01f3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36a`<,:8j689n;|&6ad<602wvq6g=a083>!4d:38j=6X=c581I4d>3;pZ??j:3y'67`=:h;0Z1/9:75169~yxJ5k<0:w[;54=a09'77g==>k0q);ja;34?x{z3`8287>5$3a1>7?33_8h874}Q:8o1>v*=2g81=1=Q9hn1>v*:7`823>"2?00:;6sr}M0`1?7|^;hi6?uYe881!30038286*<2`863d=z,n<523:8?xd3k=0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e7<=8:9~f1e129086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7g=<72<0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e4eb3_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:j0;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<1290;w)N5j11/=i95189j5g0=83.9o?4>b79U6f2=9rF9o;4>{W02a?4|,;8m6{zut1bhh4?:%0`6?bb3_8h87?tL3a5>4}Q:8o1>v*=2g8ga>P6im09w);8a;`8 01>2k1vqps4ied94?"5k;0oj6X=c581I4d>3;pZ??j:3y'67`=lo1]=lj52z&63d7}#=>21hk5+33c912gl<53zTf=?4|,<=36ih4$20b>01f3t\nm74d13-99m7;89:'1`g=9;1vZ?o=:2yUa<<5s-?<47ji;%11e?30i2w]il4={%74?k52zTf=?4|,<=36ih4$20b>01f3t.>il4>8:~y>o5i80;6)P5k=09wA?h52`38R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<5i81/??o556c8y!3bi3;<7psr;h0:0?6=,;i96?7;;W0`0?4|D;i=6{zuE8h97?tV3`a>7}Qm009w);88;0:0>"4:h0>;l5r$4gb>416<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn9k;:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28<0qpsr;n01ae81!30i3h0(896:c9~yx{n?7>53;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?j54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:if;292?6=8r.9o?4>b29K6g><,8n<6<74i0`5>5<#:j81=o84V3a7>4}K:j<1=vX=1d81!45n3;i:6X>ae81!30i3h0(896:c9~yx{P5k=0:wA?h5dd9U5db=:r.>;l4m;%74=?dn:52zN1g3<6s_8:i7a`<^8ko6?u+56c9`>"2?00o7psrL3a6>1}Q:h81?vXj9;0x 01?2ml0(>uYe881!3003nm7)==a;74e>{Qmh09w);88;3a2>"4:h0>;45r$4gb>447=tVd;96~"2?10oj6*<2`863d=z^lk1>v*:798ga>"4:h0>;l5r$4gb>f=z^;8n6?uYe881!3003nm7)==a;74e>{#=lk1=55r}|9j6d7=83.9o?4=a09U6f2=:rF9o;4>{W02a?4|,;8m6?o>;W3b`?4|,<=j6<94$45:>41n;51zT1fg<5s_o26?u+56:96d7<,:8j689n;|&6ad<6?2wvq6g=9583>!4d:38286X=c581I4d>3;pZ??j:3y'67`=:0>0Z1/9:75169~yxJ5k<0:w[;54=959'77g==>k0q);ja;34?x{z3f8947>5$3a1>74?32wi8k750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9hn:182>5<7s-8h>7mo7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>6:&63<<6>2wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0cd=8391<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=9o1<7850;2x 7e528h87E2\9o94>{M0`2?7|^;;n6?u+23d95g0<^8ko6?u+56c9f>"2?00i7psr}:kga?6=,;i96ik4V3a7>4}K:j<1=vX=1d81!45n3nn7[?nd;0x 01f2k1/9:75b:~yx=nlo0;6)k4kf:T2ea<5s-?a=zutF9o84;{W0b6?5|^l31>v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912g7}#=>21=o84$20b>01>3t.>il4>2:U6d4=;r\n57a`<,:8j689n;|Tfe?4|,<=36ik4$20b>01f3t.>il4l;|T16`<5s_o26?u+56:9`c=#;;k19:o4}%7fe?7?3twv7d;44>7:~yI4d=3;pZ?lm:3yUa<<5s-?<47P6im09w);8a;34?!3013;<7psrL3a6>4}Q:kh1>vXj9;0x 01?2;3?7)==a;74e>{#=lk1=:5r}|9l67>=83.9o?4=2998yg37>3:1?7>50z&1g7<5jo1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6<4?:1y'6f4=:j30D?l7;n01t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c73e?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;?b;290?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh740<,<=26<84}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06d290>6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`822>"2?00::6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f06?290>6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a106=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d3`;i:7>5$3a1>4d13_8h87?tL3a5>4}Q:8o1>v*=2g82f3=Q9hn1>v*:7`8a?!3013h0qpsr;hff>5<#:j81hh5Y2b695~J5k?0:w[<>e;0x 74a2mo0Z;44m;|~y>ocn3:1(?m=:ed8R7e32;qG>n851zT15`<5s-89j7ji;W3b`?4|,<=j6i5+56;9`>{zuE8h97:tV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10:n;5+33c912?7}#=>21hh5+33c912gv*:798gb>"4:h0>;l5r$4gb>4>:18'6f4=:h;0Z?m;:3yO6f0=9r\9=h4={%01b?4f92\:mi4={%74e?703-?<57?8;|~H7e228q]>ol52zTf=?4|,<=36?o>;%11e?30i2w/9ho5169~yx=n:0>1<7*=c381=1=Q:j>1>vB=c782S46m38p(?28=0qpsC2b795~P5jk09w[k6:3y'12>=:0>0(>7<=8:9~f03629086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`611<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8;9:187>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c953=#=>31=;5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m6405Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a101=83?1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;35?!3013;=7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a105=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`10<<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;??6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi>9o50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?:m:182>5<7s-8h>753;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`822>"2?00::6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1j6*:788e?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`10f<72:0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a606=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th99<4?:583>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c066?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?;<:187>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f73229096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th99;4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>8950;394?6|,;i96?m6;I0a<>i5:10;6)=zj;?26=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c064d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`11f<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2l1/9:75e:~yx=zj;?o6=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{i7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd5>90;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<91;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5179'12?=9?1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a63?=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d=0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:??1<7?50;2x 7e52;i27E7<=8:9~f70029086=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>;650;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<96;297?6=8r.9o?4=269K6g>;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c044?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7`=#=>31i6sr}|9~f70e29086=4?{%0`6?4en2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`12`<72:0;6=u+2b0930=O:k20e4d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628<0qpsr;n01ae81!30i3h0(896:c9~yx{53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=;5+56;953=zutw0e4d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>;j50;194?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?9>:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8f?!3013o0qpsr;|`137<72:0;6=u+2b096g`<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a625=83;1<7>t$3a1>7e>3A8i46a=2983>!4d:389465rb356>5<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:><1<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;=7);89;35?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;n01ae81!30i3h0(896:c9~yx{53;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th9;54?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo<8e;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9f>"2?00i7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c04e?6=93:16<729q/>n<5749K6g>n851zTff?4|,;8m64050z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:>h1<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj;=m6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>5;50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<54?:%0`6?45021vn?6<:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6<729q/>n<5749K6g>n851zTff?4|,;8m64050z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6h5+56;9a>{zut1vn?68:180>5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo<78;295?6=8r.9o?4=c89K6g><3th94l4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c953=#=>31=;5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn?6m:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4i;%74=?`{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a6=?=8391<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f7>c29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e:091<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th94h4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi>5h50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;3:6=4<:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0m7);89;d8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th95?4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28<0(896:048yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c0:4?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7f4<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=ho6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi8o<50;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9l<:182>5<7s-8h>7i97>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;n01ae81!30i3h0(896:c9~yx{i:7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{i;7>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628<0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>6:&63<<6>2wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m640{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0g2=83>1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi8o750;694?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7fd<72<0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7ff<72=0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th>=<4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo;>5;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<4290;w)N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dt$3a1>23<@;h37d?m7;29 7e528h=7E5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<>=6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi99o50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f02?290:6=4?{%0`6?4d12B9n55`23:94?"5k;09>554}c77=?6=;3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh7c=#=>31j6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9;j50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;g8 01>2l1vqps4}c75a?6=;3:1;W0`0?7|D;i=6ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=?l1<7?50;2x 7e52;i27E7<=8:9~f01729086=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1j6*:788e?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`822>"2?00::6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi85o50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;g8 01>2l1vqps4}c6;f?6=;3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`75$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6>2.>;44>6:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo:ja;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a0c3=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e7<=8:9~f1cb290?6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8e?!3013l0qpsr;h3`7?6=,;i96ae81!30i3l0(896:g9~yx{<^;i?65<1290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4i;%74=?`n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;d8 01>2o1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2o1/9:75f:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>4650z&1g7<5:>1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2k1/9:75b:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5b:&63<c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63dg=zutw0qo;=6;296?6=8r.9o?4kc:J1f==n9k<1<7*=c382f3=<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a167=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6>4?:1y'6f4=:kl0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8<7:182>5<7s-8h>753;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d=<729q/>n<5749K6g>o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`66a<7210;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{28=0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>41{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c71a?6=13:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a166=83<1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2win94?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qol9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<6<729q/>n<52cd8L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32win>4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9b>"2?00m7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thi97>55;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fgg=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjj81<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3thin7>53;294~"5k;09nk5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thio7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xdel3:1?7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44i;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4i;%74=?`b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{<^;i?62\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a31<72;0;6=u+2b09`f=O:k20e03:1:7>50z&1g7<6j:1C>o64$0f4>4gP6im09w);8a;`8 01>2k1vqps4ieg94?"5k;0oi6X=c582I4d>3;pZ??j:3y'67`=ll1]=lj52z&63dn<5dg9U6f2=:rF9o;4>{W02a?4|,;8m6ih4V0cg>7}#=>k1h6*:788g?x{zD;i>69uY2`097~Pb138p(897:ed8 64f2<=j7pXja;0x 01?28h=7)==a;74e>{#=lk1=?5rV3c1>6}Qm009w);88;fe?!55i3?v*:798gb>"4:h0>;l5rVdc96~"2?10oi6*<2`863d=z,7}Qm009w);88;fe?!55i3?l?50;&1g7<5i81]>n:52zN1g3<6s_8:i77g63_;jh741<,<=26<94}|O6f3=9r\9no4={Wg:>7}#=>21>l?4$20b>01f3t.>il4>7:~y>o51=0;6)P5k=09wA?h52868R4gc2;q/9:o5169'12?=9>1vqpB=c482S4ej38pZh752z&63=<51=1/??o556c8y!3bi3;<7psr;n01t$3a1>7da3A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{2?7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd31<0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28<0(896:048yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;35?!3013;=7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th?5;4?:283>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5179'12?=9?1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=3?6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn8?l:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?d50z&1g7<5k01C>o64o30;>5<#:j81>?64;|`65g<72?0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;d8 01>2o1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=;5+56;953=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f07a29096=4?{%0`6?bd3A8i46g>b783>!4d:3;i:65`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=;91<7<50;2x 7e52mi0D?l7;h3a2?6=,;i967<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0n7);89;g8yx{z3th>>=4?:283>5}#:j81>oh4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{<^;i?65<6290;w)N5j11d>?650;&1g7<5:110qo;=2;292?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh7c=#=>31j6sr}|9j5g>=83.9o?4>b79K6f7<^;i?6n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28<0(896:048yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6>2.>;44>6:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`67a<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2l1/9:75e:~yx=zj<936=4<:183!4d:38ij6F=b99j5g1=83.9o?4>b79K6f7<^;i?6;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4<729q/>n<52b;8L7d?3f8947>5$3a1>74?32wi9>l50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi9>m50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8e?!3013l0qpsr;h3aae81!30i3l0(896:g9~yx{n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;d8 01>2o1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj<9j6=4<:183!4d:389;6F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1n6*:788a?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{56;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e=:l1<7850;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<94$45:>4150z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c775?6=?3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a114=83?1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`7e=<72;0;6=u+2b09`f=O:k20e7>50z&1g75<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj=kn6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1i6*:788f?x{zu2wi8l750;194?6|,;i96?li;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn9on:182>5<7s-8h>7jn7>51;294~"5k;09o45G2c:8k74?290/>n<523:8?xd3im0;6?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1=:5+56;952=zutw0e4b13_;jh741<,<=26<94}|~?l7e13:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79K6f7<^;i?628=0qpsr;h3af?6=,;i96P5k=0:wAk4>bc9U5db=:r.>;l4>7:&63<<6?2wvqp5f1ca94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ki0Z;44m;|~y>o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn94?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo=50;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4j;%74=?c5<7s-8h>71=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0qo?50;394?6|,;i96?m6;I0a<>i5:10;6)=zj;0;6>4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f2o1/9:75f:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh740<,<=26<84}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo750;094?6|,;i96im4H3`;?l7e>3:1(?m=:0`5?>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5e:&63<n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a2?6=;3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c9b>"2?00m7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0m7);89;d8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c594?5=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;35?!3013;=7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0::6*:78822>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:aaf<72;0;6=u+2b09`f=O:k20eb683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1==5+56;955=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;37?!3013;?7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:86*:78820>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:ab5<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{<^;i?65$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zjo81<7=50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z<^;i?65$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wij84?:683>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{en?0;6?4?:1y'6f4=lj1C>o64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wij54?:783>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b63_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qoh6:185>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3thmm7>56;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{enk0;6;4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<3<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~fcb=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28>0qpsr;n01ae81!30i3h0(896:c9~yx{7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5169'12?=9>1vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e99;1<7950;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:96=48:183!4d:3=>7E7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f464290<6=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi==:50;594?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:>6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi==850;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6<2.>;44>4:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5119'12?=991vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28:0(896:028yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kh0Zo6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ko0Z;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0983>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c952=#=>31=:5r}|8m4b1290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c952=#=>31=:5r}|8m4de290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5169'12?=9>1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f28=0(896:058yx{z3`;ii7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3aa>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8:26=4>1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6?2.>;44>7:~yx=n9k31<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gd=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ag?6=,;i96P5k=0:wAk4>bb9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cf94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kn0Z1/9:75169~yx{55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e99k1<7?>:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z28:0qpsr;h3g0?6=,;i96P5k=0:wAk4>d59U5db=:r.>;l4>7:&63<<6?2wvqp5f1e794?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6412\:mi4={%74e?703-?<57?8;|~y>o6j00;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c952=#=>31=:5r}|8m4df290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=om50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f28=0(896:058yx{z3`;ih7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a`>P6im09w);8a;34?!3013;<7psr}:k2f`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nh5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c33f?6=980;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e4eb3_;jh746<,<=26<>4}|~?l7c93:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<682.>;44>0:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5119'12?=991vqps4i0f7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<6?2.>;44>7:~yx=n9m<1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b13_;jh741<,<=26<94}|~?l7e13:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m9:T2ea<5s-?b`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63d<6?2.>;44>7:~yx=n9kh1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aa?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`24f<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`24`<728;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c955=#=>31==5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m80Z28:0qpsr;h3g0?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h84?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m?0Z1/9:75169~yx{2\:mi4={%74e?703-?<57?8;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4>7:&63<<6?2wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z1/9:75169~yx{28=0qpsr;h3ag?6=,;i96P5k=0:wAk4>bb9U5db=:r.>;l4>0:&63<<682wvqp5f1cf94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d0g83>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c952=#=>31=:5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n45Y1`f96~"2?h0:;6*:78823>{zut1b=oo50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gg<^8ko6?u+56c952=#=>31=:5r}|8m4de290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5119'12?=991vqps4i0`g>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?md:T2ea<5s-?bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1==5+56;955=zutw0e4b53_;jh746<,<=26<>4}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<682.>;44>0:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e4df3_;jh741<,<=26<94}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a544=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c321?6=03:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6?2.>;44>7:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e4b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d1683>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c32N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<682.>;44>0:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a2=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{59;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3`;o87>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:=o4?:883>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a54e=8331<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28=0(896:058yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e4b43_;jh741<,<=26<94}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;37?!3013;?7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:86*:78820>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a576=8321<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`264<7210;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k20Z28=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{o6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<<=:18;>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?l7c;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=?=50;:94?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh741<,<=26<94}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a7=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g6?6=,;i96P5k=0:wAk4>d39U5db=:r.>;l4>7:&63<<6?2wvqp5f1e194?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<<9:181>5<7s-8h>7jl;I0a<>o6j?0;6)=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;34?!3013;<7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a57>=83=1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;34?!3013;<7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f44>29036=4?{%0`6?123A8i46g>b683>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m80Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d2`83>1<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:;6*:78823>{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a0=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1=:5+56;952=zutw0e4d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1=:5+56;952=zutw0e4dd3_;jh7g=#=>31n6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1n6*:788a?x{zu2c:nh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f`=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{51083>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a0=83.9o?4>b79K6f7<^;i?628=0qpsr;h3a=?6=,;i96ae81!30i3;<7);89;34?x{zu2c:nl4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ol4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<693:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;34?!3013;<7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0:;6*:78823>{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<6?2.>;44>7:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh741<,<=26<94}|~?l7c>3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o74V0cg>7}#=>k1=:5+56;952=zutw0e4df3_;jh741<,<=26<94}|~?l7ej3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mb:T2ea<5s-?bb83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1=:5+56;952=zutw0e4dc3_;jh7g=#=>31n6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`26c<72=0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:?=4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?<1;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5159'12?=9=1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28>0(896:068yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c306?6=<3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh746<,<=26<>4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?<3;290?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{54;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z<^;i?65<3290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9:<1<7:50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{57;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aP5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8m4b5290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:?l4?:683>5}#:j81;85G2c:8m4d0290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28=0(896:058yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:;6*:78823>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d3e83>=<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Zo6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6415Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a56c=83<1<7>t$3a1>7403A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63dg=zutw0e4e43_;jh7g=#=>31n6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1n6*:788a?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:?:180>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>4:&63<<6<2wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z<^;i?65<4290;w)2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628=0qpsr;h3aae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`206<72:0;6=u+2b0930=O:k20e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=9:50;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d4483>3<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;<7);89;34?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z1/9:75169~yx{o6l80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:9:184>5<7s-8h>7<=7:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5b:&63<b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63dg=zutw0e4eb3_;jh7g=#=>31n6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1n6*:788a?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`8a?!3013h0qpsr;n01ae81!30i3h0(896:c9~yx{52;294~"5k;0oo6F=b99j5g0=83.9o?4>b798k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:7:184>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3`;o=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b53_;jh741<,<=26<94}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?;9;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=n9jo1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74eb3_;jh741<,<=26<94}|~?l7c93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79K6f7<^;i?628=0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh741<,<=26<94}|~?l7d;3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96ae81!30i3;<7);89;34?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{o6l;0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<:l:184>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5119'12?=991vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=66X>ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`20a<72?0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8>n6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=9h50;194?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6<2.>;44>4:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c365?6=?3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<6?2.>;44>7:~yx=n9j91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=66X>ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`217<72>0;6=u+2b0930=O:k20e4d03_;jh741<,<=26<94}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1=:5+56;952=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f434290<6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<6?2.>;44>7:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<6?2.>;44>7:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5169'12?=9>1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c360?6=03:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?d083>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l81]=lj52z&63d<6?2.>;44>7:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5169'12?=9>1vqps4i0f0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c361?6=?3:14d03_;jh7g=#=>31n6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1n6*:788a?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`8a?!3013h0qpsr;h3`a?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5783>7<729q/>n<5db9K6g>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c363?6=;3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c951=#=>31=95r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64250z&1g7<0=2B9n55f1c594?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8?26=4>1;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1==5+56;955=zutw0e4d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e68R4gc2;q/9:o5169'12?=9>1vqps4i0f6>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1=:5+56;952=zutw0e4d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fd=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gd=83.9o?4>b79K6f7<^;i?628=0qpsr;h3ag?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d5`83>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c955=#=>31==5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n45Y1`f96~"2?h0:;6*:78823>{zut1b=oo50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28=0(896:058yx{z3`;in7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<6?2.>;44>7:~yx=n9ki1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5b:&63<be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f43e290:=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ae?6=,;i96P5k=0:wAk4>b`9U5db=:r.>;l4>7:&63<<6?2wvqp5f1c`94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kh0Z1/9:75169~yx{o6jm0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c952=#=>31=:5r}|8m4db290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a50e=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d?3_;jh746<,<=26<>4}|~?l7d;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?cd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kl1]=lj52z&63d<682.>;44>0:~yx=n9m;1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e38R4gc2;q/9:o5119'12?=991vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28:0(896:028yx{z3`;o?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;33?!3013;;7psr}:k2`1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h95Y1`f96~"2?h0:<6*:78824>{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28=0(896:058yx{z3`;o:7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5119'12?=991vqps4i0`b>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<6?2.>;44>7:~yx=n9ki1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74dd3_;jh741<,<=26<94}|~?l7el3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`823>"2?00:;6sr}|9j5gc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=ok4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`21a<72>0;6=u+2b09671<@;h37d?m7;29 7e528h=7[n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c9f>"2?00i7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0i7);89;`8yx{z3`;o=7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g5>P6im09w);8a;`8 01>2k1vqps4i0f1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`21c<72;;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h84?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a0=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i84V0cg>7}#=>k1==5+56;955=zutw0e4d>3_;jh746<,<=26<>4}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?bc83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jk1]=lj52z&63d<682.>;44>0:~yx=n9ki1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ca8R4gc2;q/9:o5119'12?=991vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f28:0(896:028yx{z3`;ii7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63d<6?2.>;44>7:~yx=n9kl1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74da3_;jh746<,<=26<>4}|~?l7d83:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g5=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f7=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`6?6=,;i966X>ae81!30i3;<7);89;34?x{zu2c:o94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j>0Z1/9:75169~yx{6=4+2b095g0<^;i?6;44m;|~y>o6k>0;6)P5k=0:wAk4>c69U5db=:r.>;l4m;%74=?d290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=no50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fg<^8ko6?u+56c9f>"2?00i7psr}:k2gg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oo5Y1`f96~"2?h0i7);89;`8yx{z3`;ho7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`g>P6im09w);8a;`8 01>2k1vqps4i0ag>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28io7[?nd;0x 01f2k1/9:75b:~yx=n9jl1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bd8R4gc2;q/9:o5b:&63<d183>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l91]=lj52z&63dg=zutw0qo?90;2964<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>0:&63<<682wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n?7[?nd;0x 01f28:0(896:028yx{z3`;o97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;33?!3013;;7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0:<6*:78824>{zut1b=o750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g?<^8ko6?u+56c955=#=>31==5r}|8m4df290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4>0:&63<<682wvqp5f1cf94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kn0Zo6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c955=#=>31==5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i97[?nd;0x 01f28=0(896:058yx{z3`;h87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63d<6?2.>;44>7:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<8>:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74db3_;jh741<,<=26<94}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`824>"2?00:<6sr}|9j5f6=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z1/9:75169~yx{o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=;<50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l<1]=lj52z&63d<682.>;44>0:~yx=n9m<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e48R4gc2;q/9:o5119'12?=991vqps4i0`:>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ae>P6im09w);8a;33?!3013;;7psr}:k2fg<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:no5Y1`f96~"2?h0:<6*:78824>{zut1b=om50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95ge<^8ko6?u+56c955=#=>31==5r}|8m4dc290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c952=#=>31=:5r}|8m4e7290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`4>P6im09w);8a;34?!3013;<7psr}:k2g4<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b38R4gc2;q/9:o5169'12?=9>1vqps4i0a1>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l2:T2ea<5s-?c583>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k=1]=lj52z&63d<6?2.>;44>7:~yx=n9j?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e23_;jh741<,<=26<94}|~?l7d>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a535=838:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>0:&63<<682wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z28:0qpsr;h3ae?6=,;i96ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`824>"2?00:<6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e4dc3_;jh746<,<=26<>4}|~?l7em3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{o6k=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f2<^8ko6?u+56c952=#=>31=:5r}|8m4e2290/>n<51c48R7e328qG>n851zTff?4|,;8m6412\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=;:50;494?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c351?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f401290:=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Z28:0qpsr;h3g6?6=,;i966X>ae81!30i3;;7);89;33?x{zu2c:h>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a2=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h;4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`3=Q9hn1>v*:7`823>"2?00:;6sr}|9j5g?=83.9o?4>b79K6f7<^;i?628:0qpsr;h3ae?6=,;i96P5k=0:wAk4>b`9U5db=:r.>;l4>7:&63<<6?2wvqp5f1c`94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<88:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:oh5Y1`f96~"2?h0:<6*:78824>{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c955=#=>31==5r}|8m4b5290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l=0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c955=#=>31==5r}|8m4b2290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>462\:mi4={%74e?773-?<57??;|~y>o6j00;6)P5k=0:wAk4>b89U5db=:r.>;l4>0:&63<<682wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z28:0qpsr;h3ag?6=,;i96ae81!30i3;;7);89;33?x{zu2c:ni4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kn0Zo6jo0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g`<^8ko6?u+56c952=#=>31=:5r}|8m4e7290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=n<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f4<^8ko6?u+56c9f>"2?00i7psr}:k2g1<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o95Y1`f96~"2?h0i7);89;`8yx{z3`;h97>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`1>P6im09w);8a;`8 01>2k1vqps4i0a5>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i=7[?nd;0x 01f2k1/9:75b:~yx=n9j=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b58R4gc2;q/9:o5b:&63<c983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k11]=lj52z&63dg=zutw0e4ef3_;jh7g=#=>31n6sr}|9j5fd=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nl4V0cg>7}#=>k1n6*:788a?x{zu2c:on4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gf=Q9hn1>v*:7`8a?!3013h0qpsr;h3``?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d6983>6<729q/>n<52358L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;`8 01>2k1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f2k1/9:75b:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d132e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22d<72:0;6=u+2b0930=O:k20e4d03_;jh742<,<=26<:4}|~?l7e03:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63dk0;694?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:;6*:78823>{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a53e=83>1<7>t$3a1>23<@;h37d?m7;29 7e528h=7E{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c952=#=>31=:5r}|8m4e4290/>n<51c48R7e328qG>n851zTff?4|,;8m64150z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28=0qpsr;h3`7?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22`<72<0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`a?6=,;i96ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`22c<72>0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;n01ae81!30i3h0(896:c9~yx{57;294~"5k;09>:5G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=o650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g><^8ko6?u+56c9f>"2?00i7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0i7);89;`8yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;`8 01>2k1vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f2k1/9:75b:~yx=n9m81<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e08R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`5>5<#:j81=o84;n01ae81!30i3h0(896:c9~yx{7>53;294~"5k;0<96F=b99j5g1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o94V0cg>7}#=>k1=95+56;951=zutw0e4d?3_;jh742<,<=26<:4}|~?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?83;293?6=8r.9o?485:J1f==n9k=1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{28=0qpsr;n01ae81!30i3h0(896:c9~yx{58;294~"5k;0<96F=b99j5g1=83.9o?4>b79K6f7<^;i?628:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79K6f7<^;i?628=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5f1e094?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641i5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d7483>47=83:p(?m=:678L7d?3`;i;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;33?!3013;;7psr}:k2f=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n55Y1`f96~"2?h0:<6*:78824>{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l;0;6)P5k=0:wAk4>d39U5db=:r.>;l4>0:&63<<682wvqp5f1e194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m90Zo6l<0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a3<^8ko6?u+56c955=#=>31==5r}|8m4b1290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g2>P6im09w);8a;34?!3013;<7psr}:k2f<<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5169'12?=9>1vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28=0(896:058yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;`8 01>2k1vqps4i0``>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hh7[?nd;0x 01f2k1/9:75b:~yx=n9kn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5b:&63<bd83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jl1]=lj52z&63dg=zutw0qo?86;2954<729q/>n<5749K6g>28:0qpsr;h3aae81!30i3;;7);89;33?x{zu2c:o>4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g6=Q9hn1>v*:7`824>"2?00:<6sr}|9j5fc=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nk4V0cg>7}#=>k1==5+56;955=zutw0e:18'6f4=9k<0Z?m;:0yO6f0=9r\nn74b63_;jh746<,<=26<>4}|~?l7c:3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k2:T2ea<5s-?d283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<682.>;44>0:~yx=n9m>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b33_;jh741<,<=26<94}|~?l7c=3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`0=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a0=83.9o?4>b79K6f7<^;i?628=0qpsr;h3a=?6=,;i96P5k=0:wAk4>b89U5db=:r.>;l4>7:&63<<6?2wvqp5f1cc94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9kk0Z1/9:75169~yx{;44m;|~y>o6jm0;6)P5k=0:wAk4>be9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<98:1825?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m8:T2ea<5s-?c283>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n:7[?nd;0x 01f28:0(896:028yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;33?!3013;;7psr}:k2`6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h>5Y1`f96~"2?h0:<6*:78824>{zut1b=i:50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a2<^8ko6?u+56c955=#=>31==5r}|8m4b2290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g1>P6im09w);8a;34?!3013;<7psr}:k2`3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h;5Y1`f96~"2?h0:;6*:78823>{zut1b=o750;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h27[?nd;0x 01f28:0(896:028yx{z3`;im7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6jh1]=lj52z&63d<6?2.>;44>7:~yx=n9kh1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74de3_;jh741<,<=26<94}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41?290<6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:k2`7<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h?5Y1`f96~"2?h0i7);89;`8yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c34=?6=:3:1N5j11b=o850;&1g7<6j?10c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41f2909=7>50z&1g7<0=2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z28:0qpsr;h3`7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a7=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i?4V0cg>7}#=>k1==5+56;955=zutw0e4b53_;jh746<,<=26<>4}|~?l7c;3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k3:T2ea<5s-?d583>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i:4V0cg>7}#=>k1==5+56;955=zutw0e4b23_;jh746<,<=26<>4}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<682.>;44>0:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5119'12?=991vqps4i0`a>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28:0(896:028yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;33?!3013;;7psr}:k2fa<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51cf8R4gc2;q/9:o5119'12?=991vqps4i0`f>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e4e73_;jh741<,<=26<94}|~?l7d93:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g4=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n<4V0cg>7}#=>k1n6*:788a?x{zu2c:o94?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g1=Q9hn1>v*:7`8a?!3013h0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c955=#=>31==5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;33?!3013;;7psr}:k2`0<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h85Y1`f96~"2?h0:<6*:78824>{zut1b=i850;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a0<^8ko6?u+56c955=#=>31==5r}|8m4d>290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jk0;6)P5k=0:wAk4>bc9U5db=:r.>;l4>0:&63<<682wvqp5f1ca94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9ki0Zo6jl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c952=#=>31=:5r}|8m4da290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3ab>P6im09w);8a;34?!3013;<7psr}:k2g5<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o=5Y1`f96~"2?h0:;6*:78823>{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28=0(896:058yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;`8 01>2k1vqps4i0a7>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i?7[?nd;0x 01f2k1/9:75b:~yx=n9j?1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b78R4gc2;q/9:o5b:&63<c783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k?1]=lj52z&63dg=zutw0e4e?3_;jh7g=#=>31n6sr}|9j5f?=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n74V0cg>7}#=>k1n6*:788a?x{zu2c:ol4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gd=Q9hn1>v*:7`8a?!3013h0qpsr;h3`f?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6ko0;6)P5k=0:wAk4>cg9U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<9l:1815?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5119'12?=991vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<682.>;44>0:~yx=n9jo1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5119'12?=991vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nk4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kl0Z1/9:75169~yx{28=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z;44m;|~y>o6k=0;6)P5k=0:wAk4>c59U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f1<^8ko6?u+56c9f>"2?00i7psr}:k2g=<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o55Y1`f96~"2?h0i7);89;`8yx{z3`;h57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`=>P6im09w);8a;`8 01>2k1vqps4i0ab>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ij7[?nd;0x 01f2k1/9:75b:~yx=n9jh1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b`8R4gc2;q/9:o5b:&63<cb83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kj1]=lj52z&63dg=zutw0e4ea3_;jh7g=#=>31n6sr}|9j5a6=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i>4V0cg>7}#=>k1n6*:788a?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`23a<72;;1<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28:0qpsr;h3g5?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`7=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i=4V0cg>7}#=>k1==5+56;955=zutw0e4b33_;jh746<,<=26<>4}|~?l7c=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k5:T2ea<5s-?d783>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<682.>;44>0:~yx=n9k31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c;8R4gc2;q/9:o5119'12?=991vqps4i0`b>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hj7[?nd;0x 01f28:0(896:028yx{z3`;in7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3af>P6im09w);8a;33?!3013;;7psr}:k2ff<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nn5Y1`f96~"2?h0:<6*:78824>{zut1b=oj50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gb<^8ko6?u+56c955=#=>31==5r}|8m4db290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n>50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i;7[?nd;0x 01f28=0(896:058yx{z3`;h=7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k81]=lj52z&63d<6?2.>;44>7:~yx=n9j81<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e53_;jh741<,<=26<94}|~?l7d<3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l4:T2ea<5s-?c483>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k<1]=lj52z&63d3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l6:T2ea<5s-?g=zutw0e4e03_;jh7g=#=>31n6sr}|9j5f>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n64V0cg>7}#=>k1n6*:788a?x{zu2c:o44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g<=Q9hn1>v*:7`8a?!3013h0qpsr;h3`e?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6km0;6)P5k=0:wAk4>ce9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a52c=838:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6l<0;6)P5k=0:wAk4>d49U5db=:r.>;l4>0:&63<<682wvqp5f1e494?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m<0Z28:0qpsr;h3ae?6=,;i96ae81!30i3;;7);89;33?x{zu2c:no4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fg=Q9hn1>v*:7`824>"2?00:<6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1==5+56;955=zutw0e4dc3_;jh746<,<=26<>4}|~?l7em3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?me:T2ea<5s-?bg83>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=oh4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9j;0Z1/9:75169~yx{o6k=0;6)P5k=0:wAk4>c59U5db=:r.>;l4>7:&63<<6?2wvqp5f1b794?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j?0Z;44m;|~y>o6k?0;6)P5k=0:wAk4>c79U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n750;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f?<^8ko6?u+56c9f>"2?00i7psr}:k2gd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ol5Y1`f96~"2?h0i7);89;`8yx{z3`;hn7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`f>P6im09w);8a;`8 01>2k1vqps4i0a`>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ih7[?nd;0x 01f2k1/9:75b:~yx=n9jn1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51bf8R4gc2;q/9:o5b:&63<cg83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6ko1]=lj52z&63dg=zutw0c?<7:18'6f4=:;20Z?m;:0yO6f0=9r\nn774?3_;jh7g=#=>31n6sr}|9~f41a290=6=4?{%0`6?45?2B9n55f1c594?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k=0Z;44m;|~y>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i?50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a7<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:4=4?:383>5}#:j81hn5G2c:8m4d1290/>n<51c48?j4503:1(?m=:30;?S4d<3;p@?m9:0yUag<5s-89j7<=8:T2ea<5s-?g=zutw0qo?71;297?6=8r.9o?485:J1f==n9k=1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c58R4gc2;q/9:o5159'12?=9=1vqps4i0`;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28>0(896:068yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3;6?6=<3:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj8286=49:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:<6*:78824>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28:0(896:028yx{z3`;h?7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`7>P6im09w);8a;33?!3013;;7psr}:k2g`<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51bg8R4gc2;q/9:o5169'12?=9>1vqps4i0f2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1==5+56;955=zutw0e4eb3_;jh746<,<=26<>4}|~?l7c93:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k1:T2ea<5s-?d383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6l;1]=lj52z&63d<682.>;44>0:~yx=n9m91<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e18R4gc2;q/9:o5119'12?=991vqps4i0f7>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?k4:T2ea<5s-?d483>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=i;4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:n44?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k30Z1/9:75169~yx{;44m;|~y>o6jj0;6)P5k=0:wAk4>bb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5=3=83;:6=4?{%0`6?123A8i46g>b683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j>1]=lj52z&63d<682.>;44>0:~yx=n9k21<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5119'12?=991vqps4i0a0>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i87[?nd;0x 01f28:0(896:028yx{z3`;hi7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;33?!3013;;7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:<6*:78824>{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c955=#=>31==5r}|8m4b4290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=i;50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n>7[?nd;0x 01f28:0(896:028yx{z3`;o:7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l?1]=lj52z&63d<6?2.>;44>7:~yx=n9k31<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74d>3_;jh741<,<=26<94}|~?l7ei3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?ma:T2ea<5s-?g=zutw0e4de3_;jh7g=#=>31n6sr}|9j5ge=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=om4V0cg>7}#=>k1n6*:788a?x{zu2c:ni4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82fa=Q9hn1>v*:7`8a?!3013h0qpsr;h3aa?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<693:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c955=#=>31==5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>7:&63<<6?2wvqp5f1c;94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=ol50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28=0(896:058yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;`8 01>2k1vqps4i0`g>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ho7[?nd;0x 01f2k1/9:75b:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d0;6:4?:1y'6f4=:;=0D?l7;h3a3?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5=>=8381<7>t$3a1>ae<@;h37d?m6;29 7e528h=76a=2983>!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;34?!3013;<7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5169'12?=9>1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj82j6=4;:183!4d:3=>7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0:;6*:78823>{zut1b=o650;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h37[?nd;0x 01f28=0(896:058yx{z3`;h?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6k:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a3>P6im09w);8a;34?!3013;<7psr}:k2f=<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51c:8R4gc2;q/9:o5169'12?=9>1vqps4i0a0>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l3:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;;7);89;33?x{zu2c:oh4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9jo0Z1/9:75169~yx{<^;i?65<2290;w)2B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c952=#=>31=:5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:4h4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5<6=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>4:&63<<6<2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e90;1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c952=#=>31=:5r}|8k74?290/>n<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vn<7=:186>5<7s-8h>79:;I0a<>o6j>0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>465Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28in7[?nd;0x 01f28=0(896:058yx{z3f8947>5$3a1>74?3_8h87?tL3a5>4}Qmk09w)<=f;01<>P6im09w);8a;`8 01>2k1vqps4}c3:7?6==3:1N5j11b=o950;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b18R4gc2;q/9:o5119'12?=991vqps4i0af>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?le:T2ea<5s-?!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63do64i0`4>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?b983>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j11]=lj52z&63d<682.>;44>0:~yx=n9j91<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4;50;794?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=o64V0cg>7}#=>k1==5+56;955=zutw0e4e43_;jh746<,<=26<>4}|~?l7dm3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`823>"2?00:;6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4850;594?6|,;i96?<8;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c9f>"2?00i7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0i7);89;`8yx{z3`;o>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3g6>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj83<6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4650;494?6|,;i96:;4H3`;?l7e?3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`824>"2?00:<6sr}|9j5g>=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`7?6=,;i96P5k=0:wAk4>c29U5db=:r.>;l4>0:&63<<682wvqp5f1bg94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9jo0Zi5:10;6)P5k=0:wAk4=299U5db=:r.>;l4m;%74=?d9883>3<729q/>n<5749K6g>o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>0:&63<<682wvqp5f1b194?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j90Z28=0qpsr;h3g5?6=,;i96P5k=0:wAk4>d09U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e90k1<7=50;2x 7e52;8<7E7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:n:5Y1`f96~"2?h0i7);89;`8yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;`8 01>2k1vqps4o30;>5<#:j81>?64V3a7>4}K:j<1=vXjb;0x 74a2;837[?nd;0x 01f2k1/9:75b:~yx=zj83i6=4=:183!4d:3nh7E7?m6:9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=4m50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z28:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82`4=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a4=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=i<4V0cg>7}#=>k1==5+56;955=zutw0e4b43_;jh746<,<=26<>4}|~?l7c<3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82`1=Q9hn1>v*:7`824>"2?00:<6sr}|9j5a3=83.9o?4>b79K6f7<^;i?628:0qpsr;h3g2?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n44?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f<=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oo4V0cg>7}#=>k1==5+56;955=zutw0e4de3_;jh746<,<=26<>4}|~?l7ek3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?mc:T2ea<5s-?be83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6jm1]=lj52z&63d<682.>;44>0:~yx=n9ko1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cg8R4gc2;q/9:o5169'12?=9>1vqps4i0`e>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?mf:T2ea<5s-?c183>!4d:3;i:6F=c09U6f2=9rF9o;4>{Wga>7}#:;l1=n>4V0cg>7}#=>k1=:5+56;952=zutw0e:18'6f4=9k<0D?m>;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:o?4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g7=Q9hn1>v*:7`823>"2?00:;6sr}|9j5f2=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`1?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6k10;6)P5k=0:wAk4>c99U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=nl50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fd<^8ko6?u+56c9f>"2?00i7psr}:k2gf<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:on5Y1`f96~"2?h0i7);89;`8yx{z3`;hh7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3``>P6im09w);8a;`8 01>2k1vqps4i0ae>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28im7[?nd;0x 01f2k1/9:75b:~yx=n9m:1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51e28R4gc2;q/9:o5b:&63<!4d:38946X=c582I4d>3;pZhl52z&16c<5:11]=lj52z&63d?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`824>"2?00:<6sr}|9j5f5=83.9o?4>b79K6f7<^;i?628:0qpsr;h3`a?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Zo6l:0;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95a5<^8ko6?u+56c955=#=>31==5r}|8m4b3290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g0>P6im09w);8a;33?!3013;;7psr}:k2`0<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51e78R4gc2;q/9:o5119'12?=991vqps4i0f5>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28n=7[?nd;0x 01f28:0(896:028yx{z3`;i57>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a=>P6im09w);8a;33?!3013;;7psr}:k2fd<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:nl5Y1`f96~"2?h0:<6*:78824>{zut1b=ol50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gd<^8ko6?u+56c955=#=>31==5r}|8m4dd290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6jl0;6)P5k=0:wAk4>bd9U5db=:r.>;l4>7:&63<<6?2wvqp5f1cd94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n?50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28i:7[?nd;0x 01f28=0(896:058yx{z3`;h>7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`6>P6im09w);8a;34?!3013;<7psr}:k2g1<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b68R4gc2;q/9:o5169'12?=9>1vqps4i0a6>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i>7[?nd;0x 01f2k1/9:75b:~yx=n9j<1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b48R4gc2;q/9:o5b:&63<c683>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k>1]=lj52z&63dg=zutw0e4e>3_;jh7g=#=>31n6sr}|9j5fg=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=no4V0cg>7}#=>k1n6*:788a?x{zu2c:oo4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gg=Q9hn1>v*:7`8a?!3013h0qpsr;h3`g?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6l90;6)P5k=0:wAk4>d19U5db=:r.>;l4m;%74=?dm3:1><4?:1y'6f4=?<1C>o64i0`4>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28h<7[?nd;0x 01f28:0(896:028yx{z3`;i47>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3a<>P6im09w);8a;33?!3013;;7psr}:k2g6<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o>5Y1`f96~"2?h0:<6*:78824>{zut1b=nk50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95fc<^8ko6?u+56c955=#=>31==5r}|8m4b6290/>n<51c48R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46o6l:0;6)P5k=0:wAk4>d29U5db=:r.>;l4>0:&63<<682wvqp5f1e694?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m64$45:>46o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>0:&63<<682wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z28:0qpsr;h3af?6=,;i96ae81!30i3;;7);89;33?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:nk4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9kl0Zo6k80;6)N5k81]>n:51zN1g3<6s_oi6?u+23d95f7<^8ko6?u+56c952=#=>31=:5r}|8m4e5290/>n<51c48R7e328qG>n851zTff?4|,;8m641{zut1b=n;50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f3<^8ko6?u+56c9f>"2?00i7psr}:k2g3<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o;5Y1`f96~"2?h0i7);89;`8yx{z3`;h;7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`3>P6im09w);8a;`8 01>2k1vqps4i0a;>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28i37[?nd;0x 01f2k1/9:75b:~yx=n9j31<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51b;8R4gc2;q/9:o5b:&63<c`83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6kh1]=lj52z&63dg=zutw0e4ed3_;jh7g=#=>31n6sr}|9j5fb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=nj4V0cg>7}#=>k1n6*:788a?x{zu2c:ok4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82gc=Q9hn1>v*:7`8a?!3013h0qpsr;h3g4?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<593:1N5j11b=o950;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95g1<^8ko6?u+56c955=#=>31==5r}|8m4d?290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46o6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5f1e394?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9m;0Z28:0qpsr;h3g7?6=,;i96ae81!30i3;;7);89;33?x{zu2c:h94?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m>0Z6=4+2b095g0<@;i:7[o6l?0;6)P5k=0:wAk4>d79U5db=:r.>;l4>0:&63<<682wvqp5f1c;94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k30Z28:0qpsr;h3af?6=,;i96ae81!30i3;;7);89;33?x{zu2c:nn4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82ff=Q9hn1>v*:7`824>"2?00:<6sr}|9j5gb=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=oj4V0cg>7}#=>k1==5+56;955=zutw0e4db3_;jh741<,<=26<94}|~?l7en3:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82fc=Q9hn1>v*:7`824>"2?00:<6sr}|9j5f6=83.9o?4>b79K6f7<^;i?628=0qpsr;h3`5?6=,;i96P5k=0:wAk4>c09U5db=:r.>;l4>7:&63<<6?2wvqp5f1b094?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9j80Z1/9:75169~yx{o6k<0;6)P5k=0:wAk4>c49U5db=:r.>;l4m;%74=?d2\:mi4={%74e?d<,<=26o5r}|8m4e0290/>n<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=n650;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f><^8ko6?u+56c9f>"2?00i7psr}:k2g<<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:o45Y1`f96~"2?h0i7);89;`8yx{z3`;hm7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3`e>P6im09w);8a;`8 01>2k1vqps4i0aa>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28ii7[?nd;0x 01f2k1/9:75b:~yx=n9ji1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51ba8R4gc2;q/9:o5b:&63<ce83>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6km1]=lj52z&63dg=zutw0e4b73_;jh7g=#=>31n6sr}|9l67>=83.9o?4=299U6f2=9rF9o;4>{Wga>7}#:;l1>?64V0cg>7}#=>k1n6*:788a?x{zu2wi=l>50;02>5<7s-8h>79:;I0a<>o6j>0;6)P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Zo6kl0;6)P5k=0:wAk4>cd9U5db=:r.>;l4>0:&63<<682wvqp5f1e394?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m6;W3b`?4|,<=j6<>4$45:>46{zut1b=i=50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n87[?nd;0x 01f28:0(896:028yx{z3`;o87>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l=1]=lj52z&63d<682.>;44>0:~yx=n9m?1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74b23_;jh746<,<=26<>4}|~?l7c>3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?k6:T2ea<5s-?b883>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6j01]=lj52z&63d<682.>;44>0:~yx=n9kk1<7*=c382f3=Q:j>1=vB=c782Sce2;q/>?h51cc8R4gc2;q/9:o5119'12?=991vqps4i0`a>5<#:j81=o84V3a7>4}K:j<1=vXjb;0x 74a28hi7[?nd;0x 01f28:0(896:028yx{z3`;io7>5$3a1>4d13_8h87?tL3a5>4}Qmk09w)<=f;3ag>P6im09w);8a;33?!3013;;7psr}:k2fa<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:ni5Y1`f96~"2?h0:<6*:78824>{zut1b=ok50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95gc<^8ko6?u+56c952=#=>31=:5r}|8m4da290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3ab>P6im09w);8a;33?!3013;;7psr}:k2g5<72-8h>7?m6:J1g4=Q:j>1=vB=c782Sce2;q/>?h51b28R4gc2;q/9:o5169'12?=9>1vqps4i0a2>5<#:j81=o84H3a2?S4d<3;p@?m9:0yUag<5s-89j7?l1:T2ea<5s-?c383>!4d:3;i:6X=c582I4d>3;pZhl52z&16c<6k;1]=lj52z&63d<6?2.>;44>7:~yx=n9j>1<7*=c382f3=O:j;0Z?m;:0yO6f0=9r\nn74e33_;jh741<,<=26<94}|~?l7d=3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?l5:T2ea<5s-?g=zutw0e4e13_;jh7g=#=>31n6sr}|9j5f1=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n94V0cg>7}#=>k1n6*:788a?x{zu2c:o54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g==Q9hn1>v*:7`8a?!3013h0qpsr;h3`=?6=,;i96ae81!30i3h0(896:c9~yx{;44m;|~y>o6kj0;6)P5k=0:wAk4>cb9U5db=:r.>;l4m;%74=?dn<51c48R7e328qG>n851zTff?4|,;8m6{zut1b=i>50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a6<^8ko6?u+56c9f>"2?00i7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:m<4?:783>5}#:j81>?94H3`;?l7e?3:1(?m=:0`5?S4d<3;p@?m9:0yUag<5s-89j7?m7:T2ea<5s-?g=zutw0e4d?3_;jh7g=#=>31n6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1n6*:788a?x{zu2c:oh4?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82g`=Q9hn1>v*:7`8a?!3013h0qpsr;h3g5?6=,;i96ae81!30i3h0(896:c9~yx{<^;i?65<5290;w)21d>?650;&1g7<5:11]>n:51zN1g3<6s_oi6?u+23d967><^8ko6?u+56c9f>"2?00i7psr}:a5d5=8391<7>t$3a1>23<@;h37d?m7;29 7e528h=7[o6j10;6)P5k=0:wAk4>b99U5db=:r.>;l4>4:&63<<6<2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9h>1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96P5k=0:wAk4>b69U5db=:r.>;l4>0:&63<<682wvqp5f1c:94?"5k;0:n;5Y2b695~J5k?0:w[km:3y'67`=9k20Z1/9:75169~yx{28=0qpsr;h3`a?6=,;i96P5k=0:wAk4>cd9U5db=:r.>;l4>7:&63<<6?2wvqp5`23:94?"5k;09>55Y2b695~J5k?0:w[km:3y'67`=:;20Z;44m;|~y>{e9h?1<7;50;2x 7e52>?0D?l7;h3a3?6=,;i96ae81!30i3;;7);89;33?x{zu2c:n54?:%0`6?7e>2\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2e9>54?:%0`6?4502\9o94>{M0`2?7|^lh1>v*=2g816==Q9hn1>v*:7`8a?!3013h0qpsr;|`2e3<72?0;6=u+2b0930=O:k20e4d03_;jh746<,<=26<>4}|~?l7e03:1(?m=:0`5?M4d92\9o94>{M0`2?7|^lh1>v*=2g82f==Q9hn1>v*:7`823>"2?00:;6sr}|9j5f5=83.9o?4>b79U6f2=9rF9o;4>{Wga>7}#:;l1=n=4V0cg>7}#=>k1=:5+56;952=zutw0e;W0`0?7|D;i=6ae81!30i3;<7);89;34?x{zu2c:h<4?:%0`6?7e>2B9o<5Y2b695~J5k?0:w[km:3y'67`=9m;0Z1/9:75169~yx{<^;i?652B9o<5Y2b695~J5k?0:w[km:3y'67`=9k=0Zo6k:0;6)P5k=0:wAk4>c29U5db=:r.>;l4>7:&63<<6?2wvqp5f1bg94?"5k;0:n;5G2b38R7e328qG>n851zTff?4|,;8m641{zut1b=i<50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95a4<^8ko6?u+56c952=#=>31=:5r}|8m4b4290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3g7>P6im09w);8a;34?!3013;<7psr}:m16=<72-8h>7<=8:T1g1<6sE8h:7?tVd`96~"5:o09>55Y1`f96~"2?h0i7);89;`8yx{z3th:m54?:983>5}#:j81;85G2c:8m4d0290/>n<51c48R7e328qG>n851zTff?4|,;8m64$45:>46{zut1b=n=50;&1g7<6j?1]>n:51zN1g3<6s_oi6?u+23d95f5<^8ko6?u+56c955=#=>31==5r}|8m4eb290/>n<51c48L7e63_8h87?tL3a5>4}Qmk09w)<=f;3`a>P6im09w);8a;34?!3013;<7psr}:k2`4<72-8h>7?m6:T1g1<6sE8h:7?tVd`96~"5:o0:h<5Y1`f96~"2?h0:;6*:78823>{zut1b=i<50;&1g7<6j?1C>n?4V3a7>4}K:j<1=vXjb;0x 74a28n97[?nd;0x 01f28=0(896:058yx{z3`;o?7>5$3a1>4d13A8h=6X=c582I4d>3;pZhl52z&16c<6l:1]=lj52z&63d<6?2.>;44>7:~yx=h:;21<7*=c3816==Q:j>1=vB=c782Sce2;q/>?h523:8R4gc2;q/9:o5b:&63<2\9o94>{M0`2?7|^lh1>v*=2g82f2=Q9hn1>v*:7`8a?!3013h0qpsr;h3aae81!30i3h0(896:c9~yx{;44m;|~y>o6l80;6)P5k=0:wAk4>d09U5db=:r.>;l4m;%74=?dn<523:8R7e328qG>n851zTff?4|,;8m6?<7;W3b`?4|,<=j6o5+56;9f>{zut1vl:50;1x9d3=:;30Rl:4=ba95g00z?`g?450278:=4>b69>737=9k=01>8=:0`4?851;3;i;63=8`82f2=:94d034;=>7?m7:?226<6j>16=:o51c58941e28h<70?8d;3a3>;6?l0:n:5218a95g1<583o6;dj3;i:6s|cc83>46|5jh1>?64=276>4d0349>:7?m7:?012<6j>16?;:51c5897>428h<70?91;3a3>;6>;0:n55217195g><58<<6b99>52c=9k201<7j:0`4?87>n3;i;63>a182f2=z{1;1<7=t=90967?;4=<0:n55234495g><5:?<6b99>734=9k201>8<:0`;?851<3;i463=7482f2=:94d?34;==7?m8:?227<6k:16=;=51b18940028h370?8a;3a<>;6?k0:n55216a95g><58=o6b99>5n3;i463>a182f==z{>l1<7=t=92967?l01lo51c48yvgf29095v3na;01<>;2><0:n:5234795f5<5:?=6c29>737=9j901>8=:0a0?851;3;h?63<6582g6=:=h>1=o94=34f>4d034>im7?m7:?605<6j>16==951c58946?28h<70??b;3a3>;68l0:n:5214;95g1<58?i6c29>537=9j901<8=:0af?871;3;hi63>6682g6=:9>?1=o94=054>4d034;;60=0:n:5219495g1<58336c29>56}:?l09>45Q7e9>e<<6j?1vl750;0:8g>2;8370;95;3a<>;4=<0:oh5234495fc<5:?<6cd9>734=9jo01>8<:0af?851<3;hi63:a582f==::?=1=o94=5`b>4d?34??<7?m8:?7ea<6j>16==751c58946f28h<70??f;3a3>;6990:n:5214c95g1<58?h6cd9>537=9jo01<8=:0f2?871;3;o=63>6782f2=:9?=1=nk4=055>4d034;;60<0:n:5218:95g><583h6cd9>5<`=9jo01X0j27j47?m6:pe=<728kp1l6523:8963228n:70=:6;3g5>;4=>0:h<5237295a7<5:<:6;<156?7c9278:>4>d09>732=9m;01?8?:0`4?872n3;o=63>6182`4=:9?;1=i?4=041>4b534;=?7?k2:?222<6l816=:o51e38941e28n:70?8c;3g5>;6?m0:h?5216g95a4<583h6;<3:`?7c927:5h4>d09>5<`=9m;01X0127j;7?m6:pe2<728kp1l9523:8963228n970=:6;3g6>;4=>0:h?5237295a4<5:<:64>d39>732=9m801?;6:0`4?872n3;o>63>6182`7=:9?;1=i<4=041>4b434;=?7?k3:?222<6l;16=:o51e08941e28n970?8c;3g6>;6?m0:h>5216g95a5<583h6d39>5<`=9m801X0?27j:7?m6:pe3<728kp1l8523:8963228n870=:6;3g7>;4=>0:h>5237295a5<5:<:64>d29>732=9m901?:k:0`4?872n3;o?63>6182`6=:9?;1=i=4=041>4b334;=?7?k4:?222<6l:16=:o51e18941e28n870?8c;3g7>;6?m0:h95216g95a2<583h6d29>5<`=9m901<3:1?v365;01=>X><27h87?m6:pg1<721;2>=0:n:5257795f5<5<<=64:4>b69>1=>=9k=01866:0`4?82113;i;63;6`82f2=::9l1=o94=333>4d0348:=7?m7:?05<<6j>16?>951c58962>28h<70=;a;3a3>;4=<0:h95234495a2<5:?<6d59>734=9m>01>8<:0f7?851<3;o863<7182f2=:<:n1=o94=51e>4d034?j>7?m7:?6e1<6k:169oj51c5890db28h<70;mf;3a3>;2k>0:n:525b:95g1<5i?4>b69>0=5=9k=0196::0`4?822l3;i;63=9`82f2=::0h1=o94=3;`>4d0348;?7?m7:?75`<6j>1694851c5890?028h<70;m2;3a3>;5=90:n:5224395g1<5;?96c29>0gd=9k=019kj:0`4?82a93;i;63;f382f2=:4d034?8i7?m7:?67c<6j>1699>51b18902628h<70kk:0`4?8`428h<70h;:0`4?8`028h<70h7:0`4?8`>28h<70hn:0`4?8`e28h<70??0;3a3>;6880:n:5211095g1<58:86b69>576=9k=01<<>:0`4?87503;i;63>2882f2=:9;i1=o94=00g>4d034;9i7?m7:?277<6j>16=>=51c58945328h<70?<5;3a3>;6;?0:n:5212;95g1<589j6b69>513=9k=01<;?:0`4?87203;i;63>5g82`1=:9?:1=i:4=042>4b334;=>7?k5:?226<6l<16=;951e68940e28h<70?9e;3a3>;6?:0:n:5216695g1<58=j6d59>52b=9m?01<9j:0f6?87?:3;i;63>8882f2=:91i1=o94=0:g>4d034;2=7?m7:?2=7<6j>16=4=51c5894?328h<70?65;3a3>;6110:o>5218;95g1<583h6d59>5<`=9m>013;i;63>a682f2=z{0;1<7=t=80967?;2>?0:n55259495g><5<2<6444>b99>03d=9k=0198l:0`4?856i3;i;63<1c82f2=:;8i1=o94=23g>4d03498;7?m8:?00<<6j116?9o51c:8963228n>70=:6;3g1>;4=>0:h85237295a3<5:<:64>d49>732=9m?018o=:0`;?83d?3;i463:c982f==:=j31=o64=4ab>4d?3482m7?m8:?1=g<6j116>4m51c:893b=9k=01;k51c5893`=9k=019?j:0`;?83fm3;i;63:ag82f2=:=k81=o64=371>4d?34>in7?m8:?7ac<6j>169?l51c58904d28h<70;=d;3a3>;en3;i463l0;3a<>;2;j0:n55255395g><5=ko60:n552f982f==:99:1=o64=022>4d?34;;;7?m8:?24=<6j116==751c:8946f28h370??b;3a<>;68l0:n55211d95g><58;;6b69>543=9k=011`82f2=:98h1=o94=03`>4d034;9<7?m8:?264<6j116=?<51c58944428h<70?=4;3a3>;6:10:n55213;95g><588h6h4>b99>564=9k201<=<:0`;?87413;i463>3`82f==:9:h1=o94=01`>4d034;8h7?m8:?20=<6j>16=9751c58942f28h<70?;b;3a3>;6b99>50d=9k201<;l:0`;?872n3;o963>6182`0=:9?;1=i;4=041>4b134;=?7?k6:?223<6j116=;m51c58940a28h<70?83;3a<>;6?=0:n55216795g><58==6d79>52c=9m<01<6<:0`4?87?<3;i463>8482f==:91<1=o64=0:`>4d?34;3h7?m8:?2=<<6j116=4m51e7894?c28n>70?6e;3g1>;61o0:h8521`295a3<58k?6b69~w=`=839p14>523;8Z=`<5k31=o84}r`:>5<0>r7i57<=8:?627<6j1169;=51c:8900328h370;95;3`a>;2>?0:o>5259:95f5<5<226b99>74e=9k201>?k:0`;?854?3;h?63<4`82g6=:;4b1349>;7?k6:?025<6l?16?;?51e48960528n=70=93;3g2>;4>=0:h;5242d95g><5ol4>c29>0=3=9k201?7m:0a0?84>k3;h?639d;3a<>;2j90:n:525c395g1<5b99>604=9j9019l6:0`;?82ei3;hi63;bc82g6=:4d?34>m>7?m8:?7b6<6j116ni4>b69>fa<6j116nk4>c29>g5<6k:169>m51b18905b28h370;;2<90:oh5255395f5<5=ko64e434l864e434l264d?34li6b99>555=9k201<>m:0a0?877m3;h?63>0g82g6=:98:1=n=4=030>4d?34;:47?l3:?25<<6k:16=;6:00:o>5213f95f5<588n6b99>563=9k201<=9:0`;?874l3;h?63>4982f==:9=31=o64=07;>4e434;>n7?l3:?21f<6k:16=8h51e48940728n=70?91;3g2>;6>;0:n45217195g?<58<=6b69>53`=9k201<9;:0a0?870?3;h?63>7`82`0=:9>h1=i;4=05`>4b234;128i870?7c;3`7>;6180:n55218095g><58386b99>5<>=9jo01<76:0a0?87>k3;o:63>9e82`3=:90o1=i84=0;e>4b134;j<7?k6:?2e0<6j>16=l651c:8yv>c2908w06j:30:?[>c34h3603g=9k201>?n:0a0?856j3;h?63<1b82g6=:;8n1=n=4=214>4eb349>97?m9:?013<6j016?8951c;8960728h270=91;3a=>;4>;0:n45237195g?<5:b99>0a1=9k=0196<:0`;?82?=3;h?63;5e82f==::991=o64=3;2>4d034>nj7?l3:?ab?7dm27h<7?le:?67f<6kl168lj51bg89`b=9jo01<>8:0a0?87703;h?63>0882g6=:99k1=n=4=02a>4eb34;;i7?le:?24c<6kl16=<>51bg8943>28i870?:a;3`7>;6=k0:oh5214a95fc<58?m6b89>534=9kk01<8<:0`b?871>3;hi63>6682`3=:9?l1=n=4=056>4e434;<:7?l3:?232<6kl16=:o51e48941e28n=70?8c;3g2>;6?m0:nl5216g95gg<58286c29>5=0=9jo01<7l:0`:?87>l3;i563>9d82f<=:90l1=o74=0c3>4d>34;j47?l3:p<<<72:q64l4=289]<<=:io0:n;5rs`d94?52s4km6?<7;<161?7ei2789;4>b`9>701=9kk01>8?:0`b?85193;im63<6382fd=:;?91=oo4=247>4df3482>7?m7:?7b4<6k:16n>4>b69>f6<6j1168lj51e389c?=9j901<>=:0a0?877?3;hi63>0982g`=:9931=nk4=02b>4eb34;;n7?k1:?24`<6l816==h51e38947728n:70?<4;3`7>;6=00:oh5214c95fc<58?i6;<36g?7c927:9k4>b`9>536=9kk01<8>:0`b?871:3;in63>6282fg=:9?<1=i?4=044>4d>34;<97?le:?233<6kl16=:951e38941f28h270?8b;3a=>;6?j0:n45216f95gd<58=n6cd9>5=0=9m;01<7>:0a0?87>k3;im63>9e82fd=:90o1=oo4=0;e>4df34;j<7?ma:p<2<72:q6454=289]<2=:il0:n;5rs`g94?54s4kn6?<7;<756?7d;278984>bc9>700=9kh01>;8:0`a?85183;in63<6082fg=:;?81=ol4=240>4de349=87?mb:?115<6k:16>5l51c5891d>28i870;;3im0:h?5211595a7<58:36;<33=?7c927:d09>55d=9m801<>j:0f1?877n3;o>63>1182`7=:9<31=i?4=07b>4b634;>n7?k2:?21f<6l;16=8h51c`8940728hi70?91;3af>;6>;0:nn5217195ge<58<=6d09>520=9m;01<98:0f1?870i3;im63>7c82fd=:9>i1=oo4=05g>4dd34;128n970?62;3`7>;61j0:no5218f95gd<583n6bc9~w=3=839p158523;8Z=3<5hn1=o84}rcg>5<4;r7jh7<=8:?626<6k:16?8;51ca8963128hh70=:7;3ag>;4>90:nn5237395ge<5:<96bb9>607=9j901?6;:0`4?82a:3;h?63:3g82g6=:4b534;;47?k2:?24<<6l;16==o51e08946e28n870??e;3g7>;68o0:h>5210295a5<58?26d29>50e=9m901<;i:0``?87183;io63>6082ff=:9?81=oj4=040>4dc34;=:7?k3:?222<6jk16=:;51e08941128n970?87;3g7>;6?h0:no5216`95gd<58=h6be9>5=2=9m801<6::0f1?87?>3;o?63>9282g6=:90i1=om4=0;g>4dd34;2i7?mc:?2=c<6jj16=l>51ca8yv>42908w06;:30:?[>434kh6132=9j901>;::0`g?852>3;ih63<5682fa=:;?:1=oj4=242>4dc349=>7?md:?026<6jm16?;:51cf8971d28h<70:i3;3`7>;3im0:h952f`82g6=:99=1=i=4=02;>4b434;;57?k3:?24d<6l:16==l51e68946b28n?70??f;3g0>;6990:h95212795f5<58?26d59>50e=9m>01<;i:0`g?87183;ih63>6082fa=:9?81=ok4=040>4db34;=:7?k4:?222<6jj16=:;51e18941128n870?87;3g0>;6?h0:nn5216`95ge<58=h6bd9>5=2=9m901<6::0f0?87?>3;o863>9582g6=:90i1=oj4=0;g>4dc34;2i7?md:?2=c<6jm16=l>51cf8yv2em3:1?v3;bg816<=Y<5=8<644>b69>07g=9k=01eb82f2=:9ln1=o94=254>4d0349<;7?m8:?03a<6j>16?:j51c:8yvc7290?w0k>:30:?[c734;i>7?m6:?2ec<6j?1v55257;9`c=:;on1hk523g19`c=:;l<1hk523eg9`c=:;0>1hk5238g9`c=:;h31hk523c09`c=:;kn1hk521d19`c=:9ll1hk5239`9`c=:;j>1hk523e29`c=:<8?1hk52668gb>;4?o0oj63;088gb>;3no0oj6s|1`d94?g|58km6?<7;<1g2?ba349397ji;<63b?ba349<57ji;<637?ba34>j97ji;<6f3?ba34?;i7ji;<76=?ba3tyn>7>54z?f7?4512Tn>63>b082f3=:9ho1=o84}r3a5?6=;8q6=o?523:8964>2ml0186m:ed8966>2ml0198j:ed89ag=lo16><=5dg9>776=lo16=k75dg9>64b=lo16>lm5dg9>6g0=lo16?<<5dg9>76d=lo16?9=5dg9>71e=lo16?8o5dg9>730=lo16?:=5dg9>062=lo1689?5dg9>01e=lo1688:5dg9>1d0=lo168i75dg9>1f7=lo169io5dg9>1fe=lo169h65dg9>0=1=lo168:o5dg9>6f`=lo16>k<5dg9>6c?=lo168?j5dg9>6a0=lo16>ij5dg9>6`2=lo16>hl5dg9>037=lo1695>5dg9>756=lo16>l>5dg9>36544kf:?6f1u248c967?{t<0h1<7;<{<6:f?450278>94>b69>1=3=9k=01869:0af?83?03;o=63;6982f2=:l;0:n:52d582g6=::9l1=i?4=333>4b6349:m7?k3:?05g<6l:16>l651c5897g>28h<70;5j:0:n:5231g95g1<5::m6b69>71>=9k=01>;=:0`4?852<3;i;63;4682f2=:<=31=n=4=4;e>4d034?j<7?m7:?6e7<6kl168i=51c5890dc28h370;l7;3`a>;2k00:h<5246495g1<5=?m6d09>651c58976328i870==e;3a3>;4:l0:n55240`95g1<5=;h6cd9>1<0=9k2018oj:0a0?83fn3;h?63:b182g6=:=k81=i?4=5`6>4d034>i97?m8:?651<6j>1699751c58901728h<70:je;3a<>;3mo0:oh5253a95f5<5<8n6169?<51c5897<6j>16j<4>b69>bc<6kl16==951cc8946>28hj70??b;3af>;68o0:no5210:95a7<588;694>c29>57>=9jo01<<6:0f2?875l3;o=63>3882g`=:9=>1=o64=06;>4e434;?m7?l3:?20f<6k:16=8:51c58yv30=3:1?v3:77816<=Y=>?01898:0`5?xu2?>0;6>jt=454>74?348;j7?k2:?155<6l;16>28n970=>a;3g0>;49k0:h95230a95a2<5:;o6bg9>701=9kl01>8?:0`e?85193;ij63<6382fc=:;?91=oh4=247>4da34>8i7?m7:?651<6j11699751c:891cb28i870:jf;3g5>;29k0:n55253095g><5=ko64d034li6;<337?7c:27:<:4>bc9>55>=9kh01<>6:0`a?877i3;in63>0c82ff=:99o1=om4=02e>4dd34;:<7?mc:?273<6k:16=8751e78943f28n>70?:b;3a=>;6=j0:n45214d95g`<58<;6b89>531=9kn01<9::0f6?870>3;o963>7682f<=:9>k1=oj4=05a>4dc34;128h270?65;3`7>;61j0:nk5218f95g`<583n6bg9~w055290?w0;<3;01=>X2;;169>:51c4894d328h=7p}:3583>0}:=:>1>?64=522>4d034>;=7?m8:?742<6j>168=951c:8yv7e<3:1>v3>b5816==:;;;1=o94}r734?6=;r7><<4=289]156<5<:96;3;;0:n55250`95f553z?60f<5:01U99l4=46g>4d13ty>8i4?:4y>11b=:;201??n:0`;?822:3;i;63;5382f==:=;81=n=4}rg7>5<3s4o>6?<6;_g7?8c128h=70?m0;3a2>{tm?0;6<<5:lj6b69>7``=9k=01>h>:0`4?825?3;h?63;2982g6=:<;31=n=4=50b>4e434;oj7?m7:?2a5<6j>16=h?51c5894cf28i870?jb;3`7>;6mj0:o>521df95f5<5=i36523:896ef2ml0q~?na;297~;6ik09>45Q1`c894gd28h=7p}>ab83>6}:9hi1>?64=2a;>4d0349h47?m8:p0ad=839p19jl:30:?[2cj27?hi4>b79~w1bc290>w0:kd;01<>;40:0:n:5239195g><5:2264d034>:?7?m8:p0:n;5rsc594?4|5k=1>?64=36f>4d?3ty2:7>53z?:3?4512T2:63l5;3a2>{tk<0;6?u2c4816==::5<4s4326?<6;_;;?8e128h=7p}l6;296~;d>389463=6082f==z{0k1<7=t=8`967?6}:1m09>45Q9b9>g=<6j?1vn650;0x9f>=:;201?8i:0`;?xu>m3:1?v36f;01=>X>m27h57?m6:pg<<72;q6o44=299>620=9k20q~o?:1808g62;827So?;4d13tyhm7>52z?`e?450279;i4>b99~w03f2908w0;:b;01=>X2=h1698o523:8yv32l3:1>v3:5`82f3=:=?64}r6`f?6=;r7?on4=289]0fd<5=ii6?<7;|q7ga<72;q68nl51c4891ec2;837p};9b83>6}:<0n1>?74^5;`?82>k38946s|48g94?4|5=3h6n<7>52z?7``<6j?168h>523:8yv2a>3:1?v3;f6816<=Y<:0`5?837=38946s|55g94?5|5<>m6?<6;_77a>;255rs473>5<5s4??i7?m6:?615<5:11v?=?:180844938956P=319>666=:;20q~<;9;296~;5;90:n;5225;967>53z?17=<5:01U>>94=314>74?3ty9984?:3y>661=9k<01?;::30;?xu5;00;6>u222c967?{t:4d1348>o7<=8:p66d=839p1?=l:30:?[44j279?o4=299~w7042909w0<;5>:09>55rs31g>5<4s488i7<=9:\17a=:::n1>?64}r05e?6=:r79?i4>b79>63g=:;20q~<45Q22d8975a2;837p}=7083>7}:::l1=o84=352>74?3ty98<4?:2y>614=:;30R?:>;<075?4502wx>:650;0x972628h=70<88;01<>{t:=91<7=t=367>74>3W8??63=42816==z{;=m6=4={<077?7e>279;k4=299~w7222908w0<;6;01=>X5<<16>9;523:8yv4?>3:1>v3=4482f3=::1<1>?64}r073?6=;r79854=289]611<5;><6?<7;|q19951c4897>c2;837p};ag83>6}:?74^5ce?82fn38946s|4c394?4|5=km6:181837n3;i:63:10816==z{<>?6=4<{<771?4512T>8952556967>52z?601<6j?16998523:8yv31j3:1?v3:6b816<=Y=?h0188m:30;?xu2>m0;6?u257`95g0<5<?34>347<=8:p0=g=838p1967:0`5?82?i38946s|4d:94?5|5=o26?<6;_6f<>;3m109>55rs5gb>5<5s4>n47?m6:?7ad<5:11v8<;:180835=38956P:259>172=:;20q~;=6;296~;2:=0:n;52534967>53z?173<5:01U>>;4=316>74?3tyi<7>52z?170<6j?16n=4=299~w7552908w0<<3;01=>X5;;16>><523:8yvdf2909w0<<2;3a2>;ei38946s|49d94?5|5=3;6?<6;_6;b>;30o09>55rs5;2>5<5s4>3j7?m6:?7=4<5:11v8?9:180836?38956P:179>140=:;20q~;>8;296~;29?0:n;5250:967>53z?65`<5:01U974?3ty>=k4?:3y>14b=9k<018?i:30;?xu2;<0;6>u2524967?70;<5;01<>{t=:=1<74d134?8;7<=8:p0d0=839p19o8:30:?[2f>27?m;4=299~w1g?2909w0:n6;3a2>;3i109>55rs44;>5<3s4?>h7?m6:?61f<6j?169;o51c:8900>2;837p}:5b83>1}:=?64=e495g1<5m=1=o94=2a1>4d03ty>::4?:3y>137=9k<01888:30;?xu2>80;6>u2573967><5oo1=o94=gg95g>i7>52z?62d<6j>1698k523:8yv31i3:1>v3:6`816==:=?31=o84}r3a1?6=i8q6=o;523:8900>2;k:70;99;0:0>;4:009m<5233;96<2<5<2i6?o>;<7;f?4><278<44=a09>75?=:0>0198j:3c2?821m382863ka;0b5>;ci382863=1281e4=::891>4:4=202>4d?3499<7d;0:0>;5ij09m<522`a96<2<5;h=6?o>;<0a2?4><278=?4=a09>744=:0>01>=m:3c2?854j382863<4281e4=:;=91>4:4=26`>7g6349?o7<64:?01d<5i816?8o5286896012;k:70=96;0:0>;4?:09m<5236196<2<5=9?6?o>;<600?4><27?8<4=a09>017=:0>019:l:3c2?823k382863;5581e4=:<<>1>4:4=4c5>7g634?j:7<64:?7`<<5i8168i75286890e62;k:70;l1;0:0>;2lh09m<525ec96<2<5:lo6?o>;<1e`?4><27>on4=a09>1fe=:0>018k7:3c2?83b03828634:4=2g5>7g6349n:7<64:?0``<5i816?ik5286891>02;k:70:77;0:0>;41=09m<5238696<2<5:3n6?o>;<1:a?4><278m44=a09>7d?=:0>0199n:3c2?820i3828634:4=2`g>7g6349ih7<64:?1gc<5i816>nh5286897`52;k:70;5n009m<522g;96<2<5=8o6?o>;<61`?4><279h;4=a09>6a0=:0>01?jk:3c2?84cl382863=e581e4=::l>1>4:4=3ga>7g6348nn7<64:?724<5i8168;?5286890>72;k:70;70;0:0>;6m:09m<521d196<2<58om6?o>;<3fb?4><278<=4=a09>756=:0>01>mn:3c2?85di3828634:4=3c3>7g6348j<7<64:?0<0<5i816?5;5286896>e2;k:70=7b;0:0>;4k=09m<523b696<2<5:n;6?o>;<1g4?4><27?05`=:0>019?::3c2?826=38286397;0b5>;1?38286383;0b5>;0;382863=0781e4=::9<1>4:4=213>7g63498<7<64:?03<<5i816?:752868961a2;k:70=8f;0:0>;38:09m<5241196<2<5=:26?o>;<63=?4><27?><4=a09>077=:0>01876:3c2?83>1382863:b581e4=:=k>1>4:4=5c6>7g634>j97<64:?7gd<5i8168no5286891c02;k:70:j7;0:0>;3no09m<524gd96<2<5<:n6?o>;<73a?4><27>944=a09>10?=:0>01977:3c2?82>038286s|2cc94?46kr79nl4=299>13?=ll1695l5dd9>1=>=9m<01867:0`:?83?03;im63:8982fg=:=121=om4=4:;>4dc34?347?me:?6<<<6l?1695751c;890>>28hj70;79;3af>;2000:nn5259;95gb<5<226=i7jj;ac<5m=1=i84=e595g?<5m=1=oo4=e595gd<5m=1=om4=e595gb<5m=1=ok4=330>ac<5:8;6ik4=23b>4d>349:m7?ma:?05d<6jk16?a;3aa>;49k0:n45230`95gg<5:;i6be9>74d=9ko01>?l:0`:?856k3;im63<1b82fg=:;8i1=om4=23`>4dc349:o7?me:?05a<6j016?d;3ag>;49m0:ni5230f95gc<5;kh6ik4=3c:>4b1348j57?m9:?1e<<6jh16>l751c`897g>28hh70;5i00:nh522`c95a0<5;kj6bc9>6dg=9ki01?on:0`g?84fi3;ii63=b78ga>;5j:0:nl522c195gd<5;h864>bd9>6g2=9kk01?l;:0`a?84e<3;io63=b582fa=::k>1=ok4=231>ac<5::m6bc9>75`=9ki01>>i:0`g?857n3;ii63<1182f<=:;8:1=oo4=233>4de349:<7?mc:?055<6jm16?<>51cg8965e2mo01>:<:eg8962d2mo01>;n:eg8963228i970=:5;3`0>;4=<0:o85234795f0<5:?>6c89>703=9jk01>;::0aa?852=3;ho63<5482ga=:;4b7349>:7?l2:?013<6k=16?8851b78963128i=70=:6;3`3>;4=?0:o55234495f?<5:?=6cb9>700=9jn01>;9:0ae?852>3;o<63<5682g7=:;<=1=n:4=274>4e2349>;7?l6:?012<6k>16?8951b:8963028i270=:7;3`e>;4=>0:oo5234595fe<5:?<6d19>730=ll16?;>51b08960728i?70=90;3`1>;4>90:o;5237295f1<5:<;6c`9>736=9jh01>8?:0a`?85183;hh63<6182gc=:;?:1=i>4=242>4e5349==7?l4:?024<6k<16?;?51b48960628i<70=91;3`<>;4>80:o45237395fg<5:<:6ce9>737=9jl01>8>:0f3?851:3;h>63<6382g1=:;?81=n;4=241>4e1349=>7?l7:?027<6k116?;<51b;8960528ij70=92;3`f>;4>;0:on5237095fb<5:<964>c39>735=9j>01>8<:0a6?851;3;h:63<6282g2=:;?91=n64=240>4e>349=?7?la:?026<6kk16?;=51ba8960428io70=93;3`b>;4>:0:h=5237695f4<5:c79>732=9j=01>8;:0a;?851<3;h563<6582gd=:;?>1=nl4=247>4ed349=87?ld:?021<6ko16?;:51e2896142mo019:>:eg8912d2mo018o9:eg890g728h270;n0;3ae>;2i90:no525`295ge<5m<4>b89>1d7=9kk018o>:0`a?83f93;io63:a082fa=:=h;1=ok4=4c1>4dd34?j>7?md:?6e7<6jl168i75dd9>1f7=ll169io5dd9>7cb=ll169nm5dd9>1f?=9m<018m6:0`:?83d13;im63:c882fg=:=j31=om4=4a:>4dc34?h57?me:?6gd<6l?169no51c;890ef28hj70;la;3af>;2kh0:nn525bc95gb<57jj;<1a`?bb348hj7jj;<0e6?bb348m57jj;<61`?bb34>947?k6:?76=<6j0168?651cc8914?28hi70:=8;3ag>;3:10:ni5243:95gc<5=82644>b`9>07?=9kh019<6:0``?82513;ih63;2882f`=:<;k1=i84=50b>4d>34>9m7?ma:?76d<6jk168?o51ca8914f28ho70:=a;3aa>;5l?0oi63=de8ga>;5m=0oi63=ec8ga>;3>80oi63:818ga>;6m:0oi63>eg8ga>;4890oi63;4l?0oi63=a18ga>;40<0oi63<8c8ga>;4k=0oi63;38o0oi63;148ga>;1?3nn709<:eg897612mo01>=?:eg8961>2mo01>9i:eg891642mo019>6:eg891462mo01876:eg890d32mo019o::eg891ef2mo019k8:eg891`a2mo018>j:eg8903>2mo01977:eg891gc28hh70:nd;3a`>;3im0:nh5211595gc<58:36bd9>57e=9ki01<2e82fa=:9;n1=ok4=00f>4dc34;9i7?me:?21<<6jj16=8751cf8943>28hn70?:a;3ag>;6=h0:ni5214c95gc<58?i6c49>50`=9j<01<;i:0a4?872n3;h463>5g82g<=:94ee34;>j7?lc:?21c<6km16=8h51bd8943a28n;70?90;3`1>;6>90:o;5217295f1<58<;6c`9>536=9jh01<8?:0a`?87183;hh63>6182gc=:9?:1=i>4=042>4e234;==7?l6:?224<6k>16=;?51b:8940628i270?91;3`e>;6>80:oo5217395fe<58<:6d19>534=9j<01<8=:0a4?871:3;h463>6382g<=:9?81=no4=041>4ee34;=>7?lc:?227<6km16=;<51bd8940528n;70?93;3`2>;6>:0:o:5217195f><58<864>cc9>535=9ji01<8<:0ag?871;3;hj63>6282`5=:9?<1=oj4=045>4db34;=;7?l2:?222<6k=16=;951b78940028i=70?97;3`3>;6>>0:o55217595f?<58<<6cb9>531=9jn01<88:0ae?871?3;o<63>7482fg=:9>?1=om4=056>4dc34;<97?me:?233<6jk16=:851ca8941128ho70?86;3aa>;6?>0:ni5216595gc<58=j6c49>52g=9j<01<9n:0a4?870i3;h463>7`82g<=:9>k1=no4=05b>4ee34;;6?k0:o95216`95f3<58=i6c99>52d=9j301<9m:0ab?870j3;hn63>7c82gf=:9>h1=nj4=05a>4ea34;70?8c;3`2>;6?j0:o:5216a95f><58=h6cc9>52e=9ji01<9l:0ag?870k3;hj63>7b82`5=:9>n1=n;4=05g>4e134;;6?m0:on5216f95fb<58=o6c49>52c=9j<01<9j:0a4?870m3;h463>7d82g<=:9>o1=no4=05f>4ee34;;60=0:no5219695ge<582?6b`9>5=3=9kh01<6::0``?87?=3;ih63>8482f`=:91<1=om4=0:5>4dc34;3:7?me:?2=f<6k<16=4m51b4894?d28i<70?6c;3`<>;61j0:o45218a95fg<583h6ce9>5l3;h963>9e82g3=:90n1=n94=0;g>4e?34;2h7?l9:?2=a<6kh16=4j51b`894?c28ih70?6d;3``>;61m0:ok5218f95a6<583n627:5h4>c69>5m3;hm63>9d82gg=:90o1=nm4=0;f>4ec34;2i7?lf:?2=`<6l916=4h51b7894?a28i=70?6f;3`3>;61o0:o55218d95f?<583m6cb9>5<`=9jn01<7i:0ae?87>n3;o<63>a182g0=:9h:1=n84=0c3>4e034;j<7?l8:?2e5<6k016=l>51bc894g728ii70?n0;3`g>;6i90:oi521`295f`<58k;67}:=74?3tyo97>53z?625<6j>169;>51c:89a3=:;20q~:8b;297c}:=?81=nk4=440>4eb34?=87?le:?620<6l8169;851bg8910>28h370:9b;3a<>;c;3;i;63k4;3a3>;58m0:n:5221g95g1<5;:m6b99>74?=9k201>?n:0af?856j3;hi63<1b82g`=:;8n1=nk4=0d1>4d0348::7?m7:?07<<6j>1689651c58912>28h<70:;a;3a3>;3?k09>55249295g1<5=2:64>c29>025=9k=0199<:0`;?822m3;i;639b;3a3>;5890:n:5221095g1<5;:86b69>16c=9jo018=i:0af?83383;o=63:4082g`=:n90:n:52f682g`=:n10:o>52f882g`=:nh0:oh52fc82g6=:no0:n:5211295fc<58::64>c29>551=9m>01<>7:0f7?87713;o863>0`82`1=:99h1=i;4=02f>4b234;;j7?k5:?255<6l<16=5o51c58yv21n3:1>iu257095a7<5<<86;<750?7c927>:84>d39>130=9m;0198l:0`;?847l3;i463=0d82f==::9l1=n=4=333>4e4348:=7?l3:?05<<6k:16?c;3g5>;49m0:h<521g095g><5;;=6b99>0=4=9k20196<:0af?821n389463;7582f2=:<<5;:;6b99>b5<6j116jk4>b99>556=9m;01<>>:0af?877:3;o=63>0282g`=:99=1=i;4=02;>4b234;;57?k5:?24d<6l<16==l51e48946b28n=70??f;3g2>;6990:h;5219;95g><582i6;2><0:h>5257495a4<5m91=o64=e695g><5;:o6cd9>646=9jo01??>:0af?85613;hi63<1`82`7=:;8h1=i<4=23`>4b5349:h7?k2:?2b3<6j>16=k951c58977f28h<70<>b;3a3>;4;00:n55242;95g1<5=926b99>01g=9k20196=:0a0?82?;3;o=63;5882f2=:<<31=o64=321>4d?348;?7?le:?141<6j1168<751b18922=:;201979:0`4?834m3;o=63:3g82`4=:==:1=i<4=462>4b634>jh7?k5:?e3?7c927m47?le:?e=?7c927mm7?k1:?ef?7dm27mj7?l3:?245<6l;16==?51e38946528n970??3;3g5>;68>0:h;5211:95a0<58:2627:b89>55c=9k301<>i:0`:?87683;i563>5c82`0=:94b234;<;7?k5:?2<3<6l<16=5o51c:894>e28h37p}:6383>7}:=?81>?64=444>4d03ty>:>4?:3y>135=:;201888:0`;?xu2>=0;6?u2576967><5<<<630|5<<=64;4>c29>1=1=9j901867:0af?83?13;hi63<4882g6=:;=k1=nk4=276>4db349>:7?me:?012<6jl16?;>51cg8960628hn70=92;3aa>;4>:0:nh5237695gc<5o54>c29>1f?=9jo018mn:0af?82?=3;hi63=9`82g6=::0h1=nk4=3;`>4eb344d?34>:i7?l3:?6e`<6j1169lh51c:890d728h370;m1;3a<>;2j;0:oh5224095fc<5=hi6>n4>b99>17b=9k2018:>:0f1?82fl3;o:638:0`4?81=9k201k:51b189c>=9m;01<>>:0f1?877?3;i563>0982f<=:9931=o74=02b>4d>34;;n7?ma:?24`<6jh16==h51cc8947728hj70?>4;3a<>;69<0:n55210:95fc<58;26b99>576=9j901<<>:0a0?875<3;i463>2982g6=:9;31=nk4=00`>4e434;9h7?le:?26`<6kl16=>=51b18945>28i870?;6;k0:n55212a95g><589o6b99>51e=9k201<;>:0`;?87203;hi63>5882`1=:94b134;>o7?k6:?21c<6jl16=;>51cg8940628hn70?96;3g2>;6?:0:o>5216695fc<58=>6d79>5=2=9m>01<6::0f7?87?>3;o:63>8e82g6=:9031=nk4=0;`>4db34;2h7?me:?2=`<6jl16=4h51cg894g728hn70?n7;3`7>{t=?<1<774?34?=;7?k1:p77>=838p1><<:0`5?855138946s|33794?4|5:8262mo01><;:30;?xu4:?0;6?u233795g1<5:8=6?<7;|q062<72;q6??;51c:896402;837p}<1583>0}:;;=1=o94=204>4d?349:87<=8:?ab?7c:27h<7?k1:p77d=83>=w0==4;3a<>;20<0:n55259595fc<5<226;<657?m8:?154<6l816?<751e38967d28n870=>d;3g7>;5i10:n5522`c95g1<5;h96b99>746=9k=01>=::0`;?85413;h?63<4182f==:;=21=o64=271>4d?349>87?m8:?702<6j11689o51b1890?a28h370;n1;3a3>;3l:0:n5525cg95g><5b69>00`=9k20189j:0`;?84>i3;hi63=9b82`4=::0n1=o64=6295g><5;:?6b99>04e=9k2019?k:0`;?83>?3;i463:b082g6=:=;h1=n=4=40g>4e434?9i7?m8:?66c<6j116o=4>d39>6?7e027m=7?m8:?eb?7c927:<54>b`9>55g=9kk01<>j:0`a?87683;in63>1882`4=:9;;1=nk4=000>4e434;9o7?le:?26`<6l816=>o51bg8945e28i870?;6;m0:h<5215795g><58>26c29>502=9k20q~;7a;297~;2080:n;5259a95g><5<2i6?<7;|q6<4<728np186>:30;?83?=3;h?63:8782`4=:=1=1=i?4=4:;>4b534?357?k2:?6e5<6j1169l?51c:890g528n:70;l7;3g5>;2k10:h<525b;95a4<5i94>b69>1`3=9k=018k9:0`4?83e:3;o>63>1982`7=:9831=i<4=003>4b634;9=7?k1:?26f<6l816=?j51e08944b28n970?<9;3g5>;6;h0:h<5212f95a4<58?9652;837p}:8b83>7}:=1i1>?64=4:a>4d13ty>4>4?:3y>1=4=9k=0186<:30;?xu20=0;6?u259095g><5<2?6?<7;|q6<0<72;q695;523:890>328h<7p}:cd83>60|5<2=6454>d29>1=?=9m9018o?:0a0?83f93;h?63:a382`7=:=kh1=o94=4``>4d034?ih7?l3:?6f`<6k:169oh51c:890eb2;8370;k4;3a3>;2k?0:n:525b595a4<5ol4>d29>1`4=9k2018k<:0`;?83b<3;i463:e482f==:=l<1=o64=555>4d?34><;7?m8:?1==<6j>16>4j51b1893b=9j901;k51b1893`=9j901:>51b1890?428h<70;65;3a3>;2:k0:oh5253a95fc<5<8o6d29>54?=9m9011b82g6=:9;:1=i<4=002>4b534;9>7?le:?266<6kl16=?:51bg8944d28n970?=d;3g7>;6:l0:h>5215395g1<58>963;o?63:8682`6=:=121=i:4=4::>4b334?j<7?le:?6e4<6kl169l<51e1890dd28h370;md;3`a>;2jl0:oh525cd95f5<56h:4>b69>1a>=9k=018m=:30;?83d?3;o?63:c982`6=:=j31=i:4=4ab>4b334?n>7?l3:?6a6<6k:169h:51b1890c228i870;j6;3`7>;3??0:o>5246595f5<5;336d39>64b634?2?7?m8:?6=1<6j>1694;51c:890?128i870;67;3`7>;2il0:oh525`d95fc<5n?4>d29>17d=9m;0184e434;:87?l3:?250<6k:16=<651e68947>28n?70?>a;3`a>;69k0:oh5210a95fc<588;6?4>d09>575=9m;01<<;:0f2?875k3;o?63>2e82`1=:9;o1=i:4=01:>4b534;8m7?k2:?27g<6kl16=>m51bg8945c28n870?;2;3a<>;6<:0:n:5215695f5<58>>6cd9>51g=9jo01<:m:0af?873k3;hi63>5182f==:9<;1=nk4=071>4d?34;>87?l3:p1ae=838mw0;76;3g0>;20>0:h95259:95a3<5<226m<4>d09>1d4=9m>018lm:0`;?83ek3;h?63:d582f==:=m?1=o64=4f5>4d?34?o;7?m8:?6`=<6j1169n851c:890e028n?70;l8;3g0>;2k00:h8525bc95a3<5i>4>cd9>1`2=9jo018k::0af?83b>3;hi63;7782g`=:<>=1=nk4=3;b>4b53482n7?k3:?1=f<6l:16:i4>d09>2`<6l816:k4>d09>35<6l8168d;3`a>;39l0:h?5258695g><5<3>6n4>d59>57b=9m?01<4282f==:9<91=o64}r7;2?6=:r7>4;4=299>1=2=9k20q~;77;296~;20>09>55259695f552z?6<=<5:11695:51bg8yv3?13:1>v3:88816==:=1>1=i?4}r13b79>75?=:;20q~=?2;2976}:;981>?64=225>4d034?j<7?k2:?6e4<6l;169l<51e7890dc28n:70;me;3g5>;2jo0:oh525e795f5<5;<642?7c927?;:4>d09>6?3;hi63:ad82`4=:=hl1=i?4=4`3>4b634?i=7?k1:?66g<6l;169?m51e08904c28n970;=e;3g5>;2:o0:h<52b482f2=:9891=nk4=037>4eb34;:97?le:?25d<6l816=;6::0:h?5213695a4<588h627:>h4>d79>56d=9m;01<=l:0f2?873<3;hi63>4482g`=:9=21=i?4=06:>4b634;?m7?k1:?20g<6l816=9m51e38943728i870?:1;3g5>;6=;0:o>5214195f5<58??67}:;991=o94=227>74?3ty8<84?:3y>755=9k201>>::30;?xu51<0;69u231495g><5;3>6?<7;<313?7e?27:>:4>b99~w6612909w0=?6;01<>;48<0:n:5rs3ab>5<68r78<:4>b69>6d?=9k201?on:0`;?84e;3;i463=b582f==:;9l1=o64=233>4d?348hm7<=8:?1b5<6j>16>k951c5897b328h<70;5lk0:n:522d095g1<5;o26hj50;07857?3;i463=a882g6=::hk1=n=4=3`0>4e4348i87?l3:?04c<6k:16?<>51b1890g728n870;n1;3g7>;2i;0:h;525cd95a7<5d39>021=9m801?kk:30;?84a?3;i463=fd82f==::0n1=i<4=53a>4b634?9i7?k2:?66c<6l;16n84>b99>545=9m;011`82`7=:98h1=i<4=03`>4b534;9o7?k6:?26a<6j016=?k51c;8943728in70?:2;3`a>;6=:0:oh5214695a7522y>751=9j901?o6:0af?84fi3;hi63=b282g`=::k>1=nk4=22e>4eb349:<7?le:?6e5<6l=169l?51e6890g528h270;mf;3g6>;2l>0:o>525d795a7<5===66cc=9j901?7k:0f0?826k3;o=63:2d82`6=:=;l1=i=4=c795f5<58;86d39>54g=9m9012b82f<=:9;n1=oo4=00f>4df34;><7?k1:?217<6l816=8=51e38943328n97p}=d083>`}:;9=1=nk4=3c:>4b6348jm7?k1:?1f6<6l816>o:51e38966a28n:70=>0;3g5>;5n90:n5522g595f5<5;n:6?<7;<0gf?7e0279i?4>b99>6`?=9k201?hj:0af?xu5l10;6iu231595a7<5;k264>d39>6g2=9m801>>i:0f1?85683;o>63=f182g6=::o=1=nk4=3f;>74?348n>7?l3:?1a<<6k:16>kk51e38yv4cn3:1ov3<0682`7=::h31=i=4=3cb>4b4348i?7?k3:?1f1<6l:16?=h51e18967728n870;5n>0:h<522ed967><5;o26h850;`x966028n870;5ih0:h9522c195a2<5;h?6d59>6c6=9m;01?h8:0f1?84b>389463=fd82`6=z{;li6=4=0z?042<6l=16>o=51e7897d328n>70=?f;3g1>;4990:h8525`295a3<5nk4>d29>1a>=9j9018k9:0f2?820>3;o863;7682`1=::oh1>?64=3;g>4b334>:h7?k1:?66`<6l=169?h51e689g3=9jo011482`6=:98k1=i:4=03a>4b334;:o7?k4:?26f<6jh16=?j51c`8944b28hi70?:0;3g6>;6=;0:h?5214195a4<58??67}:74?3ty?:?4?:3fx91052;8370:99;3`7>;3>h0:o>5247`95f5<5=4e434n?6d29>647=9m901>?6:0f0?856i3;o963<1c82`0=:;8i1=i;4=23g>4b2349857?le:?77d<6j>168>o51c:8912?28i870:;9;3`a>;3c29>551=9ki01<>7:0``?87713;io63>0`82ff=:99h1=oj4=02f>4dc34;;j7?md:?255<6jm16=5751b1894>f28i870?7b;3`7>;60j0:oh5219f95fc<583:64>cd9>5<2=9jo01<7::0af?87>03;o=63>9882`4=z{=<86=4={<65a?7e>27?:>4=299~w1032909w0:93;3a3>;3>=09>55rs546>5<5s4>=?7?m8:?720<5:11v<67:180821>3;i;63;6782f==:9121>?64}r652?6=:r7?:;4=299>033=9k=0q~?7f;297~;3>>0:n:5247595g><582m6?<7;|q722<72;q68;9523:8910228h37p};6983>7}:?64=546>4e43ty?:44?:3y>03?=:;20198::0af?xu3>h0;6?u247c967><5=<>6;|q72g<72;q68;l523:8910228n97p};6b83>7}:?64=546>4b43tyo57>53z?``?7e>27on7?m8:?ge?4502wxoi4?:dy>ga<5:116h?4>c29>`6<6kl16h94>d09>76?=9m;01>:>:0`4?851l3;i;63;d582f2=:bg9>52c=9kl0q~j7:1818b228h=70j7:30;?xudm3:1>v3kb;3a3>;dm38946s|dc83>7}:lk09>552d`82f3=z{jl1<7?64}rf3>5<5s4in674?3ty8?54?:2y>`4<6j>16h<4>b99>76>=:;20q~j>:1818b62;8370j?:0`4?xuc:3:1>v3k2;01<>;c83;i46s|43094?d|5m91=i?4=e695a4<5:926d09>01g=9m;0196?:0a0?82?93;h?63;7582f==:<;81>?64=322>4d?3ty:i94?:02x9a5=9m801i:51e18965>28n870:;8;3g5>;3<00:h?5245c95a4<5=8<644>b99>07g=9k201e`82f==:9lh1=o64=0g`>4d?34;nh7?m8:p`6<72;q6h>4=299>`5<6k:1vi:50;0x9a2=:;201i>51bg8yv5?k3:1==u2d782f==:l>0:n55239a967><5:3;6b69>77m:0`4?85f;3;i;634d0349i57?m7:?0fd<6j>16?ol51c5896e528h37p}<9783>c}:l?0:o>52d682g6=:;0;1=o64=2;1>4d?3492:7<=8:?0=d<6j116?4l51c:896g328h<70=n6;3a<>;4io0:n5523c295g><5:h26b99>7f4=9j90q~=6f;29b~;c>3;hi63k7;3`a>;4180:o>5238095f5<5:3m6?<7;<1b7?7e0278m94>b99>7d0=9j901>o8:0`4?85fn3;h?634e4349in7?l3:?0g7<6kl1v>on:18a8b128n:70j8:0f2?85>93;hi63<9382g`=:;h=1=o64=2cb>74?349ji7?m7:?0f<<6kl16?oo51bg896de28in70=l2;3g5>{t;k>1<77t=e495a4<5m=1=i<4=2;2>4b63492>7?k1:?0e2<6k:16?o:523:896d?28h<70=mb;3g5>;4k;0:h?5rse494?4|5m<1>?64=e:95g154z?g3?7c;27?>84>b69>073=9k201?64}r624?6=:r7o;7?k5:?755<5:11vi950;0x9a1=:;201i651c:8yv46:3:1?v3=0682f3=::8>1=o64=330>74?3ty9<:4?:05x97602;8370;58l0:oh5221d95a2<5;;;6d59>74g=9m<01>?m:0f5?856k3;o:63<1e82`3=:4d?34?;o7?m7:?612<6j>16==951cf8946?28ho70??9;3a`>;68h0:ni5211`95gc<58:n6bd9~w76?2909w0<>4;3a3>;58109>55rs337>5<5s48:87<=8:?156<6j?1v?>6:18184703;i;63=08816==z{;:j6=4={<03;58k0:n55230:967>52z?14g<5:116>=o51c58yv77=3:1?v3=0b82f2=::9i1=o64=026>74?3ty965e=:;201?>n:0`;?xu3;<0;6;u221f95a7<5;:n6;<601?45027??h4>b99>06`=9j901k<51c:8yv47l3:1>v3=0e816==::9k1=n=4}r03a?6=:r7965g=9jo0q~55221c95a752z?155<5:116>=o51e08yv4693:1>v3=10816==::9k1=i=4}r12b?6=;r78=94>b79>774=9k201>7}:;;81>?64=203>4d13ty8><4?:3y>777=:;201>0:n:5230595g><5ko1>?64}r12=?6=:r78=44=299>74c=9k=0q~=>a;296~;49h09>55230g95g>52z?05g<5:116?v3<1b816==:;8o1=nk4}r12`?6=:r78=i4=299>74c=9m;0q~?i8;296~;6n80:n;521g;967>53z?2b4<5:1169=751c58906>28h37p}>f283>7}:9o31=o84=0d0>74?3ty:j?4?:3y>5c?=ll16=k<523:8yv7a<3:1>v3>f282f2=:9o>1>?64}r3e1?6=:r7:j>4>b99>5c3=:;20q~?i6;296~;6n?09>5521g795g19i7>52z?2b2<6j1168?k523:8yv7a?3:1>v3>f6816==:9o?1=o64}r02g?6=:r79=84>b79>64b=:;20q~<>5;297~;59<09>55254695g1<57}::8n1hh52204967>52z?152<6j>16><6523:8yv4613:1>v3=1682f==::831>?64}r02e?6=:r79=l4=299>64?=9k=0q~:;e;296~;59k0:n55245g967>52z?15g<5:116><751c:8yv4fj3:1?v3=a582f3=::hn1=o64=3c`>74?3ty9m94?:0:x97g32;8370;5i00:h8522`c95a3<5:?>6c19>736=9j:01>8>:0a3?851:3;h<63<6282g5=:;?>1=n>4=07e>4e734;=<7?l0:?224<6k916=;951cg8941f28hn70?8b;3aa>;6?j0:nh5218a95f6<583o6c19>5d6=9j:0q~52z?1ea<5:116>lm51c48yv4f>3:1>v3=a482f2=::h<1>?64}r0b3?6=:r79m84>b99>6d1=:;20q~5522`595g152z?1e<<5:116>l951c:8yv4fi3:1>v3=a`816==::h=1=n=4}r0a1?6=;r79mh4>b79>6g1=9k201?l9:30;?xu5il0;6<74?348i>7?l3:?1f6<6l?16>o:51e48965128h<70=80;3a<>;4?80:n5524e595g><5=h<64>c19>53d=9k201<8l:0`;?871l3;i463>7e82g5=:9>o1=n>4=0c7>4d?34;j97?m8:p6d`=838p1?l8:0`4?84fn38946s|2c594?4|5;h<6?<7;<0a2?7e>2wx>o>50;0x97ga28h<70{t:k;1<74d?348i=7<=8:p6g4=838p1?l=:30;?84e93;i;6s|31c94?0|5;h8675c=9j901>>i:0f5?85683;o:6s|2c194?4|5;h86?<7;<0a5?7e02wx>o:50;0x97d32;8370{t;8;1<7=t=22b>4d1349:?7?m8:?057<5:11v>>m:181856;3;i;63<0c816==z{:;86=4={<127?450278=?4>b79~w66d2909w0=?b;3a3>;48j09>55rs22g>5<5s49;n7?m8:?04a<5:11v>>j:181857m389463<0e82f2=z{::m6=4={<13b?450278b99~w6772909w0=>0;01<>;48m0:o>5rs21b>5<5s498=7?m6:?07g<5:11v>=>:18a8549389463<3782f==:;:=1=i?4=24f>4d034>o97?m7:?7f2<6k:16ii4>d39>534=9j;01<8<:0a2?870l3;h=63>7d82g4=z{:926=4={<10278?44=299~w6552909w0=;4;;09>55rs210>5<5s498>7?m7:?076<5:11v>=;:181854:3;i463<35816==z{:9>6=4={<101?450278?94>b69~w6512909w0=<6;01<>;4;=0:n55rs214>5<5s498;7<=8:?071<6k:1v>:=:181854k3;i:63<42816==z{:9h6=4n{<10g?4502788<4>b99>73`=9k=019j9:0`4?82e?3;hi63jd;3g7>;6>;0:o?5217195f4<58=o6j50;0x962428h=70={t;:o1<74d03498i7<=8:p76`=838p1>=k:0`;?854n38946s|35294?4|5:>;6?<7;<10b?7e?2wx?9?50;0x96262;8370={t;=h1<74d1349?o7<=8:p712=83=p1>:;:30;?85313;hi63<4`82`4=:9<21=i?4=050>4eb34;<87?k1:?2e2<6kl1v>:::181853k3;i:63<44816==z{:>=6=4={<171?7e?2788;4=299~w6202909w0=;5;3a<>;4<>09>55rs26;>5<5s49?47<=8:?002<6j>1v8o7:18485313;o=63<4`82`7=:=h21>?64=07;>4b534;v3<48816==:;==1=o64}r17e?6=:r788l4=299>711=9j90q~=:9;296~;4514y>71b=:;2019ok:0`b?87213;o:63>5`82`3=:94df34;=>7?l4:?223<6jh16=;k51c:8940a28in70?85;3g2>;6??0:h;5216595gg<58=o64>cd9>5=2=9m<01<6::0f5?87?>3;im63>a782f==:9h21=nk4}r164>b79>70>=:;20q~=:3;297~;4=:09>55234d95g1<5:?m67}:;=o1=o94=26e>74?3ty89=4?:3y>71c=9k201>;?:30;?xu6090;6>u234395g1<5:?:6{t;<81<774?349><7?m8:p702=838p1>;;:30;?85203;i;6s|34`94?72s49>97?l1:?013<6k816?8951b38963e2;8370=90;3`5>;4>80:o<5237095f7<5:<86;<150?7d927:9k4>c09>536=9j;01<8>:0a2?871?3;ij63>7`82fc=:9>h1=oh4=05`>4da34;2o7?l1:?2=a<6k816=4k51b3894?a28i:70?n0;3`5>{t;74?349>47?m8:p700=838p1>;9:30;?85203;h?6s|34594?4|5:?<6?<7;<16{t;4d1349>o7<=8:p70b=838p1>;l:0`4?852l38946s|34g94?4|5:?h6{t;?:1<774?349>i7?m8:p737=838p1>8>:30;?852m3;h?6s|37094?4|5:<96?<7;<16a?7dm2wx?;=50;0x96042;8370=:e;3g5>{t;?>1<774?349>i7?k2:p724=838p1>88:0`5?850;38946s|37594?7>s49=;7<=8:?02a<6j116?;k51c:8960a28h370=80;3`7>;4?80:o>5256295g><58?36b89>50d=9kh01<;l:0`a?872n3;h>63>6182g7=:9?;1=n<4=0;`>4e534;2h7?l2:?2=`<6k;16=4h51b0894g728i970?n4;3`7>;6i<0:o>521`495f5<58k<6{t;?31<74d0349=57<=8:p73g=838p1>87:0`;?851i38946s|14494?5|5:;4>h0:n:5rs0c1>5<4s49=o7?m7:?02f<6j116=l<523:8yv51k3:1>v3<6b816==:;?k1=o64}r694?7fs49=h7?l3:?02`<6k:16?;h51b18961728in70=81;3`a>;32;8370?:8;3g0>;6=00:nl5214c95gg<58?i6c59>536=9j>01<8>:0a7?871:3;h963>6282g1=:90i1=n:4=0;g>4e334;2i7?l4:?2=c<6k=16=l>51b6894g328in70?n5;3`a>;6i?0:oh521`595a5<58k367}:;?o1>?64=24b>4eb3ty8:k4?:3y>73`=:;201>8n:0f2?xu4?90;6?u2362967><5:7}:<;o1=o84=517>74?3ty??=4?:3y>062=9k<019=?:30;?xu3:o0;6?u24269``=:<;l1>?64}r605?6=:r7??=4>b69>067=:;20q~:<2;296~;3;90:n552420967>>97>57z?76c<6j>168?h51c:8912a28h<70:;f;3a<>;3=<09>55248795g1<5=3>6;51c48912528h370:;1;01<>{t<:<1<74d034>8:7<=8:p014=838p19:=:30;?82393;i:6s|42594?4|5=9=6650;0x915128h370:<8;01<>{t<:31<774?34>847?m7:p06g=838p19=n:30;?82403;i46s|ed83>6}:<:h1=o94=51a>4d?34on6?<7;|q77g<72;q68>l523:8915?28i87p};f183>6}:<:i1=o94=51`>4d?34>m<7<=8:p06e=838p19=l:30;?82403;hi6s|42f94?4|5=9o6?<7;<60k50;0x915b2;8370:<8;3g6>{t<:l1<774?34>847?k3:p01d=839p19:<:0`5?823l3;i463;4b816==z{=>86=4>9z?706<5:11689951b18912?28n970:;9;3g7>;3524`f95gd<58?26be9>50e=9kn01<8<:0a6?871>3;in63>6d82g6=:9?l1=i?4=056>4d>34;<:7?m9:?232<6jk16=:k51b6894>528i870?73;3g5>;60=0:n45219795g?<582=6d29~w1232909w0:;d;3a3>;3<=09>55rs56g>5<5s4>?h7<=8:?70f<6j?1v9:::181823<3;i;63;44816==z{=>=6=4={<670?7e027?8;4=299~w1202909w0:;7;01<>;35<5s4>?47<=8:?703<6j11v9:6:1818231389463;4782g6=z{=>j6=4={<67e?45027?8;4>cd9~w1342909w0:;e;3a2>;3==09>55rs573>5<5s4>>87?m6:?715<5:11v9:i:181822<3nn70:;f;01<>{t<<;1<74d034>>=7<=8:p004=838p19;?:0`;?822:38946s|5`794?5|5<3j6m;4=299~w0?f290hw0;6a;01<>;21o0:o>525`295a0<5=o4>cd9>174=9jo01<<7:0f2?87513;o>63>2b82fg=:9;n1=om4=00f>4dd3ty>m94?:3y>1d5=9k<018o;:30;?xu2i:0;6>u25`1967><589:6{t=h=1<774?34?j:7?m6:p1k38946s|58f94?4|5<3i6;6:k09>55rs4;f>5<5s4?2i7<=8:?6=a<6j>1v87i:18183>n389463:9e82f==z{5i4>c29~w0g62909w0;n1;01<>;21m0:oh5rs4c1>5<5s4?j>7<=8:?6=a<6l81v9j7:18082dl3;i:63;d`82f3=:?64}r6ge?6=9=q68io523:891b328h370:k5;3a<>;3l?0:n5524e595f5<58<=6c29>53e=9j901<8k:0a0?871m3;hi63>6g82`7=:9>91=i<4=057>4b434;<97?ma:?233<6jh16=:951ca8941f28i;70?8b;3`4>;6?j0:o=5rs5af>5<5s4>o57?m6:?7g`<5:11v9mi:18182dm3;i;63;cg816==z{=n;6=4={<6`a?7e027?h=4=299~w40>2908w0:k1;3a3>;3l80:n55217;967>o=7>52z?7`4<5:1168i>51c58yv7093:1?v3;d382f2=:74?3ty?h?4?:3y>0a4=:;2019j?:0`;?xu3l:0;6?u24e1967><5=n;67}:?64=5f3>4b63ty?h;4?:3y>0a0=:;2019j?:0f1?xu3l>0;6?u24e5967><5=n;641|56?<7;<6`2?7e?27?o;4>b99>61b=9k201?:j:0`4?84213;i463=5`82f2=::?:1=o64=342>4d0348=;7?m8:?12=<6j>16>;k51c:8970a28h<70<85;3a<>;5??0:n:5226a95g><5;=o6b99>6=g=9k201?6m:0`;?84>93;i463=9382f==z{27>n;4=299~w0d02909w0;m6;3a3>;2j>09>55rs4`;>5<5s4?i:7?m8:?6f=<5:11v?64}r7a=?6=:r7>n44=299>1g>=9k=0q~;=a;297~;2jh0:n:525cc95g><5<8j6?<7;|q6fd<72;q69oo523:890d?28h37p}:bc83>7}:=kh1>?64=4`;>4e43ty>nn4?:3y>1ge=:;2018l7:0af?xu2?k0;64b534?ii7?k2:?6`3<6kl169i951bg890b?28in70;8b;01<>;21?0:h<5258595a7<5n=4>d39>1g7=9m80184b434;987?k3:?27g<6l;16=>m51e08942328n:70?;5;3g5>;6<10:h?5215;95a4<58>j6d39>507=9m80q~;md;296~;2jm09>5525c:95a752z?6f`<5:1169o651e08yv3en3:1>v3:bg816==:=k21=i=4}r7g=?6=;r7>oh4>b79>1ad=9k2018jn:30;?xu2ko0;6?u25e`95g1<57}:=jl1=o94=4f3>74?3ty>h<4?:3y>1f`=9k2018j>:30;?xu39h0;6>u25e095g1<5{t:031<7=t=4f0>4d034?o?7?m8:?1=<<5:11v8j<:18183c;389463:d082f==z{h<4>c29~w0b22909w0;k5;01<>;2l80:oh5rs4f5>5<5s4?o:7<=8:?6`4<6l81v8j8:18183c?389463:d082`7=z{h<4>d29~w6`d2909w0=i4;3a2>;4nm09>55rs2d7>5<50r78j94=299>7c?=9j901>hn:0af?85aj3;h?634d?349nj7?m8:?0b4<6kl16?h:51b1896be28i870=kc;3`7>;3:>0:h>5243:95a5<5=826c29>5ac=9j901e082g`=:9l31=i?4=0gb>4b634;nn7?k1:?2af<6l816=hj51e38932=9j901;;51b1891g528i870:n3;3`7>;3k10:n5524d695g><5=o>6c29>15d=9j9018>l:0af?832=3;h?63:5782g6=:=<=1=nk4}r1e1?6=:r78ji4>b79>7c3=:;20q~=i6;296~;4n<0:n:523g4967>52z?0b0<6j116?k9523:8yv5b?3:1=hu23g:95g1<5:l26b69>7`1=:;201>kl:0`4?85b;3;i;634eb34>947?le:?76<<6kl168?o51bg894bc28h<70?ke;3a3>;6m00:n5521dc95fc<58oi6cd9>21<6j>16:84>b69>0d4=9k=019o<:0`4?82b<3;i;63;fe82f2=:=9k1=o94=42a>4d034?>97?m7:?613<6j>1v>ji:182<~;4n10:n5523gc95f5<5:li67`5=9k201>jm:0`;?85ck3;i;63;2682`4=:<;21=i?4=50:>4b634>9m7?k1:?2``<6j116=ih51c:894c728h370?j1;3a<>;6m00:o>526482f==:4d?34?;m7?m8:?64f<6j11698;51c:8903028h37p}41|5:l36c29>7`5=9j901>k;:0`;?85c?3894634b534>957?k2:?76d<6l;16=ij51c:894ba28i870?j0;3`7>;6m80:o>521d;95fc<5?>1=o64=5c0>4d?34>n97?m7:?64g<6j1169=m51b18903128h370;:7;3`7>{t;o21<774?349m;7?m7:p7c?=838p1>h6:30;?85a?3;i46s|3gc94?4|5:lj6?<7;<1e3?7d;2wx?i?50;6x96`e28in70?ke;3`a>;4l809>5526482g`=z{:li6=4={<1ef?450278j:4>cd9~w0ee2908w0;l2;3a2>;2km0:n5525ba967>52z?6ga<6j>169n=523:8yv3dl3:1>v3:ce816==:=ji1=o84}r7`0?6=:r7>o>4>b69>1f2=:;20q~;l5;296~;2k:0:n5525b7967>52z?6g3<5:1169n;51c58yv3d?3:1>v3:c6816==:=j?1=o64}r7`o54=299>1f3=9j90q~;l9;296~;2k009>5525b795fc52z?6gd<5:1169n;51e38yv3b?3:1?v3:db82f3=:=l31=o64=4g;>74?3ty>hi4?:3y>1`?=9k=018jk:30;?xu2m00;6?u25d;967><57}:=mn1=o64=4fe>74?3ty=o7>53z?6a5<6j>169h>51c:893e=:;20q~;j0;296~;2m909>5525ed95g1<97>53z?6a4<6j>169h?51c:891122;837p}:e083>7}:=l;1>?64=4fe>4d?3ty>i?4?:3y>1`4=:;2018ji:0a0?xu2m:0;6?u25d1967><57}:=l?1>?64=4fe>4b53ty>i;4?:3y>1`0=:;2018ji:0f0?xu4n;0;6?u23d595g0<5:l86?<7;|q0b4<72;q6?k>51c4896`62;837p}6}:;o:1>?64=0f`>4d034;oo7?m8:p7`>=838p1>h<:0`5?85b038946s|3d;94?4|5:o36{t4d0349nn7?m8:?7g2<5:11v>km:18185bj389463b99~w6da290>w0=jd;3`7>;3:>0:h9521ed95a7<58oj6{t;>>1<7;t=2gf>4e434>947?k4:?2a5<6l816=hl51e0896132;837p}<7`83>0}:;lo1=nk4=50;>4b234;n<7?k2:?2ag<6l:16?:o523:8yv5bm3:1>v3c29>07?=9m>01:0f2?87bk3;o>63<81816==z{:2=6=4:{<1fb?7dm27?>44>d49>5`7=9m80138946s|3dd94?4|5:om6?<7;<1fe?7c92wx?kk50;7x96`628n:70:=a;3g0>;6mm0:h?523gg967><5=i3670?jd;3g7>;38=09>5524b:95fc52z?0`c<6j?16?h8523:8yv5b83:1>v3?64}r1f5?6=:r78i=4>b69>7`7=:;20q~=j2;296~;4m90:n5523d0967>52z?0a6<5:116?h<51c58yv5b<3:1>v3b79>7ac=:;20q~=k8;296~;4ll0:n;523e:967>52z?0`=<6j>16?i7523:8yv5ci3:1>v3?64}r1gf?6=:r78ho4=299>7ag=9k=0q~=kc;296~;4lj09>5523ec95g>3:7>52z?73g<6j?16859523:8yv2?=3:1>v3;8582f3=:<1?1>?64}r6;0?6=;r7?494=299>16d=9k=018=m:0`;?xu3?j0;6?u249595g0<5==h6?<7;|q73a<72;q68:m51c58911c2;837p};7d83>7}:<>i1=o64=55f>74?3tymh7>53z?73c<6j>168:h51c:89cb=:;20q~:8f;296~;3?o09>55246g95g13<7>52z?7<5<5:1168:k51c:8yv2?93:1>v3;80816==:<>o1=n=4}r6;6?6=:r7?4?4=299>02c=9jo0q~:73;296~;30:09>55246g95a753z?07}:;0?1=o94=2:g>74?3ty8584?:3y>7<3=:;201>7;:0`5?xu40l0;6?u239f95g1<5:2n6?<7;|q0a2;837p}g}:;0:1=o64=2;2>4b53492o7?m7:?0e0<6j>16?lk51c:896ga28in70=m8;3a<>;4j00:h<523b7967><5:in6;41j0:n5523`795g><5:kn6c29>7gg=9m;01>mm:30;?xu4190;6?u2382967><5:2m6a28h37p}<9383>7}:;081>?64=2:e>4e43ty85i4?:3y>7<0=9k<01>7j:30;?xu41>0;6?u238g95g0<5:3<6?<7;|q0==<72;q6?4951c5896??2;837p}<9883>7}:;0=1=o64=2;:>74?3ty85l4?:3y>776:0`4?xu41k0;6?u238`967><5:32628i87p}7}:;0l1=o84=2c:>74?3ty8m=4?:3y>7d?=9k<01>o?:30;?xu4i80;6?u23`295g1<5:k:6?<7;|q0e7<72;q6?l>51c:896g52;837p}7}:;h91>?64=2c1>4d03ty8m94?:3y>7d2=:;201>o=:0`;?xu4i<0;6?u23`7967><5:k967}:;h=1>?64=2c1>4b63ty?;44?:3y>03`=9k<0199n:30;?xu3?10;6?u246795g0<5==36?<7;|q735<72;q68:o51c4891172;837p};7083>7}:<>:1=o94=552>74?3ty?;?4?:3y>026=9k20199=:30;?xu3?:0;6?u2461967><5==967}:<><1>?64=55;>4d03ty?;:4?:3y>021=:;201997:0`;?xu4j80;6>u23`c95g0<5:h86{t;k91<774?349i>7?m6:p7de=838p1>om:0`4?85fk38946s|3`f94?4|5:ki6{t;hl1<774?349jh7?m8:p7g6=838p1>l?:30;?85fl3;h?6s|3ca94?5|5:h?6;4j<09>55rs2`f>5<5s49ii7<=8:?0fa<6j?1v>l9:18185e=3;i;63;4j>0:n:5rs2`:>5<5s49i57<=8:?0f2<6j11v>ln:18185ei389463cd9~w7eb2908w0;5l90:n5522bd967>52z?1`5<6j>16>nl523:8yv4c83:1>v3=d1816==::jl1=o84}r0`g?6=:r79oo4>b69>6fe=:;20q~53z?1aa<6j?16>k=51c:897`52;837p}=ed83>7}::o91=o94=3gf>74?3ty9j>4?:3y>6c5=:;201?h=:0`5?xu5mo0;6?u22dg95g1<5;om6?<7;|q1b5<72;q6>hk51c:897`72;837p}=f983>6}::o>1=o84=3db>4d?348m57<=8:p6c3=838p1?hn:0`4?84a=38946s|2gc94?4|5;lj6?<7;<0e=?7e>2wx>k850;0x97`228h<70{t:o=1<74d?348m;7<=8:p07e=838p19<=:0`5?825l38946s|43`94?4|5=8=6;6m10:n55rs500>5<5s4>9h7?m6:?766<5:11v9<;:181825;3;i;63;25816==z{=8>6=4={<617?7e027?>84=299~w1402909w0:=7;01<>;3:k0:n:5rs50;>5<5s4>947<=8:?76g<6j11v9<6:1818251389463;2c82g6=z{=8j6=4={<61e?45027?>o4>cd9~w7b22908w0;5l>0:n5522e4967>7>52z?1`2<6j>16>i<523:8yv4c?3:1>v3=d6816==::m<1=o84}r0g7?6=:r79h?4>b69>6a5=:;20q~53z?1`=<6j?16>ik51c:897bc2;837p}=d883>7}::mo1=o94=3f:>74?3ty9hh4?:3y>6ac=:;201?jk:0`5?xu5lh0;6?u22e;95g1<5;nj6?<7;|q1`g<72;q6>i751c:897be2;837p}=e283>6}::ml1=o84=3g6>4d?348n87<=8:p6`6=838p1?k::0`4?84b838946s|2d794?4|5;o>6?<7;<0f0?7e>2wx>h?50;0x97c728h<70{t:l81<74d?348n>7<=8:p6`g=839p1?k9:0`5?84bk3;i463=ec816==z{;o<6=4={<0fg?7e?279i:4=299~w7cd2909w0;5mk0:n;5rs3g;>5<5s48n;7?m7:?1a=<5:11v?k6:18184b?3;i463=e8816==z{=<;6=4={<661?7e>27?:<4=299~w1312909w0:91;3a2>;3=?09>55rs574>5<5s4>>:7?m7:?712<5:11v9;7:181822>3;i463;59816==z{=?26=4={<66=?45027?954>b69~w13f2909w0::a;01<>;3=10:n55rs013>5<4s4>>n7?m7:?71g<6j116=>>523:8yv22j3:1>v3;5c816==:<<21=n=4}r07b?6=;r7?9n4>b69>00e=9k201?:i:30;?xu3=j0;6?u244a967><5=?367}:<?64=57;>4b53ty?9k4?:3y>00`=:;2019;7:0f0?xu2?o0;6?u256`95g0<5<2;6?<7;|q63f<72;q695>51c48901d2;837p}:7e83>7}:=>i1=o94=45g>74?3ty>;h4?:3y>12e=9k20189j:30;?xu6m;0;6?u21e:95g0<58o86?<7;|q2`<<72;q6=h=51c4894b>2;837p}>d`83>7}:9m31=o94=0fb>74?3ty:ho4?:3y>5a?=9k201<58ni6dd83>7}:9mo1>?64=0fa>4e43ty:hk4?:3y>5a`=:;201<58ni6;|q2a4<72;q6=h?523:894be28n97p}>ed83>6}:9l>1=o84=0d3>4d?34;nj7<=8:p5`3=838p12wx=h850;0x94c228h<70?j6;01<>{t9l=1<74d?34;n;7<=8:p5`>=838p1{t9lh1<774?34;n;7?le:p5`e=838p1kh50;1x97`e28h=70=?1;3a<>;48909>55rs3d`>5<5s49;=7?m7:?1bf<5:11v>>>:1818579389463<0182f3=z{;lo6=4={<0eg?7e?279ji4=299~w7`b2909w0;5nl09>55rs2a:>5<5s49h97?m6:?0gd<5:11v>m9:18185di3;i:63;4k109>55rs2f6>5<5s49o=7?m6:?0`3<5:11v>j=:18185c>3;i:634=299~w6b32909w0=k2;3a<>;4l=09>55rs0db>5<4s49o87?m7:?0`1<6j116=ko523:8yv4>n3:1>v3=9482f3=::h:1>?64}r0:a?6=:r79544>b79>652z?1=3<6j>16>49523:8yv4>03:1>v3=9782f==::021>?64}r0:e?6=:r795l4=299>655228g95g>52z?1=f<5:116>4k51b18yv4>l3:1>v3=9e816==::0o1=nk4}r1;0?6=:r784=4>b79>7=3=:;20q~=71;296~;40<0:n;52393967>7>52z?0<4<6j>16?5<523:8yv5?;3:1>v3<8082f==:;191>?64}r1;e?6=:r784;4>b79>7=d=:;20q~=77;296~;40k0:n;52395967>52z?0<2<6j>16?56523:8yv5?13:1>v3<8682f==:;131>?64}r1`7?6=:r78nk4>b79>7f2=:;20q~=l0;296~;4k=0:n;523b2967>52z?0g5<6j>16?n?523:8yv5d:3:1>v3?64}r1`b?6=:r78oo4>b79>7a6=:;20q~=lc;296~;4l90:n;523ba967>52z?0gf<6j>16?nj523:8yv5dm3:1>v3?64}r63a?6=:r7?b79>05`=:;20q~:?b;296~;38o0:n;5241`967>;o7>52z?74g<6j>168=m523:8yv27l3:1>v3;0c82f==:<9n1>?64}r620?6=:r7?==4>b79>043=:;20q~:>1;296~;39<0:n;52403967>:>7>52z?754<6j>168<<523:8yv26;3:1>v3;1082f==:<891>?64}r45>5<5s4<;674?3ty=<7>52z?54?45027?4i4>b69~w37=838p1;951c48937=:;20q~8=:18180628h<708=:30;?xu1;3:1>v391;3a<>;1;38946s|6583>7}:>=09>5526282f2=z{??1<7<5?91=o64}r51>5<5s4<3674?3ty=47>52z?5b99~w27=838p1;m51c48927=:;20q~86:18181428h=7086:30;?xu1i3:1>v399;3a3>;1i38946s|6c83>7}:>00:n5526c816==z{?n1<7<5>;1=o94}r4f>5<5s44d?3ty=j7>52z?5b?45027<=7?l3:p35<72;q6;=4=299>34<6kl1v?>::18187ai3;i:63=07816==z{8li6=4={<032?7e>27:jo4=299~w4`d2909w0?ib;3a3>;6nj09>55rs0dg>5<5s4;mn7?m8:?2ba<5:11vk850;1x94`b28h<70?ie;3a<>;a>38946s|1gg94?4|58ln6?<7;<3e`?7e?2wx9>j50;1x94`a28h<70?if;3a<>;2;m09>55rs0de>5<5s4;mj7<=8:?2ba<6j11v?>?:1818478389463>fe82g6=z{;::6=4={<035?45027:ji4>cd9~w7652909w0;6nm0:h<5rs320>5<5s48;?7<=8:?2ba<6l;1v?>;:181847<389463>fe82`6=z{:8m6=4={<11f?7e>278?=4=299~w64d2909w0=<0;3a2>;4:j09>55rs20g>5<5s499o7?m7:?06a<5:11v>278;44=299~w6122909w0=89;3a2>;4?<09>55rs255>5<5s49<97?m7:?033<5:11v>98:181850=3;i463<76816==z{:=n6=4={<14e?7e>278;k4=299~w61e2909w0=8f;3a2>;4?k09>55rs25`>5<5s499k:181850j3;i463<7e816==z{=:96=4={<1ea?7e>27?<>4=299~w6`a2909w0:?3;3a2>;4no09>55rs523>5<5s49mj7?m7:?745<5:11v9>>:18185an3;i463;00816==z{=:36=4={<630?7e>27?<44=299~w1622909w0:?9;3a2>;38<09>55rs525>5<5s4>;97?m7:?743<5:11v9>8:181827=3;i463;06816==z{=8;6=4={<622?7e>27?><4=299~w1712909w0:>6;01<>;31?0:n55rs53e>5<5s4>:m7?m6:?75c<5:11v9?8:18182593;i:63;16816==z{=;36=4={<623?7e?27?=54=299~w17>2909w0:>7;3a<>;39009>55rs53a>5<5s4>:n7<=8:?75c<6j>1v9?l:181826k389463;1g82f==z{=;o6=4={<62`?45027?=k4>c29~w17b2909w0:>e;01<>;39o0:oh5rs4;;>5<5s4?3h7?m6:?6=<<5:11v86k:18683?l389463:1c82`4=:=;81=i?4=00;>4b534;957?k3:p1=c=838p1876:0`5?83?m38946s|59d94?4|5<2n650;0x90>b28h370;60;01<>{t9==1<7=t=4;2>4d034?2=7?m8:?202<5:11v87>:18183>9389463:9182f2=z{8>n6=4<{<7:6?7e?27>5?4>b99>51c=:;20q~;62;296~;21;09>55258295g>52z?6=6<5:11694>51b18yv3><3:1>v3:95816==:=0:1=nk4}r7:1?6=:r7>584=299>1<6=9m;0q~;66;296~;21?09>55258295a452z?6=2<5:11694>51e18yv3e;3:1>v3:a982f3=:=k>1>?64}r7b=?6=:r7>n94>b79>1d?=:;20q~;na;296~;2i00:n:525`c967>52z?6e<<6j1169ll523:8yv7403:1?v3:ab82f2=:=hi1=o64=01;>74?3ty>mn4?:3y>1de=:;2018om:0`4?xu6;o0;6>u25`f95g1<5{t=ho1<774?34?jn7?l3:p1d`=838p18oi:30;?83fj3;hi6s|5c294?4|5{t=k81<774?34?jn7?k3:p0d2=838p197j:0`5?82f=38946s|48d94?4|5=k>650;0x91?a28h<70:n0;01<>{t4d?34>j=7<=8:p0d4=838p19o=:30;?82f93;i;6s|4`194?4|5=k86?<7;<6b5?7e02wx8n750;0x91e428h=70:la;01<>{t4d134>h47<=8:p0f2=838p19mn:0`5?82d<38946s|4b794?4|5=i?6{t4d134>n;7<=8:p0`7=838p19k8:0`5?82b938946s|4d094?4|5=o:6{t1<774?34>n?7?m7:p0`3=838p19k::30;?82b;3;i46s|4gg94?4|5=l36{t4d034>mm7<=8:p0cd=838p19h6:0`;?82aj38946s|4ga94?4|5=lh6?<7;<6ef?7e?2wx8kj50;0x91`c2;8370:ib;3a<>{t=9n1<74d134?;i7<=8:p150=838p18>j:0`5?837>38946s|51594?4|5<:=6{t=931<774?34?;47?m7:p15g=838p18>n:30;?83703;i46s|51`94?4|5<:i6?<7;<73{t=<21<74d134?>57<=8:p107=838p18;6:0`5?832938946s|54094?4|5{t=<>1<774?34?>?7?m7:p103=838p18;::30;?832;3;i46s|54494?4|5{t:<>1<74d1348>87<=8:p605=838p1?:i:0`5?842;38946s|25c94?4|5;??69l50;0x972f28h<70<;b;01<>{t:=i1<74d?348?o7<=8:p61b=838p1?:k:30;?843k3;i;6s|25g94?4|5;>n6?<7;<07g?7e02wx>8>50;0x97372;8370<:3;3a3>{t:<;1<774?348>?7?m8:p604=838p1?;=:30;?842;3;h?6s|24`94?4|5;?>68850;0x973e28h=70<:6;01<>{t:<=1<74d0348>;7<=8:p60>=838p1?;9:0`;?842038946s|24;94?4|5;?26?<7;<068o50;0x973f2;8370<:8;3a<>{t:?81<74d1348=>7<=8:p60b=838p1?8=:0`5?842l38946s|24g94?4|5;?o68h50;0x973c28h370<:f;01<>{t:?:1<774?348>j7?m7:p637=838p1?8>:30;?842n3;i46s|27;94?4|5;<86;:50;0x970>28h=70<94;01<>{t:??1<74d0348=97<=8:p630=838p1?8;:0`;?841>38946s|27594?4|5;<<6?<7;<052?7e?2wx>;650;0x970?2;8370<96;3a<>{t:>:1<74d1348<<7<=8:p63d=838p1?9?:0`5?841j38946s|27a94?4|5;;j50;0x970e28h370<9d;01<>{t:?o1<774?348=h7?m7:p63`=838p1?8i:30;?841l3;i46s|26594?4|5;=:6:<50;0x971028h=70<82;01<>{t:>91<74d03486?<7;<040?7e?2wx>:850;0x97112;8370<84;3a<>{t:>o1<74d1348:l50;0x971>28h370<8b;01<>{t:>i1<774?3485>50;0x97>228h=70<70;01<>{t:1;1<74d03483=7<=8:p6=4=838p1?6?:0`;?84?:38946s|29194?4|5;286?<7;<0;6?7e?2wx>5:50;0x97>32;8370<72;3a<>{t:1i1<74d13483o7<=8:p6=1=838p1?6l:0`5?84??38946s|29:94?4|5;2<65750;0x97>028h370<79;01<>{t:1k1<774?348357?m7:p6=d=838p1?6m:30;?84?13;i46s|28194?4|5;2o65k50;0x97?428h=70<7e;01<>{t:1l1<74d03483j7<=8:p6<6=838p1?6j:0`;?84>838946s|28394?4|5;3:6?<7;<0:4?7e?2wx>4<50;0x97?52;8370<60;3a<>{t4d134>ih7<=8:p0ge=838p19l7:0`5?82ek38946s|4c:94?5|5=h36?<7;4d034om67}:74?3ty?n94?:3y>0g4=9k2019l;:30;?xu3j<0;6?u24c7967><5=h?6b69>0g0=9k201hm523:8yv2e>3:1>v3;b7816==:1=o64}r6a3?6=:r7?n:4=299>0g2=9j90q~:m9;296~;3j009>5524ca95g1im7>52z?7fd<5:1168om51c:8yv2ej3:1>v3;bc816==:=<4>b79>143=:;20q~;>2;296~;29<0:n;52500967>52z?657<6j>169<=523:8yv36<3:1>v3:1382f==:=8>1>?64}r77e?6=:r7>8;4>b79>11g=:;20q~;;7;296~;252z?602<6j>16996523:8yv3313:1>v3:4682f==:==31>?64}r745?6=:r7>:i4>b79>127=:;20q~;9e;296~;2?80:n;5257g967>52z?62`<6j>169;h523:8yv3083:1>v3:6d82f==:=>:1>?64}r6;a?6=:r7?4l4>b79>0=c=:;20q~:7b;296~;30l0:n;5249`967>3o7>52z?71685m523:8yv2?l3:1>v3;8c82f==:<1n1>?64}r6e1?6=:r7?il4>b79>0c3=:;20q~:i4;296~;3n90:n;524g6967>nn7>52z?7b0<6j?168hl523:8yv2bk3:1>v3;ec82f2=:?64}r6f`?6=:r7?io4>b99>0`b=:;20q~:je;296~;3ml09>5524df95g1nj7>52z?7ac<5:1168hj51c:8yv2a93:1>v3;f0816==:1=o94}r6e6?6=:r7?j?4=299>0c2=9k20q~:i3;296~;3n:09>5524g695f552z?663<6j?169>?523:8yv3483:1>v3:2`82f3=:=::1>?64}r713?6=:r7>?<4>b79>171=:;20q~;=8;296~;2:>0:n:5253:967>52z?662<6j1169?7523:8yv75>3:1?v3:2882f2=:=;31=o64=005>74?3ty>>o4?:3y>17d=:;2018=?:0`4?xu2:j0;6?u253a967><5<9;67}:=;o1>?64=413>4eb3ty>>k4?:3y>17`=:;2018=?:0f2?xue>3:1>v3m0;3a2>;e>38946s|b483>7}:j=0:n;52b4816==z{k>1<7=t=c6967><58>;6f3<6j?16n<4=299~wg4=838p1o?51c589g4=:;20q~l<:1818d628h370l<:30;?xud:3:1>v3ma;3a2>;d:38946s|c083>7}:jl0:n;52c0816==z{kh1<7?64}r``>5<5s4hi674?3tyih7>52z?af?7e027ih7<=8:pfc<72;q6nk4=299>g4<6j>1vn>50;0x9f6=:;201n?51c:8yv2>?3:1?v3;9082f3=:?=0:n;5248:967>2>7>52z?7==<6j?1684<523:8yv2>;3:1>v3;9382f2=:<091>?64}r6:0?6=:r7?5?4>b99>0<2=:;20q~:65;296~;31<09>55248695g12:7>52z?7=3<5:11684:51c:8yv36k3:1>v3:1982f3=:=8i1>?64}r72=?6=:r7>=n4>b79>14?=:;20q~;>a;296~;2900:n:5250c967>52z?65<<6j1169v3:1g82f3=:=;91>?64}r714?6=:r7>>>4>b79>176=:;20q~;=1;296~;2:90:n:52533967>7>52z?665<6j1169?<523:8yv33;3:1>v3:3682f3=:==91>?64}r776?6=:r7>?i4>b79>114=:;20q~;<8;296~;2<:0:n;5252:967>52z?67=<6j>169>7523:8yv34i3:1>v3:3982f==:=:k1>?64}r70f?6=:r7>?o4=299>16g=9k=0q~;55252c95g>52z?67`<5:11699<51c58yv34n3:1>v3:3g816==:==81=o64}r774?6=:r7>8=4=299>114=9j90q~;;1;296~;2<809>55255095fcji7>52z?7e=<6j?168lk523:8yv2fl3:1>v3;ab82f3=:?64}r6bg?6=;r7?mn4=299>5=7=9k=01<6>:0`;?xu3i00;6?u24`g95g0<5=k26?<7;|q7ed<72;q68l751c5891gf2;837p};ac83>7}:74?3ty86=4={<695g0<5:09>55rs183>7}:;3;i:63?:30;?xu62909w0>51c5894<5:11v?4?:3y>4?7e02796?<7;|q;>5<5s431=o84=9816==z{00;68u29;01<>;6>>0:o<5216c95f7<58=i6;<34g?7d92wx97>52z?;>4d134?1>?64}r494?4|5<0:n:526;01<>{t?3:1>v3::0`;?81=:;20q~kk:1818cd28h=70kk:30;?xua=3:1>v3je;3a2>;a=38946s|eg83>7}:mo09>552f482f2=z{o:1<7<5o?1=o64}rd2>5<5s4l:6?<7;4e43tym>7>52z?e6?45027m97?le:pb6<72;q6j>4=299>b0<6l81vk:50;0x9c2=:;201k;51e08yv`d2909w0h9:0`5?8`d2;837p}i7;296~;a?389463ic;3a3>{tn10;6?u2f9816==:nj0:n55rsg;94?4|5o31>?64=ga95f574?34lh6;:30;?xuam3:1>v3ie;01<>;68=0:n:5rsgd94?4|5ol1>?64=027>4d?3ty:<=4?:3y>556=:;201<>;:0a0?xu6880;6?u2113967><58:?60283>7}:9991>?64=027>4b53ty:553=9k<01<>l:30;?xu68m0;6>u211495g1<58:=6{t99=1<774?34;;o7?m8:p55>=838p1<>7:30;?877k3;h?6s|11;94?4|58:26?<7;<33g?7dm2wx==o50;0x946f2;8370??c;3g5>{t99h1<774?34;;o7?k2:p547=838p1<>k:0`5?876938946s|11g94?4|58:n6?<7;<325?7e?2wx==h50;0x946a2;8370?>1;3a<>{t98:1<774?34;:=7?l3:p540=838p138946s|10094?5|58;96?<7;<37b?7e?27:8k4>b99~w4742909w0?>3;01<>;69?0:n:5rs037>5<5s4;:87<=8:?253<6j11v1782g6=z{8;o6=4={<323?7e>27:=i4=299~w4702908w0?>7;01<>;69o0:n:5210d95g>52z?25=<5:116=v3>18816==:98n1=o64}r32e?6=:r7:=l4=299>54b=9j90q~?>b;296~;69k09>55210f95fc52z?25f<5:116=v3>1d82f3=:9;?1>?64}r32b?6=:r7:=k4=299>573=9k=0q~?=0;296~;6:909>55213795g>52z?264<5:116=?;51b18yv75:3:1>v3>23816==:9;?1=nk4}r317?6=:r7:>>4=299>573=9m;0q~?=4;296~;6:=09>55213795a452z?263<6j?16=?o523:8yv75?3:1>v3>26816==:9;k1=o94}r3154=299>57g=9k20q~?=9;296~;6:009>55213c95f552z?26g<6j?16=?h523:8yv75k3:1>v3>2b816==:9;l1=o94}r31`?6=:r7:>i4=299>57`=9k20q~?=e;296~;6:l09>55213d95f552z?275<6j?16=>9523:8yv7493:1>v3>30816==:9:=1=o94}r306?6=:r7:??4=299>561=9k20q~?<3;296~;6;:09>55212595f552z?271<5:116=>951bg8yv74=3:1>v3>34816==:9:=1=i?4}r302?6=:r7:?;4=299>561=9m80q~?52z?27<<5:116=>k51c58yv74i3:1>v3>3`816==:9:o1=o64}r30f?6=:r7:?o4=299>56c=9j90q~?55212g95fc52z?27a<5:116=>k51e38yv73>3:1>v3>3g82f3=:9=<1>?64}r374?6=:r7:8=4=299>510=9k=0q~?;1;296~;6<809>55215495g>7>52z?207<5:116=9851b18yv73;3:1>v3>42816==:9=<1=nk4}r370?6=:r7:894=299>510=9m;0q~?;5;296~;6<<09>55215495a452z?202<6j?16=9j523:8yv7303:1>v3>49816==:9=n1=o94}r37=?6=:r7:844=299>51b=9k20q~?;a;296~;655215f95f552z?20g<5:116=9j51bg8yv73k3:1>v3>4b816==:9=n1=i?4}r361?6=:r7:8h4>b79>503=:;20q~?;f;296~;655214795g1<7>52z?215<5:116=8;51c:8yv7293:1>v3>50816==:9503=9jo0q~?:3;296~;6=:09>55214795a787>52z?211<5:116=8;51e08yv72l3:1>v3>5782f3=:9?64}r36a?6=;r7:9:4>b69>501=9k201<;j:30;?xu6=>0;6?u2145967><58?o65883>7}:9<31>?64=07g>4e43ty:9l4?:3y>50g=:;201<;k:0af?xu6=k0;6?u214`967><58?o6;|q21f<72;q6=8m523:8943c28n97p}>6583>7}:974?3ty:9k4?:3y>50`=:;201<8;:0`4?xu6>90;6?u2172967><586383>7}:9?81>?64=047>4eb3ty::>4?:3y>535=:;201<8;:0f2?xu6>10;6?u217795g0<58<36?<7;|q220<72:q6=;;523:8940f28h<70?9a;3a<>{t9?<1<774?34;=47?m7:p531=838p1<88:30;?87103;i46s|16294?4|58<26{t9?h1<774?34;<<7?m8:p53e=838p1<8l:30;?87083;h?6s|17f94?4|58{t9?l1<774?34;<<7?k2:p52>=838p1<9>:0`5?870038946s|16;94?5|58=96;6?10:n:5rs050>5<5s4;7982g6=z{8=>6=4={<341?45027:;54>cd9~w4112909w0?86;01<>;6?10:h<5rs054>5<5s4;<;7<=8:?23=<6l;1v<9i:18187013;i:63>7g816==z{8=j6=4={<34e?45027:;k4>b69~w41e2909w0?8b;01<>;6?o0:n55rs05`>5<5s4;7g82g`=z{8=n6=4={<34a?45027:;k4>d09~w4>02909w0?70;3a2>;60>09>55rs0:2>5<5s4;3=7<=8:?2<2<6j>1v<6=:18187?:389463>8682f==z{8286=4={<3;7?45027:4:4>c29~w4>32909w0?74;01<>;60>0:oh5rs0:6>5<5s4;397<=8:?2<2<6l81v<69:18187?>389463>8682`7=z{82n6=4={<3;27:4h4=299~w4>>2909w0?79;01<>;60l0:n:5rs0:b>5<5s4;3m7<=8:?2<`<6j11v<6m:18187?j389463>8d82g6=z{82h6=4={<3;g?45027:4h4>cd9~w4>c2909w0?7d;01<>;60l0:h<5rs0;5>5<5s4;3j7?m6:?2=3<5:11v<78:18087>83;i;63>9182f==:90=1>?64}r3:4?6=:r7:5=4=299>5<0=9k=0q~?61;296~;61809>55218495g>7>52z?2=7<5:116=4851b18yv7>;3:1>v3>92816==:90<1=nk4}r3:0?6=:r7:594=299>5<0=9m;0q~?65;296~;61<09>55218495a452z?2=2<6j?16=4o523:8yv7>03:1>v3>99816==:90k1=o94}r3:=?6=:r7:544=299>553z?2=g<5:116=l=51c5894g428h37p}>9b83>7}:90i1>?64=0c2>4d03ty:5i4?:3y>5:0`;?xu61l0;6?u218g967><58k:6a183>7}:9h:1>?64=0c2>4b63ty:m44?:3y>5d4=9k<01<58k2628h37p}>a483>7}:9h?1>?64=0c:>4e43ty:m;4?:3y>5d0=:;2010;6?u21`5967><58k26;|q2e=<72;q6=l6523:894g>28n97psa6gd3>5<6std=jk?50;3xyk0an;0;6ol36=4>{|l5bc?=83;pqc8if`83>4}zf?lmn7>51zm2c`d290:wp`9fgf94?7|ug5<6std<<=?50;3xyk178;0;6;:182xh089?1<7?t}o5343<728qvb:>?7;295~{i?9:36=4>{|l445?=83;pqc9?0`83>4}zf>:;n7>51zm356d290:wp`801f94?7|ug=;5<6std<<>7;295~{i?9;36=4>{|l444?=83;pqc9?1`83>4}zf>::n7>51zm357d290:wp`800f94?7|ug=;=h4?:0y~j266n3:1=vsa7103>5<6std<=7;295~{i?9836=4>{|l447?=83;pqc9?2`83>4}zf>:9n7>51zm354d290:wp`803f94?7|ug=;>h4?:0y~j265n3:1=vsa7113>5<6std<<>?50;3xyk17;;0;6<7;295~{i?9936=4>{|l446?=83;pqc9?3`83>4}zf>:8n7>51zm355d290:wp`802f94?7|ug=;?h4?:0y~j264n3:1=vsa7163>5<6std<<9?50;3xyk17<;0;6;7;295~{i?9>36=4>{|l441?=83;pqc9?4`83>4}zf>:?n7>51zm352d290:wp`805f94?7|ug=;8h4?:0y~j263n3:1=vsa7173>5<6std<<8?50;3xyk17=;0;6:7;295~{i?9?36=4>{|l440?=83;pqc9?5`83>4}zf>:>n7>51zm353d290:wp`804f94?7|ug=;9h4?:0y~j262n3:1=vsa7143>5<6std<<;?50;3xyk17>;0;697;295~{i?9<36=4>{|l443?=83;pqc9?6`83>4}zf>:=n7>51zm350d290:wp`807f94?7|ug=;:h4?:0y~j261n3:1=vsa7153>5<6std<<:?50;3xyk17?;0;6?1<7?t}o5333<728qvb:>87;295~{i?9=36=4>{|l442?=83;pqc9?7`83>4}zf>:51zm351d290:wp`806f94?7|ug=;;h4?:0y~j260n3:1=vsa71:3>5<6std<<5?50;3xyk170;0;677;295~{i?9236=4>{|l44=?=83;pqc9?8`83>4}zf>:3n7>51zm35>d290:wp`809f94?7|ug=;4h4?:0y~j26?n3:1=vsa71;3>5<6std<<4?50;3xyk171;0;667;295~{i?9336=4>{|l444}zf>:2n7>51zm35?d290:wp`808f94?7|ug=;5h4?:0y~j26>n3:1=vsa71c3>5<6std<n7;295~{i?9k36=4>{|l44d?=83;pqc9?a`83>4}zf>:jn7>51zm35gd290:wp`80`f94?7|ug=;mh4?:0y~j26fn3:1=vsa71`3>5<6std<m7;295~{i?9h36=4>{|l44g?=83;pqc9?b`83>4}zf>:in7>51zm35dd290:wp`80cf94?7|ug=;nh4?:0y~j26en3:1=vsa71a3>5<6std<l7;295~{i?9i36=4>{|l44f?=83;pqc9?c`83>4}zf>:hn7>51zm35ed290:wp`80bf94?7|ug=;oh4?:0y~j26dn3:1=vsa71f3>5<6std<k7;295~{i?9n36=4>{|l44a?=83;pqc9?d`83>4}zf>:on7>51zm35bd290:wp`80ef94?7|ug=;hh4?:0y~j26cn3:1=vsa71g3>5<6std<j7;295~{i?9o36=4>{|l44`?=83;pqc9?e`83>4}zf>:nn7>51zm35cd290:wp`80df94?7|ug=;ih4?:0y~j26bn3:1=vsa71d3>5<6std<i7;295~{i?9l36=4>{|l44c?=83;pqc9?f`83>4}zf>:mn7>51zm35`d290:wp`80gf94?7|ug=;jh4?:0y~j26an3:1=vsa7023>5<6std<==?50;3xyk168;0;6;:182xh099?1<7?t}o5243<728qvb:??7;295~{i?8:36=4>{|l455?=83;pqc9>0`83>4}zf>;;n7>51zm346d290:wp`811f94?7|ug=:5<6std<=7;295~{i?8;36=4>{|l454?=83;pqc9>1`83>4}zf>;:n7>51zm347d290:wp`810f94?7|ug=:=h4?:0y~j276n3:1=vsa7003>5<6std<=??50;3xyk16:;0;6{|l457?=83;pqc9>2`83>4}zf>;9n7>51zm344d290:wp`813f94?7|ug=:>h4?:0y~j275n3:1=vsa7013>5<6std<=>?50;3xyk16;;0;6{|l456?=83;pqc9>3`83>4}zf>;8n7>51zm345d290:wp`812f94?7|ug=:?h4?:0y~j274n3:1=vsa7063>5<6std<=9?50;3xyk16<;0;636=4>{|l451?=83;pqc9>4`83>4}zf>;?n7>51zm342d290:wp`815f94?7|ug=:8h4?:0y~j273n3:1=vsa7073>5<6std<=8?50;3xyk16=;0;6{|l450?=83;pqc9>5`83>4}zf>;>n7>51zm343d290:wp`814f94?7|ug=:9h4?:0y~j272n3:1=vsa7043>5<6std<=;?50;3xyk16>;0;6{|l453?=83;pqc9>6`83>4}zf>;=n7>51zm340d290:wp`817f94?7|ug=::h4?:0y~j271n3:1=vsa7053>5<6std<=:?50;3xyk16?;0;6?1<7?t}o5233<728qvb:?87;295~{i?8=36=4>{|l452?=83;pqc9>7`83>4}zf>;51zm341d290:wp`816f94?7|ug=:;h4?:0y~j270n3:1=vsa70:3>5<6std<=5?50;3xyk160;0;6{|l45=?=83;pqc9>8`83>4}zf>;3n7>51zm34>d290:wp`819f94?7|ug=:4h4?:0y~j27?n3:1=vsa70;3>5<6std<=4?50;3xyk161;0;6{|l459`83>4}zf>;2n7>51zm34?d290:wp`818f94?7|ug=:5h4?:0y~j27>n3:1=vsa70c3>5<6std<=l?50;3xyk16i;0;6{|l45d?=83;pqc9>a`83>4}zf>;jn7>51zm34gd290:wp`81`f94?7|ug=:mh4?:0y~j27fn3:1=vsa70`3>5<6std<=o?50;3xyk16j;0;6{|l45g?=83;pqc9>b`83>4}zf>;in7>51zm34dd290:wp`81cf94?7|ug=:nh4?:0y~j27en3:1=vsa70a3>5<6std<=n?50;3xyk16k;0;6{|l45f?=83;pqc9>c`83>4}zf>;hn7>51zm34ed290:wp`81bf94?7|ug=:oh4?:0y~j27dn3:1=vsa70f3>5<6std<=i?50;3xyk16l;0;6{|l45a?=83;pqc9>d`83>4}zf>;on7>51zm34bd290:wp`81ef94?7|ug=:hh4?:0y~j27cn3:1=vsa70g3>5<6std<=h?50;3xyk16m;0;6{|l45`?=83;pqc9>e`83>4}zf>;nn7>51zm34cd290:wp`81df94?7|ug=:ih4?:0y~j27bn3:1=vsa70d3>5<6std<=k?50;3xyk16n;0;6{|l45c?=83;pqc9>f`83>4}zf>;mn7>51zm34`d290:wp`81gf94?7|ug=:jh4?:0y~j27an3:1=vsa7323>5<6std<>=?50;3xyk158;0;6;:182xh0:9?1<7?t}o5143<728qvb:{|l465?=83;pqc9=0`83>4}zf>8;n7>51zm376d290:wp`821f94?7|ug=95<6std<>7;295~{i?;;36=4>{|l464?=83;pqc9=1`83>4}zf>8:n7>51zm377d290:wp`820f94?7|ug=9=h4?:0y~j246n3:1=vsa7303>5<6std<>??50;3xyk15:;0;6{|l467?=83;pqc9=2`83>4}zf>89n7>51zm374d290:wp`823f94?7|ug=9>h4?:0y~j245n3:1=vsa7313>5<6std<>>?50;3xyk15;;0;6{|l466?=83;pqc9=3`83>4}zf>88n7>51zm375d290:wp`822f94?7|ug=9?h4?:0y~j244n3:1=vsa7363>5<6std<>9?50;3xyk15<;0;636=4>{|l461?=83;pqc9=4`83>4}zf>8?n7>51zm372d290:wp`825f94?7|ug=98h4?:0y~j243n3:1=vsa7373>5<6std<>8?50;3xyk15=;0;6{|l460?=83;pqc9=5`83>4}zf>8>n7>51zm373d290:wp`824f94?7|ug=99h4?:0y~j242n3:1=vsa7343>5<6std<>;?50;3xyk15>;0;6{|l463?=83;pqc9=6`83>4}zf>8=n7>51zm370d290:wp`827f94?7|ug=9:h4?:0y~j241n3:1=vsa7353>5<6std<>:?50;3xyk15?;0;6?1<7?t}o5133<728qvb:<87;295~{i?;=36=4>{|l462?=83;pqc9=7`83>4}zf>851zm371d290:wp`826f94?7|ug=9;h4?:0y~j240n3:1=vsa73:3>5<6std<>5?50;3xyk150;0;6{|l46=?=83;pqc9=8`83>4}zf>83n7>51zm37>d290:wp`829f94?7|ug=94h4?:0y~j24?n3:1=vsa73;3>5<6std<>4?50;3xyk151;0;6{|l464}zf>82n7>51zm37?d290:wp`828f94?7|ug=95h4?:0y~j24>n3:1=vsa73c3>5<6std<>l?50;3xyk15i;0;6{|l46d?=83;pqc9=a`83>4}zf>8jn7>51zm37gd290:wp`82`f94?7|ug=9mh4?:0y~j24fn3:1=vsa73`3>5<6std<>o?50;3xyk15j;0;6{|l46g?=83;pqc9=b`83>4}zf>8in7>51zm37dd290:wp`82cf94?7|ug=9nh4?:0y~j24en3:1=vsa73a3>5<6std<>n?50;3xyk15k;0;6{|l46f?=83;pqc9=c`83>4}zf>8hn7>51zm37ed290:wp`82bf94?7|ug=9oh4?:0y~j24dn3:1=vsa73f3>5<6std<>i?50;3xyk15l;0;6{|l46a?=83;pqc9=d`83>4}zf>8on7>51zm37bd290:wp`82ef94?7|ug=9hh4?:0y~j24cn3:1=vsa73g3>5<6std<>h?50;3xyk15m;0;6{|l46`?=83;pqc9=e`83>4}zf>8nn7>51zm37cd290:wp`82df94?7|ug=9ih4?:0y~j24bn3:1=vsa73d3>5<6std<>k?50;3xyk15n;0;6{|l46c?=83;pqc9=f`83>4}zf>8mn7>51zm37`d290:wp`82gf94?7|ug=9jh4?:0y~j24an3:1=vsa7223>5<6std>;:182xh0;9?1<7?t}o5043<728qvb:=?7;295~{i?::36=4>{|l475?=83;pqc9<0`83>4}zf>9;n7>51zm366d290:wp`831f94?7|ug=85<6std?;:182xh0;8?1<7?t}o5053<728qvb:=>7;295~{i?:;36=4>{|l474?=83;pqc9<1`83>4}zf>9:n7>51zm367d290:wp`830f94?7|ug=8=h4?:0y~j256n3:1=vsa7203>5<6std<;:182xh0;;?1<7?t}o5063<728qvb:==7;295~{i?:836=4>{|l477?=83;pqc9<2`83>4}zf>99n7>51zm364d290:wp`833f94?7|ug=8>h4?:0y~j255n3:1=vsa7213>5<6std?50;3xyk14;;0;6=;:182xh0;:?1<7?t}o5073<728qvb:=<7;295~{i?:936=4>{|l476?=83;pqc9<3`83>4}zf>98n7>51zm365d290:wp`832f94?7|ug=8?h4?:0y~j254n3:1=vsa7263>5<6std:;:182xh0;=?1<7?t}o5003<728qvb:=;7;295~{i?:>36=4>{|l471?=83;pqc9<4`83>4}zf>9?n7>51zm362d290:wp`835f94?7|ug=88h4?:0y~j253n3:1=vsa7273>5<6std;;:182xh0;{|l470?=83;pqc9<5`83>4}zf>9>n7>51zm363d290:wp`834f94?7|ug=89h4?:0y~j252n3:1=vsa7243>5<6std;0;68;:182xh0;??1<7?t}o5023<728qvb:=97;295~{i?:<36=4>{|l473?=83;pqc9<6`83>4}zf>9=n7>51zm360d290:wp`837f94?7|ug=8:h4?:0y~j251n3:1=vsa7253>5<6std9;:182xh0;>?1<7?t}o5033<728qvb:=87;295~{i?:=36=4>{|l472?=83;pqc9<7`83>4}zf>951zm361d290:wp`836f94?7|ug=8;h4?:0y~j250n3:1=vsa72:3>5<6std6;:182xh0;1?1<7?t}o50<3<728qvb:=77;295~{i?:236=4>{|l47=?=83;pqc9<8`83>4}zf>93n7>51zm36>d290:wp`839f94?7|ug=84h4?:0y~j25?n3:1=vsa72;3>5<6std7;:182xh0;0?1<7?t}o50=3<728qvb:=67;295~{i?:336=4>{|l474}zf>92n7>51zm36?d290:wp`838f94?7|ug=85h4?:0y~j25>n3:1=vsa72c3>5<6stdo;:182xh0;h?1<7?t}o50e3<728qvb:=n7;295~{i?:k36=4>{|l47d?=83;pqc94}zf>9jn7>51zm36gd290:wp`83`f94?7|ug=8mh4?:0y~j25fn3:1=vsa72`3>5<6stdl;:182xh0;k?1<7?t}o50f3<728qvb:=m7;295~{i?:h36=4>{|l47g?=83;pqc94}zf>9in7>51zm36dd290:wp`83cf94?7|ug=8nh4?:0y~j25en3:1=vsa72a3>5<6stdm;:182xh0;j?1<7?t}o50g3<728qvb:=l7;295~{i?:i36=4>{|l47f?=83;pqc94}zf>9hn7>51zm36ed290:wp`83bf94?7|ug=8oh4?:0y~j25dn3:1=vsa72f3>5<6stdj;:182xh0;m?1<7?t}o50`3<728qvb:=k7;295~{i?:n36=4>{|l47a?=83;pqc94}zf>9on7>51zm36bd290:wp`83ef94?7|ug=8hh4?:0y~j25cn3:1=vsa72g3>5<6stdk;:182xh0;l?1<7?t}o50a3<728qvb:=j7;295~{i?:o36=4>{|l47`?=83;pqc94}zf>9nn7>51zm36cd290:wp`83df94?7|ug=8ih4?:0y~j25bn3:1=vsa72d3>5<6stdh;:182xh0;o?1<7?t}o50b3<728qvb:=i7;295~{i?:l36=4>{|l47c?=83;pqc94}zf>9mn7>51zm36`d290:wp`83gf94?7|ug=8jh4?:0y~j25an3:1=vsa7523>5<6std<8=?50;3xyk138;0;6;:182xh0<9?1<7?t}o5743<728qvb::?7;295~{i?=:36=4>{|l405?=83;pqc9;0`83>4}zf>>;n7>51zm316d290:wp`841f94?7|ug=?5<6std<87;295~{i?=;36=4>{|l404?=83;pqc9;1`83>4}zf>>:n7>51zm317d290:wp`840f94?7|ug=?=h4?:0y~j226n3:1=vsa7503>5<6std<8??50;3xyk13:;0;6{|l407?=83;pqc9;2`83>4}zf>>9n7>51zm314d290:wp`843f94?7|ug=?>h4?:0y~j225n3:1=vsa7513>5<6std<8>?50;3xyk13;;0;6{|l406?=83;pqc9;3`83>4}zf>>8n7>51zm315d290:wp`842f94?7|ug=??h4?:0y~j224n3:1=vsa7563>5<6std<89?50;3xyk13<;0;636=4>{|l401?=83;pqc9;4`83>4}zf>>?n7>51zm312d290:wp`845f94?7|ug=?8h4?:0y~j223n3:1=vsa7573>5<6std<88?50;3xyk13=;0;6{|l400?=83;pqc9;5`83>4}zf>>>n7>51zm313d290:wp`844f94?7|ug=?9h4?:0y~j222n3:1=vsa7543>5<6std<8;?50;3xyk13>;0;6{|l403?=83;pqc9;6`83>4}zf>>=n7>51zm310d290:wp`847f94?7|ug=?:h4?:0y~j221n3:1=vsa7553>5<6std<8:?50;3xyk13?;0;6?1<7?t}o5733<728qvb::87;295~{i?==36=4>{|l402?=83;pqc9;7`83>4}zf>>51zm311d290:wp`846f94?7|ug=?;h4?:0y~j220n3:1=vsa75:3>5<6std<85?50;3xyk130;0;6{|l40=?=83;pqc9;8`83>4}zf>>3n7>51zm31>d290:wp`849f94?7|ug=?4h4?:0y~j22?n3:1=vsa75;3>5<6std<84?50;3xyk131;0;67=tJKNv>r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngc b/cpld/XC95144/MXSE.ngc new file mode 100644 index 0000000..392a50c --- /dev/null +++ b/cpld/XC95144/MXSE.ngc @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5164=79;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97?0M1??>49B8479=2K7=?0:;@>27;3G;9?4>7L2>7?78E97?6<1J0<714:C?5;3G;::03285N<31=0>G;:7>0M1=14:C?0;2GKM9?0MAK?M49BH@6Tk2KGI=Qbuy2345bGKM9Ufyu>?011g?DJB8Vg~t=>?05f8EIC7Wds<=>?559BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@12GTzoUecy>?003:?DYA[K6:<374A^DPF976601JSK]M<00==>GXNZH7=>06;@]EWG:6<730MRH\B=36:<=FWOYI0<819:C\BVD;9>427LQISC>2<;?99B[CUE48427LQISC>14;?>89B[CUE4;85n6OPFR@?66<6601JSK]M<31=<>GXNZH7>364A^DPF95902KTJ^L34?:8EZ@TJ5?546OPFR@?2;>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]Oi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQCbGXNZHT>RGMUGa8EZ@TJV9TEO[Ic:C\BVDXDFK]?0NLM[149AEFR5=2HJOY|;;CGQV0=EM[X;:6LJRS2qa>DBZ[:ySRa}0123b>DBZ[:ySRa}01235c=EM[X;~RQ`r123473DBZ[xyi6LJRSpq[kis89::j6LJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBLj;BNH6]YNGKUBNXH8;BNH[CUEk2IGGRH\B^KAQC15LLS18GKR>3JEFADZ[EE58GWCF\LN97N]9;Bnfew7a3Jfnm?P_`lg4567n2Igil|>_`lg4567981O;6J[ABIqb2=C\HI@~h4DUC@OwtXff~;<=?>0:FWEFMuzVddx=>?1058@drf494<7Io{a=3=5>C23LY7<3;4ER>2:0=B[58596K\<2<6?@U;<7>0I^Q?d:GP[5YXign;<=>j;DQ\4ZYffm:;<=?j;DQ\4ZYffm:;<=CTW8UTmcj?0122a>CTW8UTmcj?01210>CTW;n0I^Q=_^cm`5678l1N_RCTW=UTmcj?012f?@UX?013f?@UX?0102b>CTWDkohRQnde2345YJpfxT^h}zlu>2:4`>1`9FWZKflmUTmij?012\[dhc89::=<74ER]NeabXWhno<=>?_^cm`567:8k0I^QBaef\[dbc89:;SRoad12364b_np34565m2OXS\Q>_np34564m2OXS\Q>_np34563m2OXS\Q>_np34562m2OXS\Q>_np34561m2OXS\Q>_np34560m2OXS\Q>_np3456?m2OXS\Q>_np3456>m2OXSRokd1234979m2OXSRokd1234949m2OXSRokd1234959m2OXSRokd1234929=2O_MNEk;DVBGNYffm:;<=k4EUC@OZgil9:;<k4EUC@OZgil9:;<9k4EUC@OZgil9:;<8k4EUC@OZgil9:;<;;4E^KLFd=BW@EISDLZF39Fv6=Bz;h0IQLlj]JJS723LxTB=:4FBNH6>@C<2LOOH=4FR@2?B5<4I508M04OFKZ;>7DOLS378MDET;=1BNXH6;HLJPVRF\L90ECX:;HMBGQb0:KLF@TUWds<=>?1233?LIEM[XTaxv?0122046>8g9JKGCUZVg~t=>?03d8MJDBZ[Ufyu>?011e?LIEM[XTaxv?0127b>OHJLXYS`{w01231c=NGKOY^Rczx12343`7DALS048MJET99<0EBM\1048MJET9;<0EBM\1248MJET9=<0EBM\1448MJET9??0EBM\249JKFU4=2CDO^::;HM@W03OHKZ2>7DALS868MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?559JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY=7DA_WBQ4?LIW_JY:;6G@PVAP62=NGY]H_>94INRTGV223@EYI\j4INPFUZkrp9:;k4INPFUZkrp9:;<9k4INPFUZkrp9:;<8k4INPFUZkrp9:;<;k4INPFUZkrp9:;<:k4INPFUZkrp9:;<5k4INPFUZkrp9:;<484INPFUw3n7DA]W1]mkq6788?n7DA]W1]mkq67887DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?669JKWcflp;;7DA]e`fz[Zgil9:;<?1038MJTbimsTSl`k01236f=NGZUM_@QIFe3e?LITWOYFSKHk1,Km55=NGZUM_@QIFe3.Mk7682CD_RH\M^DE`4+Nf;;;7DA\_GQN[C@c9$Ce?<>4INQ\BVKXNOn:!D`;119JKVYA[DUMJi?"Io7`?LITWOYFSKHk2g9JKVYA[DUMJi<"Io33?LITWOYFSKHk2,Km546 Ga5b9JKVYA[DUMJi=i;HMP[CUJWOLo? Ga119JKVYA[DUMJi="Io325>OH[VLXARHId2/Jj46692CD_RH\M^DE`6+Nf8;:=6G@S^DPIZ@Al:'Bb<<>0:KLWZ@TEVLMh>#Fn333?LITWOYFSKHk3,Km7461028MJUXNZGTJKj;-Hl155=NGZUM_@QIFe6.Mk5682CD_RH\M^DE`1+Nf=;;7DA\_GQN[C@c<$Ce9<>4INQ\BVKXNOn?!D`9119JKVYA[DUMJi:"Io524>OH[VLXARHId5/Jj=773@EXSK]B_GDg0(Oi1=1BCX>l;HMV4Zkrp9:;Pmtz34562<2CDY7DAZDR68MJQBm2ANI]QGIDPBVFN^?2FDKDMNL`9OTHY_G[8T=85Co`f2a>Jhim;TSl`k01230>K_[L80B=<4N058J@RPG[A:7B:4OCWE7>IU::1D^>=4OS67?Jhdmj1DbnkP_np3456c3FdhiRQ`r12344bIiklUTc>?016g?JhdmVUd~=>?04f8KkebWVey<=>?6e9LjfcXWfx;<=>8d:Mmg`YXg{:;<=6k;Nl`aZYhz9:;<4?4Pb9S*766;8::?RB;;QCQPd=WAGUIY^GKXc9SMKYE]ZDJAHl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30:PP[CUJWOLo= Ga1333?WUXNZGTJKj>-Hl2746m7_]PFRO\BCb6%@d>j6\\_GQN[C@c9$Ce:k5]S^DPIZ@Al8'Bb:h4RR]EWHYANm;&Ec6i;SQ\BVKXNOn:!D`6b:PP[CUJWOLo>h5]S^DPIZ@Al;'Bbk5]S^DPIZ@Al;'Bb0028VVYA[DUMJi<"Io3255=U[VLXARHId3/Jj44682XXSK]B_GDg6(Oi9:;;7_]PFRO\BCb5%@d:8<>4RR]EWHYANm8&Ec?:119QWZ@TEVLMh?#Fn0424>TTWOYFSKHk2,Km52773[YTJ^CPFGf1)Lh608:0^^QISL]EBa4*Ag;2j6\\_GQN[C@c:$Ce>k5]S^DPIZ@Al;'Bb>h4RR]EWHYANm8&Ec:i;SQ\BVKXNOn9!D`:f:PP[CUJWOLo> Ga6g9QWZ@TEVLMh?#Fn6d8VVYA[DUMJi<"Io:e?WUXNZGTJKj=-Hl:f>TTWOYFSKHk3d9QWZ@TEVLMh>#Fng9QWZ@TEVLMh>#Fn033?WUXNZGTJKj<-Hl24c=U[VLXARHId2/Jj7`6k2XXSK]B_Nww<73>0:Pfea7zVUd~=>?0033?Wcflp:ySRa}012363=Umhnr=:5]e`fz5w`r^]lv56788;;7_kndx3q[Ziu89:;>;5]e`fz62=Umhnr>h4Rdcg}7tXWfx;<=>>0:Pfea5zVUd~=>?0033?Wcflp8ySRa}012363=Umhnr?;5]e`fz0d=UmhnrSDAMS89Qadb~W[KFo6\jae{\kw6788n0^hoky^mq45669m1Yiljv_np34575l2XnmiwPos2344513[omMne6;SgeGkr;8730^hhLnu>2:<=UmoIex1<19:PfbFhs4:427_kiCov?0;?89QacEi|5<556\jfBlw828?3[omOczP099QacEi|V;37_kiCov\6==UmoIexR=7;SgeGkrX<11YikMat^7;?WcaKg~T:55]egAmpZ15;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}6:2?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<3<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:46;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f090=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>6:75?01]N|jtXZly~`y28>348V``Df}UFmijP_`fg4567W[oxyazP0^llp56788;o7_kiCov\IdbcWVkoh=>?0^]bja6789;n7_kiCov\IdbcWVkoh=>?0^]bja6789;:h6\jfBlw[HgclVUjhi>?01]\ekb789;:i6\jfBlw[HgclVUjhi>?01]\ekb789;:=i5]egAmpZKflmUTmij?012\[dhc89:9=h5]egAmpZKflmUTmij?012\[dhc89:9=d:PfbFhsWDkohRQnde2345YXign;<=;>e:PfbFhsWDkohRQnde2345YXign;<=;>139QacEi|VUjhi>?01>3:442:PfbFhsWVkoh=>?0=1=57=UmoIexRQnde2345:36880^hhLnu]\eab789:793?=;SgeGkrXWhno<=>?<7<26>TbnJdSRokd1234919?2XnjIaae028V``CggoTSl`k012354=UmoNdbhQPaof34566n2XnjIaae^]lv56788:0^hhKoog\[jt789::=?5]egFlj`YdeyUn}=>?0018V``CggoTo`~Pep234576;2XnjIaae^antZcv89:;><=4RddGkkcXkdzTi|>?01127>TbnMeeiRmbp^gr4567<890^hhKoog\ghvXmx:;<=;>3:PfbAiimVif|Rk~0123245?8018V``CggoTo`~Pep2345?13[om^L]9;SgeV`w03[om^h>9:PfbPt`mg~j7_kiUsefjq7f3[omYijnu0b?Wca]{mnby=n;SgeQwabf}>=7_k|umv5?VETAJY<7^M\IBQ23>UD[@IX>:5\CRK@W61<[JYBO^:8;RAPMFU2>2YDY_MJa:Qm`WGJKZ]Yn6]adSCNGVQU9j1Xbi\NMBQTV46d3Zdo^LCLSVP25f=TfmXJAN]XR00a?VhcZHGH_Z\=b:Qm`WGJKZ]Y?o5\nePBIFUPZ=h0_cj]ALAPSW3e3Zdo^LCLSVP5f>Uil[KFO^Y]7c9PjaTFEJY\^5l4SofQEHET_[3?7Y\ZE59W]UC?3]cfib{{A028Plkbg|~JSRa}012354=SadodyyOP_np345669;1_e`k`uuC\[jt789::<?2038Plkbg|~JSRa}0123747<\`gncxzN_^mq4567<8;0XdcjotvB[Ziu89:;9?6038Plkbg|~JSRa}0123347<\`gncxzN_^mq456708;0XdcjotvB[Ziu89:;555[ilglqqDe3]cfib{{BQpf56=SadodyyL_rd]\kw6789;?7YgbenwwFUtbWVey<=>?1068Plkbg|~I\kP_np34565991_e`k`uu@\[jt789::=6ZfmdmvpGYXg{:;<=?>1:Vji`ir|KUTc>?01025>Rnele~xOQPos23455d3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ0>^HZ;<0T^ZCIC58\VRXOGN<7U][_WA@2>^cjVCo==5Wdl]Neoiu^lxxeb`>0:ZgiZKnffx]i}foo48\j:76890T~z7;^cm`567801Tmcj?0122=>Yffm:;<=<7;^ov|5678:1hby<4cr18bvde3oyiSca{0123g>`tjVddx=>?00f8bvdXff~;<=>>1e9ewgYig}:;<=?=4:klfh2b:lB@jssDL::>o5aAEmvpIC79:o0bLJ`uuNF4ZIE]O30bLJ`uuNF5d=iIMe~xAK>1d9mEAir|EO:SBLZF29mEV1hF[Vddx=>?1b9mEVYig}:;<n5aAR]mkq67889h7cO\_omw4566;5aDhlOAg=iL`dGIRAMUGf8jAoiDLUd~=>?0d9m@lhKMVey<=>?1d9m@lhKMVey<=>?2d9m@lhKMVey<=>?3d9m@lhKMVey<=>?479m@lhHM>1eHd`@E058jAoiGL8<7cJfnNG0f>hCagENSBLZF69m@jssGLi0bIaztNG\KGSAn2dOcxz@E^llp56798:0bIaztNG\jjr789;:==5aDnwwK@Yig}:;<<<>0:lGkprHMVddx=>?1233?kBh}}ENSca{0122046hKLZUBCOQ@BTD`?kJC[Vkeh=>?1e9mHAUXign;<=?>d:lO@VYffm:;<<?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L87ob8:z`=067pewKL}?=d`9CD}7fl3L1>7?tS859725=9j81=>:793d9gddasg9<<7?4n252>3=#;?l1?;o4}R;5>61428i96<=;880e>fgd92n:mi4?:082V?02:=861533k;jh7>542865?g2sA9><6*<3`82ea=]0>09w<4;:|&2e=<4;01b5?4?::m0e1<722c84o4?::m76f<722c?5<1<75`3``94?=h;j91<75`40194?=h;k81<75`3c594?=n<:;1<75`38;94?=h9l;1<7*>c482a5=i9j>1<65`1ed94?"6k<0:i=5a1b695>=h9lk1<7*>c482a5=i9j>1>65`1d;94?"6k<0:i=5a1b697>=h9l21<7*>c482a5=i9j>1865`1d594?"6k<0:i=5a1b691>=h9l<1<7*>c482a5=i9j>1:65`1d794?"6k<0:i=5a1b693>=h9l>1<7*>c482a5=i9j>1465`1d194?"6k<0:i=5a1b69=>=h9l81<7*>c482a5=i9j>1m65`1eg94?"6k<0:i=5a1b69f>=n<=0;6)?l5;60?k7d<3:07d:=:18'5f3=<:1e=n:51:9j04<72-;h97:<;o3`0?4<3`>;6=4+1b7906=i9j>1?65f3d83>!7d=3>87c?l4;68?l5c290/=n;5429m5f2==21b?n4?:%3`1?243g;h8784;h1a>5<#9j?18>5a1b693>=n;h0;6)?l5;60?k7d<3207d=6:18'5f3=<:1e=n:59:9j7=<72-;h97:<;o3`0?g<3`9<6=4+1b7906=i9j>1n65f3783>!7d=3>87c?l4;a8?l52290/=n;5429m5f2=l21b8n4?:%3`1?243g;h87k4;h6a>5<#9j?18>5a1b69b>=n3:9j03<72-;h97:<;o3`0?7332c?97>5$0a6>155<#9j?18>5a1b6953=b;6a>0`|@:?;7)=028q96p*>a9807<=n0m0;66a>4683>>i4:<0;66a<2b83>>o1<3:17d=i9;29?j75j3:17d:<0;29?j7bk3:17b<7c;29?j`>2900e<;50;&2g0<6<2d:o94?;:k27?6=,8i>6<:4n0a7>4=c4820>h6k=0976g>0;29 4e228>0bc4820>h6k=0>76gl:18'5f3=9=1e=n:56:9jf?6=,8i>6<:4n0a7>2=5<#9j?1=95a1b69e>=n?3:1(c58g?>o6l3:1(;?;ngf>5<51;294~"4;h089<5G37a8L6373f;h=7>5;|`0a4<72<0;6=u+32c9af=O;?i0D>;?;%df>5=n0j0;66g64;29?l>?2900e4m50;9l5ab=831vn>km:186>5<7s-98m7kl;I15g>N4=91/jh4?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd4m;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5bk3:1>7>50z&07dt$21b>7`<@:;?;hd2>5<>oa<3:17dh::188mc0=831bj:4?::ke5;|`eg?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;;<1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo==7;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn><7:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi??750;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9=21<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6<00;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg73i3:1>7>50z&07d7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;l<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4m>0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5b03:1>7>50z&07d29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th8il4?:583>5}#;:k1>o5G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e9ln1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6ml0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=k>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:j<4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0d1>5<4290;w)=>oa:3:17b?m1;29?xd6n:0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?i4;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a6=b=8381<7>t$21b>c`<@:5;|`1<`<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb3;3>5<5290;w)=>i6j80;66sm28394?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd51;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?7<:187>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44o0`2>5<7>55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qo=93;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a732=8391<7>t$21b>60e3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th8:84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7066<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f60>290?6=4?{%10e?4e3A9=o6F<519jb4<722cm>7>5;hd0>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;:;1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl<3383>0<729q/?>o52b9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3f;i=7>5;|`076<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb216>5<5290;w)=>i6j80;66sm32494?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl<3683>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f65?290>6=4?{%10e?4d3A9=o6F<519jb4<722cm>7>5;hd0>5<1<75`1c394?=zj:8n6=4<:183!54i38j7E=9c:J015=nn80;66gi2;29?j7e93:17pl<2g83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg5483:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=?m50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:?94?:483>5}#;:k1>n5G37a8L6373`l:6=44ig094?=nn:0;66gi4;29?j7e93:17pl>3483>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg74>3:1>7>50z&07d7>5;n3a5?6=3th:?54?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7063c83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f44c29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:>h4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl>3183>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f45629086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=><50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<7h>;<107?`73ty=6=4;{_489cg=n=16?><5f39>762=n91v:4?:5y]3>;ai3l>70=<2;d0?854=3l;7p}7:187[><5ok1j;5233f9b7=:9:?1j<5rs883>0}Y127mm7h8;<106?`33498:7h?;<301?`53tyj6=4<{_c89cg=n116??j5f09~wg<72=qUn63ib;d2?851:3l970?<4;d2?xud290?wSm4=g`9b7=:;?81j<521269b7=z{m0;69uQd:?ef?`4349=>7h<;<300?`43tyn6=4;{_g89cd=n=16?;<5f59>562=n=1v<>50;5xZ46<5oh1j8523349b4=:;l<1j=5229g9b5=:;?91j<521209b4=z{881<77t^0089cd=n?16??95f19>7`1=n916=hk5f39>6=`=n916?;=5f39>57e=n916=>95f39~w45=83ipR<=4=g`9b2=:;;<1j?5215:9b5=:;l?1j?521df9b5=:9lo1j<522839b4=:;?>1j?5212:9b5=:9:k1j<5212`9b4=z{8?1<77t^0789cd=n116??65f19>51g=n916?h65f19>5c6=n916>4>5f19>733=n916=>=5f09~w4e=839pR767=n;1v;:50;1xZ32<5:oh6k>4=2g6>c7vPi9:?eg?7e92wxjl4?:3y>bd<6j816jn4i1:pbg<72;q6jo4>b09>bf2e83>7}:9;n1=o?4=00f>c452z?26`<6j816=?h5f09~w44a2909w0?=f;3a5>;6;90m>6s|12294?4|589;6;<305?`53ty:?<4?:3y>567=9k;01<==:g08yv74:3:1>v3>3382f4=:9:91j?5rs017>5<5s4;887?m1:?275;50;0x945228h:70?=f;d1?xu6;?0;6?u212495g7<589i6k<4}r303?6=:r7:?:4>b09>57b=n81v<=7:18187403;i=63>2e8e6>{t9:31<74d634;9j7h<;|q27d<72;q6=>o51c3894562o;0q~?<6=4={_373>;65<5s4;?47?m1:?20g28h:70?;b;d2?xu6i6k=4}r3ba?6=:r78:i4je:?0ag<><2wx=lh50;0x960b28i:70=j1;;`?xu6mj0;6?uQ1da894`328h:7p}>ee83>7}:9ln1=o?4=0d2>c452z?2a`<6j816=k<5f09~w4ca2909w0?jf;3a5>;6n;0m>6s|1g294?4|58l;6;<3e0?`53ty:j<4?:3y>5c7=9k;01v3>f382f4=:9o91j?5rs0d0>5<5s4;m?7?m1:?2b15m50;0xZ7>d3482?7?m1:p6=b=838p1?6k:0`2?84>93l97p}=8d83>7}::1o1=o?4=3;0>c752z?14<5f09~w7?72909w0<60;3a5>;51;0m>6s|28394?4|5;3:6;<0:7?`53ty95?4?:3y>6<4=9k;01?7<:g18yv55=3:1>vP<249>77g=9k;0q~==6;296~;4:?0:n<5233c9b4=z{:8<6=4={<113?7e9278>44i1:p77>=838p1><7:0`2?85513l97p}<2883>7}:;;31=o?4=20b>c452z\06f=:;::1=o?4}r11`?6=:r78>i4>b09>77c=n81v>{t;;l1<74d63498<7h=;|q074<72;q6?>?51c3896502o80q~=<2;296~;4;;0:n<5233d9b4=z{:986=4={<107?7e9278?54i1:p762=838p1>=;:0`2?85403l97p}<3483>7}:;:?1=o?4=21;>c552z?073<6j816?>65f59~w6502909w0=<7;3a5>;4:l0m>6s|32:94?4|5:936;<11b?`53ty8:<4?:3y>73?=9k;01>=8:g38yv51:3:1>v3<6382f4=:;?31j?5rs240>5<5s49=?7?m1:?02=<0;6?u237795g7<5:<<6k<4}r152?6=:r78:;4>b09>73?=n:1v>88:181851?3;i=63<698e6>{t;?21<74d6349=57h>;|q0a4<72;q6?h?51ef896ce20i0q~=j3;296~;4m802863d349nm7?m1:p7`3=838p1>k::0`2?85bi3l97p}7}:;l<1=o?4=2g:>c752z?0a2<6j816?h75f39~w6c?2909w0=j8;3a5>;4mh0m?6s|3d;94?4|5:o26;<1fe?`63ty8io4?:6y>7`d=9mn01;4m;0m<6s|42294?2|V=9;70?;9;d3?851>3l;70?<9;d3?x{e<:91<7=;:b:9a2}O;<:0(>=n:0ga?_>02;q:6>4r$0c;>65>3`2o6=44i23e>5<5<5<5<=h9ml1<7*>c482a5=i9j>1=65`1dc94?"6k<0:i=5a1b696>=h9l31<7*>c482a5=i9j>1?65`1d:94?"6k<0:i=5a1b690>=h9l=1<7*>c482a5=i9j>1965`1d494?"6k<0:i=5a1b692>=h9l?1<7*>c482a5=i9j>1;65`1d694?"6k<0:i=5a1b69<>=h9l91<7*>c482a5=i9j>1565`1d094?"6k<0:i=5a1b69e>=h9mo1<7*>c482a5=i9j>1n65f1383>!7d=3;:7c?l4;28?l77290/=n;5109m5f2=921bi7>5$0a6>47!7d=3;:7c?l4;18?le=83.:o84>1:l2g1<332ci6=4+1b7954=i9j>1965fa;29 4e228;0b;o3`0?1<3`21<7*>c4825>h6k=0376g8:18'5f3=981e=n:59:9j2?6=,8i>6d=;o3`0?b<3`;i6=4+1b7954=i9j>1i65f1`83>!7d=3;:7c?l4;d8?l7>290/=n;5109m5f2=9910e<650;&2g0<692d:o94>1:9j52<72-;h97?>;o3`0?7532c::7>5$0a6>47c5820>=e;?n1<7?50;2x 65f2ll0D>8l;I164>ibm3:17pl=0c83>0<729q/?>o5eb9K73e<@:?;7)hj:19j5;h:;>5<5;|`53?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e:8k1<7=50;2x 65f2lh0D>8l;I164>"am3:0e5650;9j=f<722e:hi4?::a67e=8391<7>t$21b>`d<@:>i6lm0;66sm1g494?5=83:p(>=n:d`8L60d3A9><6*ie;28m=>=831b5n4?::m2`a<722wi?4i9:94?=n1j0;66a>de83>>{e;0n1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qo<64;297?6=8r.8?l4jb:J02f=O;<:0(kk50:k;5<53;294~"4;h0nn6F<6b9K706<,oo1<6g78;29?l?d2900c50z&07d5;h;`>5<N4>j1C?8>4ig294?=h9k;1<75rb3f0>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e<9i1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4l>0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5ak3:1>7>50z&07d=8381<7>t$21b>c`<@:5;|`15<<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<b083>>{e:j<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5k>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>7j:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?kh50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`0``<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<b083>>{e<9l1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd3990;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg2693:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e;mh1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4lj0;684?:1y'76g=:j1C?;m4H273?l`62900ek<50;9jb6<722cm87>5;n3a5?6=3th98l4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb36a>5<5290;w)=>i6j80;66sm25a94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg43l3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?;=:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`116<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f73>29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a60g=8391<7>t$21b>7g<@:5<n7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb37f>5<4290;w)=>oa:3:17b?m1;29?xd5=o0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4183:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>;?50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`121<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:?<1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<97;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f70f29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9:o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm27f94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd5?90;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?9>:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>:<50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<;?;hd2>5<7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<88;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a62?=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9;n4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb35g>5<5290;w)=>i6j80;66sm26g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg40n3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?6=:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`1<6<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:1?1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=bc83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg4el3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>ok50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9nk4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<55;294~"4;h09o6F<6b9K706>oa;3:17dh;:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f7e529086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9o>4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:ki1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=a783>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f7d529096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a6g5=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K7066=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:k<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5j>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn?l7:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>l950;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:h31<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qot$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9mn4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb3cg>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:ho1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl=ag83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7d7290?6=4?{%10e?7e<2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`1=3<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb3;g>5<4290;w)=>oa:3:17b?m1;29?xd51l0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg4>n3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>l>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9m<4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<7>52;294~"4;h0mj6F<6b9K706>i6j80;66sm28594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg4>03:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>4750;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl=9c83>1<729q/?>o51c68L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=o750;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:nl4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7065<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a67c=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706:6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e:=81<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5<:0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg43<3:1>7>50z&07dt$21b>c`<@:5;|`102<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb313>5<5290;w)=>i6j80;66sm22394?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg44:3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi>>=50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl=3483>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg44>3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?=8:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a66>=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm22`94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg44k3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn?=k:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`17`<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm25294?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd6n10;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo50;9l5g7=831vn?>;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>=;50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb324>5<5290;w)=>i6j80;66sm21:94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=0883>1<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi>=o50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:j44?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<54;294~"4;h0:n95G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e9oh1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?ic;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th:ji4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0df>5<4290;w)=>oa:3:17b?m1;29?xd6no0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qob29K73e<@:?;7dh>:188mc4=831d=o?50;9~f76629086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th95}#;:k1=o:4H24`?M5282cm=7>5;hd1>5<b083>>{e;o>1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4n<0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5a>3:187>50z&07d<6j=1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::a7c1=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm21d94?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn???:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi>5;n3a5?6=3th9=?4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7065<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a640=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb33`>5<3290;w)=>oa:3:17dh<:188k4d62900qo<=4;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a673=8381<7>t$21b>c`<@:5;|`163<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb30;>5<5290;w)=>i6j80;66sm23;94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=2`83>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<=b;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn??k:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a64c=8391<7>t$21b>7g<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm23294?2=83:p(>=n:0`7?M51k2B89=5ff083>>oa:3:17dh<:188k4d62900qo<=1;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th9>?4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{t=3:1>vP:;<07g?`63ty=6=4={_4897332o;0q~950;0xZ2=::7}Y0279:=4i1:p=?6=:rT270<96;d2?xuf2909wSo4=34`>c7624=n81vn4?:3y]g>;5?10m=6s|d;296~Xc348;|qe>5<5sWl01?:n:g08yv772909wS??;<0;6?`53ty::7>52z\22>;5=;0m>6s|1683>7}Y9>16>865f39~w4>=838pR<64=37f>c4a;296~X6i279:l4i2:p5g<72;qU=o522629b7=z{8i1<7;58k02o63>b78:g>;5jm0m>63=c18e5>;5j;0m<63=b28e6>;5j10m<63=9g8e6>;6jk0m<63=388e4>;5;58:0m<63=048e5>;59:0m<63=268e4>{t>>0;68u26682`a=::k>1j=522579b5=::9<1j=5220f9b4=z{1n1<7mt^9f8976e2120167e=0116=k85899>74e=0116>4:5899>6d2=0116>o75899>733?7p}>b783>2}:9k<1=ij4=3`f>c6<5;k;6k>4=360>c6<58lj6k<4=32g>c4<5;8?6k?4}r3a3?6=:r7:n;47c:?2fa<6j81vbb8e6>{t9k31<74d634;io7h<;|q2fd<72;q6=oo51c3894dd2o>0q~?mb;296~;6jk0:n<521cf9b7=z{8hh6=4={<3ag?7e927:ni4i1:p5ac=838pRe083>6}Ym2T:i<522969b4=z{8o96=4={_3f6>;5=<0:n<5rs0g0>5<5sW;n?63=5c82f4=z{8o?6=4={_3f0>;5>80:n<5rs0g6>5<5sW;n963=6682f4=z{8o=6=4={_3f2>;5>m0:n<5rs0g4>5<5sW;n;63=7282f4=z{8o36=4={_3f<>;5?00:n<5rs0g:>5<5sW;n563=7g82f4=z{8oj6=4={_3fe>;50<0:n<5rs0g`>5;5jm0m=63=b48e4>;51o0m=63>bb8e5>;5<=0m<63=468e4>;5;:0m=63=058e4>;58>0m<63;59=0m=63=258e6>;5:?0m<6s|1g794?2|5;;26;<625?`6349oo7h>;<1e0?`73ty:j;4?:3y>5c0=9mn01??7:g28yv7a?3:1>v3>f78:g>;58;0:n<5rs0d;>5<5s4;m47?m1:?14528h:70?ic;d0?xu6nh0;6?u21gc95g7<58lo6k<4}r3ef?6=:r7:jo4>b09>5cc=n;1v{t9on1<74d634;mj7h>;|q2b`<72;q6=kk51c3894`a2o80q~?if;296~;6no0:n<522129b7=z{;:;6=4={<034?7e9279<<4i1:p657=838p1?>>:0`2?847:3l97p}=0283>7}::991=o?4=0db>c752z?141<6j816=ko5f29~w7622909w0;6nl0m=6s|21494?4|5;:=6;<3ef?`63ty9<:4?:3y>651=9k;01v3=0982f4=::9;1j?5rs32:>5<5s48;57?m1:?147=o50;0x976f28h:70?ic;d2?xu58k0;6?u221`95ab<5;;<6k>4}r03g?6=:r79k:181847l3;i=63=178e5>{t:9l1<74d6348:97h>;|q155<72;q6><>51c3897732o80q~<>1;296~;5980:n<522069b6=z{;;96=4={<026?7e9279=94i4:p645=838p1??<:0`2?847m3l97p}=1583>7}::8>1=o?4=336>c452z?150<6j816><85f39~w7712909w0<>6;3a5>;58l0m=6s|20594?4|5;;<6;<02=?`63ty9=54?:3y>64>=9k;01??6:g08yv46i3:1>v3=1`82`a=::m91j<5rs33a>5<5s48:m77l;<017?7e92wx>b09>677=n:1v??i:181846n3;i=63=218e5>{t:;:1<74d63489=7h=;|q164<72;q6>??51c3897452o80q~<=2;296~;5:;0:n<522319b4=z{;8?6=4={<010?7e9279>=4i2:p673=838p1?<::0`2?846l3l97p}=2783>7}::;<1=o?4=33e>c452z?162<6j816>?>5f29~w74?2909w0<=8;3a5>;5:80m=6s|23;94?4|5;826;<02a?`63ty9>l4?:3y>67g=9k;01??j:g08yv45j3:1>v3=2c82f4=::;91j?5rs30`>5<6>r79>n4>de9>7d4=n916>9o5f09>61d=n916>8<5f09>605=n916>865f09>60?=n916>8k5f09>60`=n916>;:5f09>633=n916>;o5f09>63d=n916>:>5f09>627=n916>:85f09>621=n916>:m5f09>62b=n916>5<5f09>6=5=n91v?{t:;o1<74d63488=7h>;|q16c<72;q6>?h51c38975c2o80q~<<0;296~;5;90:n<5222d9b7=z{;9:6=4={<005?7e9279?;4i1:p664=838p1?==:0`2?844m3l:7p}=3283>7}:::91=o?4=314>c752z?171<6j816>>95f39~w7522909w0<<5;3a5>;5;10m>6s|22494?4|5;9=6;<00e?`53ty9?:4?:3y>661=9k;01?=m:g08yv4403:1>v3=3982f4=:::i1j?5rs31:>5<5s48857?m1:?174>o50;0x975f28h:70<;0;d2?xu5;k0;6?u222`95g7<5;9h6k?4}r00g?6=:r79?n4>b09>66b=n81v?=k:181844l3;i=63=3d8e6>{t::o1<74d63488j7h>;|q17c<72;q6>>h51c3897272o80q~<;1;296~;5<80:n<522249b7=z{;>96=4={<076?7e9279??4i2:p615=838p1?:<:0`2?844;3l97p}=4583>7}::=>1=o?4=317>c752z?100<6j816>>:5f29~w7212909w0<;6;3a5>;5;<0m=6s|25594?4|5;><6;<001?`53ty9844?:3y>61g=9k;01?:k:g38yv43i3:1>v3=4c82f4=::=i1j?5rs36a>5<5s48?o7?m1:?10a8?50;0x973528h:70<:5;d2?xu5=;0;6?u224195g7<5;??6k<4}r067?6=:r79994>b09>603=n;1v?;8:18184203;i=63=5c8e5>{t:<21<74d6348>m7h=;|q11<<72;q6>8o51c38973e2o80q~<:d;296~;5=l0:n<522739b4=z{;?n6=4={<06b?7e9279:=4i2:p60`=838p1?8?:0`2?84193l97p}=6283>7}::?>1=o?4=344>c752z?120<6j816>;85f39~w7022909w0<96;3a5>;5>>0m>6s|27;94?4|5;;<05`?`63ty9:l4?:3y>63d=9k;01?8l:g08yv41j3:1>v3=6b82f4=::?n1j?5rs34e>5<5s48<<7?m1:?136:>50;0x971628h:70<82;d1?xu5?80;6?u226095g7<5;=86k<4}r041?6=:r79;;4>b09>62?=n81v?99:181840?3;i=63=798e6>{t:>=1<74d6348<57h=;|q13g<72;q6>:m51c38971a2o;0q~<8c;296~;5?m0:n<5226g9b7=z{;=o6=4={<04a?7e9279;k4i2:p6=7=838p1?6=:0`2?84?=3l:7p}=8383>7}::191=o?4=3:7>c452z?1<1<6j816>5;5f39~w7>d2909wS<7c:?0bf4:50;3284><3;oh63=c78e4>;5jk0m>63=c18e7>;5j80m=63=b68e6>;51l0m<63=988e5>;6jh0m<63=2d8e6>;5;90m<63=098e4>;6nj0m>63=0g8e5>;5990m<63=1b8e5>;5:10m<6s|28794?4|5;3?64m4=3;a>4d63ty95;4?:3y>6<0=9k;01?o<:g38yv4>?3:1>v3=9682f4=::0h1j<5rs3;;>5<5s48247?m1:?1=d4750;0x97?>28h:70<6b;d1?xu51h0;6?u228c95g7<5;3i6k=4}r0:g?6=:r795n4>b09>6d5=n;1v?7k:18184>l3;i=63=968e5>{t:0o1<74d63482;7h=;|q1=c<72;q6>4h51c3897?f2o80q~i3l87p}=a283>7}::h91=o?4=3;:>c4515y>6d2=9mn01?m8:g3897de2o;01?m?:g6897d12o:01?o8:g3897?12o:01?7k:g3897g62o:012o801?>n:g28976a2o801??>:g28977d2o801?<6:g28yv4f=3:1>v3=a58:g>;5j90:n<5rs3c5>5<5s48j:7?m1:?1e2l950;0x97g028h:70b09>6dd=n;1v?on:18184fi3;i=63=b18e7>{t:hh1<74d6348jo7h>;|q1ef<72;q6>lm51c3897gc2o80q~7}::k;1=o?4=3cf>c77>52z?1f7<6j816>l65f39~w7d42909w0;5i00m=6s|2c694?4|5;h?6;<0b=?`53ty9n84?:3y>6g3=9k;01?ol:g08yv4e>3:1>v3=b782f4=::hl1j?5rs3`4>5<5s48i;7?m1:?1edo650;0x97d?28h:704bc348ij7h?;<0b2?`7348i=7h=;<0:g?`73482h7h=;<0b6?`734;i47h?;<076?`73488m7h>;<3e6g?=1j16>om51c38yv4ej3:1>v3=bc82f4=::j91j<5rs3`g>5<5s48ih7?m1:?1g7ok50;0x97db28h:70b09>6ge=n;1v?m>:18184d93;i=63=c38e6>{t:j81<74d6348h?7h=;|q1g6<72;q6>n=51c3897e32o;0q~6=4={<0`2?7e9279o:4i2:p6f>=838pR?m7;<0g7?7e92wx>nk50;0x97eb28h:70uQ2d28967d28no70=i5;d3?xu49m0;68uQ30f897d42o;01?=;:g0897622o801?<::g28yv56n3:1ovP<1g9>6f6=n;16>n?5f09>6g1=n816>l65f09>6dd=n816>?k5f09>66>=n816>=75f09>5cb=n816>=j5f09>67d=n91v>98:181856k33h70{t;1k1<78t^2:b?85an3l970=kf;d2?827m3l;70=k9;d3?85a>3l97p}<9e83>7}Y;0n01>7k:0fg?xu41o0;6?u238f9<==:;0o1=o?4}r1b5?6=:r785i46c:?0e7<6j81v>m?:181[5d8278ho4i0:p7a0=838pR>j9;<1g3?7e92wx?i650;0x96b02o:01>jl:0`2?xu4l00;6?u23e;95g7<5:nh6k<4}r1ge?6=:r78hl4>b09>7ae=n:1v>jm:18185cj3;i=63{t;mn1<7;<1gb?`53ty8j?4?:3y]7c4<5:l<6;|q0b6<72;q6?k=51c3896`12o;0q~=i4;296~;4n=0:n<523g49b6=z{:l>6=4={<1e1?7e9278j:4i2:p7c0=838p1>h9:0`2?85a?3l:7p}7}Y;oh01>hl:0`2?xu4nl0;6?uQ3gg896`a28h:7p};0083>7}Y<9;019??:g28yv27j3:1>vP;0c9>05e=9k;0q~:?d;296~;38j0m<63;1082f4=z{=:n6=4={<63a?7e927?=<4i2:p05`=838p19>i:0`2?82693l87p};1183>7}:<8:1=o?4=532>c28<7>55z\775=:;ol1j<523eg9b5=:<9l1j=523ec9b5=zuk93<7>512851?0asA9><6*<3`826d=#9h21?>74i9f94?=n98?1<75f15594?=n99:1<75f39c94?=h>10;66g94;29?j73k3:17d=l0;29?l75j3:17b?:9;29?l2483:17d:?1;29?j74k3:17bt$21b>``<@:5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl98;291?6=8r.8?l4jc:J02f=O;<:0e5m50;9j=1<722c347>5;h;`>5<N4>j1C?8>4$gg94>o?k3:17d7;:188m=>=831b5n4?::m2`a<722wi>:4?:483>5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl69;297?6=8r.8?l4jb:J02f=O;<:0e5650;9j=f<722e:hi4?::a5dd=8391<7>t$21b>`d<@:5<4b83>6<729q/?>o5ec9K73e<@:?;7d67:188m5<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn5<7s-98m7kl;I15g>N4=91b4n4?::k:0?6=3`236=44i8a94?=h9mn1<75rb060>5<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<=n:3c8L60d3A9><6gi1;29?l`52900c:188yg73=3:1>7>50z&07d;?;hd2>5<b083>>{e9>81<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo<6:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5ag=8381<7>t$21b>c`<@:5;|`2`g<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=m5;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a50d=8391<7>t$21b>7g<@:5<o7>52;294~"4;h0mj6F<6b9K7065d83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg74m3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=>h50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:8=4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm15094?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd61l0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<7i:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=l>50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:m<4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0c1>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9ji1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6l80;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo?k2;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a5a5=8381<7>t$21b>c`<@:5;|`2`1<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9m<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6l>0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`2ga<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm1bd94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg7c83:187>50z&07d<6j=1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::a5f>=8391<7>t$21b>7g<@:5<53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb3af>5<5290;w)=>i6j80;66sm2e194?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=d983>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7b>290?6=4?{%10e?7e<2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`20`<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<5383>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?:3;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<;;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`210<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm14594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg73n3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn<86:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`22d<72:0;6=u+32c95g5<@:5<52;294~"4;h0mj6F<6b9K706b083>>{e9?n1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?9e;290?6=8r.8?l4=b:J02f=O;<:0ek?50;9jb7<722cm?7>5;n3a5?6=3th::=4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb042>5<5290;w)=>i6j80;66sm17094?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>6283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?94;297?6=8r.8?l4=a:J02f=O;<:0ek?50;9jb7<722e:n<4?::a533=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th::;4?:583>5}#;:k1>o5G37a8L6373`l:6=44ig094?=nn:0;66a>b083>>{e?k0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg1d29096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a3a<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm7g83>0<729q/?>o52b9K73e<@:?;7dh>:188mc4=831bj>4?::ke0?6=3f;i=7>5;|`;4?6=;3:1;?;hd2>5<b083>>{e9k31<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd6jh0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg7ej3:1>7>50z&07d7>5;n3a5?6=3th:ni4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb0`f>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e9kl1<7=50;2x 65f2;k0D>8l;I164>oa93:17dh=:188k4d62900qo?l0;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~w4c=838pR0z\13>;5?3;oh636b;d1?872j3l:70?:c;d3?874m3l:70?m3l:70?6f;d3?87c93l970c7<58n96k<4=072>c4<58<26k?4=043>c4<5>o1j=521c`9b5=z{?21<7=9mn0q~97:18180?20i01:751c38yv1f2908w087:9a8940a21i015>51c38yv1e2909w09m:0`2?81a2o80q~9l:18181d28h:709i:g18yv1c2909w09k:0`2?81a2o>0q~9j:18181b28h:706?:g08yv1a2909w09i:0`2?8>72o;0q~6k:1826~X?l27::k478:?5?34;i:767;<04>=><503145521``9<==:9l03463>4b8;<>;69=03463>9b8;<>;6;j03463>588;<>;6i:03463>428;<>;6>>03463>c78;<>;6kh0346s|9883>7}:100:hi52288e5>{t1h0;6?u2988:g>;>j3;i=6s|11294?4|V8:;70?>4;;`?xu69=0;6;u210695ab<58ih6k>4=0f6>c4<58i36k<4=06f>c6<5>i1j=5rs036>5<4sW;:96389;d1?87093l;7p}>2c83>=}Y9;h01>l<:g3894b52o;01?j<:g2894362o;01<86:g0894072o;01vP>3b9>56e=9mn0q~?4382f4=z{89n6=4={<30a?7e927:8?4i1:p56`=838p1<=i:0`2?87393l:7p}>4183>7}:9=:1=o?4=062>c452z?204<6j816=9<5f39~w4242909w0?;3;3g`>;6;l0m>6s|15694?4|58>864m4=066>4d63ty:8:4?:2y]511<5;n36k?4=0``>c752z\20f=:9=i1=ij4}r37`?6=:r7:8n46c:?20c<6j81v<:j:181873m3;i=63>558e6>{t9<:1<74d634;>:7h>;|q214<72;q6=8?51c3894322o;0q~?:2;296~;6=;0:n<521479b7=z{8?86=4={<367?7e927:9:4i2:p502=838p1<;;:0`2?873n3l:7p}>5483>7}:9c4:7>52z?213<6j816=895f09~w4302909w0?:7;3a5>;66s|14;94?4|V8?270?:9;3g`>{t9;|q21g<72;q6=8l51c38943b2o;0q~?:c;296~;6=j0:n<5214f9b7=z{8?o6=4={<36`?7e927:9h4i2:p50`=838p1<;6:9a8940128h:7p}>6183>7}:9?:1=o?4=047>c752z?224<6j816=;:5f39~w4052909w0?92;3a5>;6>?0m=6s|17194?4|58<86;<352?`43ty::94?:3y>532=9k;01<8::g08yv71=3:1>v3>6482f4=:9?<1j?5rs044>5<5s4;=;7?kd:?21g28h:70?9e;d1?xu6>00;6?u217c95g7<58b09>53b=n;1v<8m:181871k3;i=63>6d8e7>{t9?i1<74d634;=i7h>;|q22c<72;q6=;h51ef897b>2o80q~?80;296~;6>o02o63>7382f4=z{8=:6=4={<345?7e927:;?4i2:p5b09>5d7=n81va08e6>{t9h;1<74d634;j>7h=;|q2e6<72;q6=l=51ef894?b2o80q~?n4;296~;6i:02o63>a482f4=z{8ki6=4:{<04>d34;??76l;<0:>c453z?2eg<>k27:::47c:?22`<6j81v0156e=1=16=875959>5d5=1=16=9=5959>531=1=1v3;oh63>d28e4>;6=;0m<63>6b8e4>;6>80m<638f;d2?xu6j>0;6?u21c49b09>5ge=n;1vbe8e5>{t9kk1<74d634;ih7h=;|q2fg<72;q6=ol51c3894e72o80q~?mc;296~;6jj0:n<521cg9b4=z{8ho6=4={<3a`?7e927:nh4i2:p5gc=838p1bg83>7}:9kl1=o?4=0a3>c759z?2g3<6lm16=io5f19>5a2=n916=i;5f09>5f>=n816=8=5f19>53g=n816=;=5f19>5g?=n91v33h70?l9;3a5>{t9j21<74d634;h57h=;|q2gd<72jq6=no51ef894be2o;01:g3894b12o:012o;01<;?:g3894332o;01<8n:g0894052o:01:l5f19>5gg=n91v{t9ji1<74d634;o;7h=;|q2ga<72;q6=nj51c3894b72o90q~?le;296~;6kl0:n<521bd9b4=z{8im6=4={<3`b?7e927:h=4i1:p5a7=838p1:0`2?87dm3l:7p}>d383>7}:9m81=o?4=0f;>c752z?2`6<6j816=i65f39~w4b32909w0?k4;3a5>;6ko0m>6s|1e794?4|58n>6;<3``?`63ty:h;4?:3y>5a0=9k;01v3>d682f4=:9m:1j?5rs0f;>5<5s4;o47?m1:?2g`;61j03o63>3b8;g>;>j3l:70?kb;3a5>{t:j21<7;<0g4?:3y>6a5=9k;01?j6:g18yv4c03:1>v3=d982f4=::m31j<5rs2:b>5<5sW93m63{t;k81<7;<1a1?`63ty8n94?:3y>7g2=9k;01>l::g08yv5d83:1?vP513=n916=9>5f19~w1662908wS:?1:?2e0pR9=?;<353??d349i?7h=;<36`?`63twi?:o50;a96g<4;rB89=5+32c9aa=#9h21?>74o50:>5<5<1<75fe`83>>o113:17d8j:188m7e>2900e?mj:188m7b42900c>78:188mc?=831i?;j50;394?6|,:9j6hh4H24`?M5282eni7>5;|`0e6<72<0;6=u+32c9af=O;?i0D>;?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd5kh0;684?:1y'76g=mj1C?;m4H273?l>d2900e4:50;9j<=<722c2o7>5;n3g`?6=3th9ok4?:483>5}#;:k1in5G37a8L6373`2h6=44i8694?=n010;66g6c;29?j7cl3:17pl=d583>0<729q/?>o5eb9K73e<@:?;7d6l:188m<2=831b454?::k:g?6=3f;oh7>5;|`5e?6==3:1N4>j1C?8>4i9a94?=n1=0;66g78;29?l?d2900c6=4?{%10e?cd3A9=o6F<519j5;h:;>5<?2900e4m50;9l5ab=831vn>>50;194?6|,:9j6hl4H24`?M5282.mi7>4i9:94?=n1j0;66a>de83>>{e><0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg0129086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi>ij50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th9hh4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm3583>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=n9;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>on:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a703=8391<7>t$21b>7g<@:5<b083>>{e?;0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo8l:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi:i4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb3f4>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e:m;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd5l;0;6>4?:1y'76g=9k90D>8l;I164>oa93:17dh=:188k4d62900qo50;9l5g7=831vn?mk:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a74<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb50a>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;021<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17pl9e;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn:=50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th<87>52;294~"4;h0mj6F<6b9K706?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd0>3:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<;7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rb27;>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;<31<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4=h0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg52j3:197>50z&07d<5k2B8:n5G3428mc7=831bj?4?::ke7?6=3`l?6=44o0`2>5<o7>53;294~"4;h0:n>5G37a8L6373`l:6=44ig094?=h9k;1<75rs2294?4|5::1=ij4=749b4=z{:81<71<7ht^76893`=1j16:l46c:?1`1<>k279ok46c:?1gd<>k27=:7?m1:?0e<4=3f5>c6<5;n:6k>4=3a`>c6<5:?j6k>4}r46>5<5s4<>6;<45>c4;<16f?`63ty=57>53z\5=>;1l3l:709::g28yv0f2909w08n:0fg?80b2o:0q~8m:18180f21i01;j51c38yv0d2909w08l:0`2?80c2o80q~8j:180[0b34=96k?4=619b5=z{?l1<7>1j=5rs6294?4|5?l14n527382f4=z{>;1<781j?5rs6194?4|5?o1=o?4=649b4=z{>>1<7<1j?5rs6794?4|5>>1=o?4=649b6=z{><1<7<1j95rsdc94??|Vlk01>o<:9:897ef21201?mi:9:897b321201;o5899>2c478:?05?`73tym57>53z\e=>;4ih0m=63<548e6>{t9ho1<76t=24g>`c<5:k864:4=3ab><2<5;im64:4=3f7><2<5?k159526g8:0>;4=:0286s|2b;94?5|V;i2707}::jk1=ij4=27;>c452z?1gdb09~w7ed2909w0;5km0m>6s|2bg94?5|V;in707}::jl1=ij4=3fg>c652z?1gcb09~w7b62909w0;5l;0m>6s|2e194?5|V;n8707}::m>1=ij4=274>c452z?1`1b09~w7b12909w0;5l>0m>6s|2ea94?4|5;nm6;<16=?`73ty9hi4?:3y>6ab=9k;01?ji:g38yv4cm3:1>v3=dd82f4=::ml1j?5rs270>5<5s49>?7?kd:?76d;::0`2?xu4=?0;6>u23419;7>52z?012<6j816?8l5f39~w63?2909w0=:8;3a5>;4=k0m?6s|34;94?4|5:?26;<16f?`33ty89l4?:3y>70g=9k;01>;l:g08yv52j3:1>v3<5c82f4=:;5<2sW93m6395;d3?8532o:01916?4651c38yv5f;3:1>vP7d5=9mn0q~=n8;296~;4i:02o63l1<7?::b:9`6}O;<:0(>=n:00:?!7f039856a>1483>>o3:00;66g>o6i>0;66a>0183>>i40h0;66gn3;29?l5a13:17d?;c;29?j5>=3:17d6n:188m=?=831d?n>50;9j510=831d8=?50;9j5d0=831b?4950;9l7dd=831d?o950;9l5`<722e?=?4?::`02a<7280;6=u+32c9ac=O;?i0D>;?;ngf>5<:>7>55;294~"4;h0no6F<6b9K706>o?03:17d7l:188k4bc2900qoo6:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`a`?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{ej00;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vno;50;194?6|,:9j6hl4H24`?M5282c347>5;h;`>5<5<4290;w)=>o>k3:17b?kd;29?xd4j>0;6>4?:1y'76g=mk1C?;m4H273?l>?2900e4m50;9l5ab=831vn5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`2a?6=;3:1N4>j1C?8>4i9:94?=n1j0;66a>de83>>{e99:1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qok8:180>5<7s-98m7km;I15g>N4=91b454?::k:g?6=3f;oh7>5;|`1<<<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<1<7=50;2x 65f2lh0D>8l;I164>o?03:17d7l:188k4bc2900qo=:e;297?6=8r.8?l4jb:J02f=O;<:0e5650;9j=f<722e:hi4?::a=`<72:0;6=u+32c9ag=O;?i0D>;?;h:;>5<6<729q/?>o5ec9K73e<@:?;7d67:188mf29086=4?{%10e?ce3A9=o6F<519j<=<722c2o7>5;n3g`?6=3th8mo4?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rbd494?5=83:p(>=n:d`8L60d3A9><6g78;29?l?d2900c50z&07d=831b5n4?::m2`a<722wi4<4?:283>5}#;:k1io5G37a8L6373`236=44i8a94?=h9mn1<75rb27g>5<4290;w)=>o>k3:17b?kd;29?xd>l3:1?7>50z&07d=831b5n4?::m2`a<722wi=8650;194?6|,:9j6hl4H24`?M5282.mi7>4i9:94?=n1j0;66a>de83>>{elj0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`236<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl>7`83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th:4<4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd60o0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|``0?6=;3;1?vF<519'76g=9hk0el?50;9je7<722e8>94?::`037<72:0;6=u+32c973d<@:5<<;;<146?7e92wvnn=50;195?5|@:?;7)=of93:17do=:188k6432900n>9=:180>5<7s-98m7=9b:J02f=O;<:0ek?50;9jb7<722e:n<4?::pe4<72;qUm<523609b7=z{h81<7>d4?;0;6>4?:1y'76g=;?h0D>8l;I164>oa93:17dh=:188k4d62900q~o>:181[g6349<>7h=;|qb6?6=:rTj>63<738e5>{t;;>1<7;?;%10e?7fi2cj=7>5;hc1>5<5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{ti80;6?uQa09>724=n;1vl<50;0xZd4<5:=96k?4}r110?6=:rT8>95236095g75}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb7g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5d>3:1>7>50z&07d7>5;n3a5?6=3th?<:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<;47>53;294~"4;h09m6F<6b9K706>i6j80;66sma183>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=90;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn5850;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th94o4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e<8<1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xden3:1>7>50z&07dt$21b>7g<@:5<b083>>{ejj0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vno950;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3thi47>53;294~"4;h09m6F<6b9K706>i6j80;66smb283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qol;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|``2?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{ek10;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vnno50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<5<5290;w)=>i6j80;66sm8283>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?61;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<7;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=4;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:5;4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm18:94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg7>13:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=4o50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`2=g<72:0;6=u+32c95g5<@:5<7>53;294~"4;h09m6F<6b9K706>i6j80;66sm18194?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd6?j0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<9k:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=:k50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`23c<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<>i6j80;66sm16794?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg70>3:1>7>50z&07d5;hd1>5<;?;hd2>5<8l;I164>oa83:17b?m1;29?xdcn3:1>7>50z&07dt$21b>c`<@:5;|`f5?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rbd094?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17plj3;293?6=8r.8?l4=d:J02f=O;<:0ek?50;9jb7<722cm?7>5;hd7>5<>i6j80;66sm3`g94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5fn3:1>7>50z&07d7>5;n3a5?6=3th8n<4?:283>5}#;:k1=o=4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?l`32900c:188yg25;3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi8?:50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th?>84?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<9:7>53;294~"4;h09m6F<6b9K706>i6j80;66sm43594?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg2503:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=5=50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:4:4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706b083>>{e91k1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd60k0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg7?k3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn<6k:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`2<`<72=0;6=u+32c96g=O;?i0D>;?;hd2>5<>i6j80;66sm19694?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd60<0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn<69:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a67<72:0;6=u+32c95g5<@:5<>i6j80;66sm2583>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo<::180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`12?6=;3:1;?;hd2>5<b083>>{e;0;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd41;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg5>;3:1?7>50z&07d<6j:1C?;m4H273?l`62900ek<50;9l5g7=831vn>7;:187>5<7s-98m7N4=91bj<4?::ke6?6=3`l86=44o0`2>5<7>52;294~"4;h0mj6F<6b9K706b083>>{e99?1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd68?0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg77?3:1>7>50z&07dt$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb02a>5<3290;w)=>oa:3:17dh<:188k4d62900qo??3;297?6=8r.8?l4>b29K73e<@:?;7dh>:188mc4=831d=o?50;9~f17?290<6=4?{%10e?4c3A9=o6F<519jb4<722cm>7>5;hd0>5<1<75ff483>>oa>3:17b?m1;29?xd3900;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg26i3:1>7>50z&07dt$21b>c`<@:5;|`75a<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66gi3;29?j7e93:17pl;1g83>6<729q/?>o51c18L60d3A9><6gi1;29?l`52900c:188yg2583:187>50z&07d<5j2B8:n5G3428mc7=831bj?4?::ke7?6=3f;i=7>5;|`0fd<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb2``>5<5290;w)=>i6j80;66sm3cf94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl1<729q/?>o52c9K73e<@:?;7dh>:188mc4=831bj>4?::m2f4<722wi?oh50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|``g?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rbe294?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vni?50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3tho>7>52;294~"4;h0mj6F<6b9K7068l;I164>oa83:17b?m1;29?xdc<3:1>7>50z&07dt$21b>c`<@:5;|`g2?6=;3:1N4>j1C?8>4ig394?=nn;0;66a>b083>>{el>0;694?:1y'76g=:k1C?;m4H273?l`62900ek<50;9jb6<722e:n<4?::aga<72:0;6=u+32c95g5<@:5<:188ygea29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wimo4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<>oa;3:17dh;:188k4d62900qook:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wimh4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb`d94?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188ygd729086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi?nl50;194?6|,:9j6?o4H24`?M5282cm=7>5;hd1>5<N4>j1C?8>4ig294?=h9k;1<75rb2ae>5<5290;w)=>i6j80;66sm3e294?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=k2;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>j<:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`0`1<72:0;6=u+32c95g5<@:5<53;294~"4;h09m6F<6b9K706>i6j80;66sm3ba94?2=83:p(>=n:3`8L60d3A9><6gi1;29?l`52900ek=50;9l5g7=831vn>mk:180>5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a55b=8381<7>t$21b>c`<@:5;|`24`<72:0;6=u+32c95g5<@:5<52;294~"4;h0mj6F<6b9K706>i6j80;66sm10394?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg76:3:1?7>50z&07d<5i2B8:n5G3428mc7=831bj?4?::m2f4<722wi=<=50;694?6|,:9j6N4=91bj<4?::ke6?6=3`l86=44o0`2>5<53;294~"4;h09m6F<6b9K706>i6j80;66sm13394?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl>2383>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo?=3;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn<<;:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi=?;50;094?6|,:9j6kh4H24`?M5282cm<7>5;n3a5?6=3th:>;4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K7061983>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f47>29086=4?{%10e?7e;2B8:n5G3428mc7=831bj?4?::m2f4<722wi=5;hd1>5<>i6j80;66sm10`94?5=83:p(>=n:0`0?M51k2B89=5ff083>>oa:3:17b?m1;29?xd69j0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn5<7s-98m7?m3:J02f=O;<:0ek?50;9jb7<722e:n<4?::a54c=8391<7>t$21b>4d43A9=o6F<519jb4<722cm>7>5;n3a5?6=3th:=k4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb003>5<4290;w)=N4>j1C?8>4ig394?=nn;0;66a>b083>>{t9l0;6?uQ1d9>5`<6lm1v??50;0x94c=1j16>;4>b09~w74=838p1?<51c38970=n81v?=50;0x975=9k;01?;5f09~w72=838p1?:51c38973=n;1v?;50;0x973=9k;01?85f39~w3>=839p1;k51c3894>?2o:01<>::g28yv>62909w06>:0fg?80b2o80q~6=:18b8>6212019>>:9:896e721201>om:9:896>f21201>;k:9:897>?21201<;7:9:894>b09~w=2=838p15:51ef893c=n81v5;50;1x9=2=1j164<46c:?;2?7e92wx444?:04xZ=?<5=;96564=`;9<==:jm03463m9;:;?8d221201o?5899>7g1=0116=<;5899>5`?34835767;<:7>=><5:?n6564=8g9<==:lj03463>728;<>;6?h03463>808;<>;60o0346373;d3?xu?i3:19vP7a:?fde9>5=1=n916==:5f19~w<`=839p14k59b9>=a<>k27j<7?m1:pe6<72;qUm>52e78:g>{ti=0;6lu2a882`a=:k80j=63l6;d1?8bb2o:019<=:g68917?2o;019?n:g289a6=n816h?4i0:?b`?`73tyjm7>52z?b=??d34h;6;|qbf?6=:r7jn7?m1:?ba?`53tyjo7>52z?bg?7e927jj7h>;|qb`?6=:r7jh7?m1:?bb?`53tyji7>52z?ba?7e927i<7h>;|qbb?6=:r7jj7?m1:?a4?`53tyj97>5az?a5?7cl27h=7o=;c7<5l:1j=524319b4=:<821j?5240;9b5=:l90m>63k1;d3?8gd2o;0q~l=:1818d620i01o:51c38yvd42909w0l<:0`2?8d32o80q~o9:18:8d228no70m=:`089f>=n816i?4i0:?766c45<5s4h<6;<`;>c44bc34i86l<4=bc9b4=:m80m<63;258e4>;39m0m<63k4;d3?8gd2o90q~ln:1818d>20i01om51c38yvde2909w0lm:0`2?8dd2o80q~o7:18;8dc28no70m;:`089`5=n;168?;5f19>04e=n916h=4i3:?g7?`734kh6k:4}r`f>5<5s4ho64m4=b295g74d634i;6k<4}ra6>5<4s4i96l?4=b495g7<5j21j?5rsb594?5|5j91m<52c982f4=:kh0m>6s|c883>7}:k=0j=63la;3a5>{tkk0;68u2bg8e4>;ej3l;70l8:g289g5=n916ok4>b09~wfe=838p1nm51c389a0=n;1vnj50;0x9fb=9k;01nk5f39~wfc=838p1nk51c389f`=n;1vi>50;0x9a6=9k;01nj5f09~wa7=838p1i?51c389a1=n;1vi<50;0x9a4=9k;01i95f09~wa5=838p1i=51c389a1=n:1vi:50;0x9a2=9k;01nk5f09~wa3=838p1i;51c389fc=n:1vi850;0x9a0=9k;01nh5f09~wa1=838p1i951c389fb=n;1vi650;0x9f7=;;>01o:5f09~wa?=838p1n<533689g>=n81vio50;0x9f5=;;>01om5f09~wad=838p1n:533689f6=n81vim50;1x9ae=9mn01<66:g2894612o:0q~jk:1818bd20i01h=51c38yvbb2909w0jj:0`2?8c42o;0q~ji:1818ba28h:70k<:g18yvc72909w0k?:0`2?8c42o?0q~k>:1818c628h:70k<:g68yvc52909w0k=:0`2?8c42o<0q~k9:1878c020i01h851ef89fe=n916mo4i0:pa2<72:q6i:4>de9>`3;|qf=?6=:r7n:767;4d63ty:<=4?:5y]556<58:;6:47h;;|q244<72=q6===51c3894772o;01<<::g28947>2o;0q~??2;296~;68;0:n<5211;9b4=z{8:?6=4={<330?7e927:<44i2:p553=838p1<>::0`2?877j3l:7p}>0783>7}:99<1=o?4=02b>c752z?242<6j816==o5f39~w46?2909w0??8;3a5>;68:0m>6s|11;94?4|58:26;<33f?`53ty:55g=9k;01<>m:g18yv77j3:1>v3>0c82f4=:9991j<5rs02`>5<5s4;;<77l;<327?7e92wx==j50;0x946c28h:70?>0;d1?xu68l0;6?u211g95g7<58;:6k?4}r33b?6=:r7:b09>547=n;1v138e6>{t98;1<74d634;:?7h<;|q257<72;q6=<<51c3894742o;0q~?>5;297~X69<16=<;51ef8947a2o;0q~?>6;296~;69<02o63>2182f4=z{8;<6=4={<323?7e927:=o4i1:p54>=838p11883>7}:9831=o?4=03`>c752z?25d<6j816=?>5f39~w47e2909w0?>b;3a5>;69l0m=6s|10a94?4|58;h6;<32`?`53ty:=i4?:3y>54b=9k;01v3>1d82f4=:98l1j?5rs03e>5<5s4;:j7?m1:?265>4>b09>54?=n;1v<<;:181875<3;i=63>1b8e6>{t9;?1<74d634;:m7h=;|q263<72;q6=?851c38947f2o90q~?=7;296~;6:>0:n<5210c9b1=z{8836=4={<31de9>5>4i1:?24`7<:g3896eb2o:01>j;:g38946a2o:017882f4=z{8=>6=4={<341?7e927:;:4i2:p520=838p1<99:0`2?87003l97p}>7683>7}:9>=1=o?4=05:>c752z?23=<6j816=:75f39~w41f290nw0?8a;3g`>;61=0m<63>9c8e5>;6?o0m=63>768e5>;5;3l970=60;d3?85ek3l;70=lf;d3?85c83l;70??d;d3?877m3l970?=2;d3?87503l:7p}>7c83>7}:9>k15n5219295g752z?23f<6j816=:k5f39~w41c2909w0?8d;3a5>;6?o0m>6s|16g94?4|58=n6;<3;4?`63ty:;k4?:3y>52`=9k;01<6?:g08yv7?93:1jv3>8082`a=:90;1j=5218:9b4=:9>o1j<5216:9b4=:;k:1j<521979b4=::;0m>63<938e4>;4jh0m<63;69;0m=63>228e4>;6910m=63>1`8e5>{t9181<7;|q2<6<72;q6=5=51c3894>d2o;0q~?74;296~;60=0:n<521979b7=z{82>6=4={<3;1?7e927:4;4i2:p5=1=838p1<68:0`2?87?k3l97p}>8983>7}:9121=o?4=0:f>c752z?2<<<6j816=5j5f09~w4>f2909w0?7a;3a5>;60m0m>6s|19`94?4|582i6;<3;0?`53ty:4n4?:3y>5=e=9k;01<6j:g08yv7?l3:1>v3>8e82f4=:91o1j>5rs0:f>5<5s4;3i7?m1:?2<1968e5>;6?j0m>63>748e5>;6??0m<63;4io0m<63>878e5>;5:3l:70=61;d3?85ej3l;70=lb;d2?85c93l;70?>3;d1?876?3l970?=4;d3?875>3l;7p}>9183>7}:91l15n5218195g752z?2=4<6j816=495f39~w4?52909w0?62;3a5>;61:0m>6s|18694?4|583?6;<3:5<3=9k;01<76:g08yv7>>3:1>v3>9782f4=:9081j?5rs0;4>5<5s4;2;7?m1:?2=db09>5<5=n81v<7m:18187>j3;i=63>938e5>{t9h<1<7a683>6}Y9h=01>lk:g2896ee2o80q~<76;297~;50>0:n<5219c9b5=:99=1j=5rs3:;>5<5s48347?kd:?1<25750;0x97>>28no70<77;d2?xu50h0;6>u229;9=f=::1215n5229`95g7h7>53z?01a<6lm168?<5f29>04>=n<1v>;j:180852m3;oh63;238e6>;3910m:6s|34d94?5|5:?n64m4=27g>;|q04bc3ty84k4?:3y>7=g=1j16?4:51c38yv5>83:1>v3<9182f4=:;091j?5rs2;2>5<5s492=7?m1:?0=15<4sW92;6376;d3?875?3l;7p}7}Y;h901l>5f19~w6ge2909wS=nb:?0eg<6lm1v>ok:18185fj33h70=m1;3a5>{t;ho1<74d6349i=7h>;|q0ec<72;q6?lh51c3896d72o80q~=m0;296~;4j90:n<523c39b7=z{:h<6=4={_1a3>;4j>0:hi5rs2`:>5<5s49i;77l;<1ab?7e92wx?oo50;0x96df28h:70=me;d1?xu4jk0;6?u23c`95g7<5:hn6k?4}r1ag?6=:r78nn4>b09>7gc=n:1v>lk:18185el3;i=63{t;ko1<74d6349ij7h>;|q0g5<72;qU?n>4=2a3>4bc3ty8o84?:3y>7f1=9k;01>m6:g28yv5d>3:1>v35<5s49h<77l;<1`=?7e92wx?no50;1x96e12o:019>8:g2896ec28h:7p}7}:;jh1=o?4=2f6>c752z?0gf<6j816?nj5f39~w6eb2909w0=le;3a5>;4l:0m=6s|3bd94?4|5:im6;<1g7?`53ty8h=4?:3y>7a6=9k;01>j;:g08yv5c93:1>v35<5s49o>7?m1:?0gfb09>7fb=n81v>h6:181[5a12794o4i0:p057=838pR9>>;<635?7cl2wx8=850;0x916?28h:70:?a;d3?xu38>0;6?u241595g7<5=:36k<4}r63=?6=:r7?<<46c:?74d<6j81v9?=:180[26:27?=?4>de9>`c0;6?u24009b09>04`=n81v9?6:18182613;i=63;1d8e6>{t<8k1<74d634>:i7h>;|q75g<72;q68c;296~;39j0:n<524329b4=z{=;o6=4={<62`?7e927?>=4i3:p04c=838p19?j:0`2?826n3l97p};1g83>7}:<8l1=o?4=503>c49=7>52z?75354>b09~w1452909w0:=2;3a5>;3:10m=6s|43194?4|5=886;<613?`63ty?>94?:3y>072=9k;019<9:g38yv25=3:1>v3;2482f4=:<;<1j?5rs505>5<5s4>9:7?m1:?7622<4i39owE=:0:&07d<>=2.:m54<389l74`=831b>h>50;9l74b=831bil4?::m07g<722e88:4?::k;f?6=3k9=h7>51;294~"4;h0nj6F<6b9K7065<2290;w)=>o><3:17d67:188m6=4?{%10e?cd3A9=o6F<519j5;h:;>5<5;|`002<72<0;6=u+32c9af=O;?i0D>;?;h:`>5<1<75f8983>>o>k3:17b?kd;29?xd5m00;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1ad<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=ec83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9in4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5mm0;6>4?:1y'76g=mk1C?;m4H273?!`b291b454?::k:g?6=3f;oh7>5;|`1a`<72:0;6=u+32c9ag=O;?i0D>;?;%df>5=n010;66g6c;29?j7cl3:17pl=eg83>6<729q/?>o5ec9K73e<@:?;7)hj:19j<=<722c2o7>5;n3g`?6=3th9j=4?:283>5}#;:k1io5G37a8L6373-ln6=5f8983>>o>k3:17b?kd;29?xd5n>0;6>4>:2yK706<,:9j65<81<7=50;2x 65f2:;4?;0:n<5r}c0e2?6=;3;1?vF<519'76g=9hk0el?50;9je7<722e8>94?::`037<72:0;6=u+32c973d<@:5<<;;<146?7e92wvn?h::180>4<4sA9><6*<3`82ed=ni80;66gn2;29?j55<3:17o=82;297?6=8r.8?l4<6c9K73e<@:?;7dh>:188mc4=831d=o?50;9~wd7=838pRl?4=251>c47}Y;;>01>9=:0`2?x{e:o>1<7=51;1xL6373-98m7?na:kb5?6=3`k96=44o207>5<>i6j80;66s|a083>7}Yi816?:<5f39~wd4=838pRl<4=251>c752z\061=:;>81=o?4}|`1b6<72:0:6>uG3428 65f28kj7do>:188md4=831d??:50;9a724=8391<7>t$21b>60e3A9=o6F<519jb4<722cm>7>5;n3a5?6=3tyj=7>52z\b5>;4?;0m>6s|a383>7}Yi;16?:<5f09~w6432909wS==4:?037<6j81vqo>i4:=0;66l<7383>6<729q/?>o537`8L60d3A9><6gi1;29?l`52900c:188yvg62909wSo>;<146?`53tyj>7>52z\b6>;4?;0m=6s|33694?4|V:8?70=82;3a5>{zj;l:6=4<:080M5282.8?l4>a`9je4<722cj>7>5;n110?6=3k9<>7>53;294~"4;h08:o5G37a8L6373`l:6=44ig094?=h9k;1<75rs`394?4|Vh;01>9=:g08yvg52909wSo=;<146?`63ty8>94?:3y]772<5:=96;|a74c=8381<7>t$21b>c`<@:5;|`00d<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<;?;hd2>5<>i6j80;66sm31d94?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl=f`83>6<729q/?>o52`9K73e<@:?;7dh>:188mc4=831d=o?50;9~f7`d29086=4?{%10e?4f3A9=o6F<519jb4<722cm>7>5;n3a5?6=3th9jh4?:283>5}#;:k1>l5G37a8L6373`l:6=44ig094?=h9k;1<75rb223>5<4290;w)=>oa:3:17b?m1;29?xd48;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn>>;:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`1b=<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig394?=nn;0;66a>b083>>{e;;;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4:;0;6>4?:1y'76g=:h1C?;m4H273?l`62900ek<50;9l5g7=831vn><<:180>5<7s-98m7N4=91bj<4?::ke6?6=3f;i=7>5;|`056<72;0;6=u+32c9bc=O;?i0D>;?;hd3>5<N4>j1C?8>4ig294?=h9k;1<75rb236>5<5290;w)=>i6j80;66sm30494?4=83:p(>=n:gd8L60d3A9><6gi0;29?j7e93:17pl<1683>7<729q/?>o5fg9K73e<@:?;7dh?:188k4d62900qo=>8;296?6=8r.8?l4if:J02f=O;<:0ek>50;9l5g7=831vn>?6:181>5<7s-98m7hi;I15g>N4=91bj=4?::m2f4<722wi?5;n3a5?6=3th8=o4?:883>5}#;:k1>k5G37a8L6373`l:6=44ig094?=nn:0;66gi4;29?l`22900ek850;9jb2<722cm47>5;n3a5?6=3th8?i4?:383>5}#;:k1jk5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mj6F<6b9K706;6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e;=;1<7<50;2x 65f2ol0D>8l;I164>oa83:17b?m1;29?xd4<;0;6?4?:1y'76g=no1C?;m4H273?l`72900c:188yg53;3:1>7>50z&07dt$21b>c`<@:5;|`003<7210;6=u+32c96`=O;?i0D>;?;hd2>5<>oa<3:17dh::188mc0=831bj:4?::m2f4<722wi?>k50;194?6|,:9j6N4=91bj<4?::ke6?6=3f;i=7>5;|`00a<72:0;6=u+32c96d=O;?i0D>;?;hd2>5<n6=4=:183!54i3lm7E=9c:J015=nn90;66a>b083>>{e;=l1<7=50;2x 65f28h87E=9c:J015=nn80;66gi2;29?j7e93:17p}7b;293~X?j2788546c:?07g<>k2788:46c:?00dr79i44>de9>6c7=i816>ko5f39>6c>=n916?<=5f19>76b=n91v?k=:18684bi3;oh63=f08b6>;5nh0m=63<158e4>;4;o0m<6s|2d194?3|5;oi6;<121?`7349?<7h?;|q1a1<72hm51ef897`42h801?hj:g3896712o:01>:>:g28yv4b=3:19v3=ee82`a=::o>1m?523129b4=:;8=1j=523519b5=z{;o=6=49{<0fa?7cl279j84n2:?047?4i1:?05=3k970=?4;d2?85583l970=>9;d3?853<3l;7p}=e983>1}::o:1=ij4=3d4>d4<5:8;6k?4=23b>c653z?1b7b09>6ce=n;1v?hm:18084a;3k:70;5nl0m>6s|2gf94?5|5;l?6l?4=3df>4d6349;<7h=;|q1bc<72:q6>k;5a09>756=9k;01>>=:g08yv5793:1?v3=f78b5>;48;0:n<523169b7=z{::86=4={<0e3?g6349;87?m1:p753=838p1?k6:8a897`?28h:7p}<0783>7}::lk15n522g3977252z?1ag<>k279j?4<259~w66?2909w06433ty86`c=1j16>k;53368yv57j3:1>v3=eg8:g>;5n?08>95rs22`>5<5s48m<77l;<0e3?55<2wx?=j50;1x966c28no70=>e;d3?85593l;7p}<0d83>7}:;9n15n5231d95g752z?04ab09~w675290>w0=;b;d2?85693l970=?f;d3?856j3;i=63<4e8e5>{t;891<74d6349:n7h>;|q051<72;q6?<:51c38967e2o80q~=>5;296~;49<0:n<5230`9b6=z{:;=6=4={<122?7e9278=o4i4:p741=838p1>?8:0`2?856j3l>7p}<1983>7}:;821=o?4=23a>c052z?05<<6j816?a;3a5>;49k0m46s|30f94?4|V:;o70=>e;3a5>{t;8l1<7;<117?`63ty8><4?:3y>777=9k;01><=:g08yv55:3:1>v3<2382f4=:;;91j?5rs21a>5<5sW98n63<3c82`a=z{:9h6=4={<10f?>d3498i7?m1:p76b=838p1>=k:0`2?853>3l:7p}<3g83>7}:;:l1=o?4=265>c452z?005<6j816?985f29~w6262909w0=;1;3a5>;496;<172?`13ty88>4?:3y>715=9k;01>:9:g78yv53<3:1>v3<4582f4=:;=<1j:5rs266>5<5s49?97?m1:?07`0;6?uQ3558962028no7p}<4983>7}:;=21=ij4=26g>c452z?00=b09~w62f2909w0=;a;3a5>;46s|35a94?4|5:><65m4=26e>4d63ty88i4?:3y>71b=9k;01>:i:g38yv53m3:1>v3<4d82f4=:;=l1j?5r}c1;N4>j1C?8>4ig294?=h9k;1<75rb256>5<5290;w)=>i6j80;66sm36494?5=83:p(>=n:3c8L60d3A9><6gi1;29?l`52900c:188yg5?;3:1>7>50z&07d329096=4?{%10e?`a3A9=o6F<519jb5<722e:n<4?::a7=3=8391<7>t$21b>7g<@:5<52;294~"4;h0mj6F<6b9K706>i6j80;66sm9283>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo=7c;296?6=8r.8?l4id:J02f=O;<:0ek>50;9l5g7=831vn9><:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi?4m50;094?6|,:9j6kj4H24`?M5282cm<7>5;n3a5?6=3th8jl4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<52;294~"4;h0mh6F<6b9K706b083>>{e;j81<7<50;2x 65f2on0D>8l;I164>oa83:17b?m1;29?xd?n3:1>7>50z&07dt$21b>cb<@:5;|`f1?6=:3:1N4>j1C?8>4ig294?=h9k;1<75rb511>5<5290;w)=>i6j80;66sm5c83>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;n:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi944?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<b083>>{e=?0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg3229096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a11<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm5383>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo;>:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9=4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<m6=4=:183!54i3lo7E=9c:J015=nn90;66a>b083>>{e:188yg2c29096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a26<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<5<5290;w)=>i6j80;66sm6083>7<729q/?>o5fe9K73e<@:?;7dh?:188k4d62900qo8?:181>5<7s-98m7hk;I15g>N4=91bj=4?::m2f4<722wi9k4?:383>5}#;:k1ji5G37a8L6373`l;6=44o0`2>5<b083>>{e=m0;6?4?:1y'76g=nm1C?;m4H273?l`72900c:188yg3d29096=4?{%10e?`c3A9=o6F<519jb5<722e:n<4?::a12<72;0;6=u+32c9ba=O;?i0D>;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<;?;hd3>5<5<5s4952z?0<5<6m278494i0:p62<72;q6?5>5269>7=>=n91v>;50;0xZ63<5=n1j=5rs2494?4|V:<019k5f19~w61=838pR>94=5d9b5=z{:21<7750;0xZ6?<5<;1j=5rs2c94?4|V:k018<5f19~w6d=838pR>l4=419b5=z{:i1<7j50;0xZ6b<5h4=459b5=z{=:1<7=n91v9?50;0xZ17<5<31j=5rs5094?4|V=8018o5f19~w12=838pR9:4=4`9b5=z{=?1<7=838pR964=4d9b5=z{=31<70=:<:91963;d;3a5>{tu236;92>;3;:0=70:j:0`2?xu3n3:1?v3<7884?824;3=019h51c38yv372908w0=89;:8915421169=4>b09~w07=839p1>96:89>065=127>=7?m1:p17<72:q6?:75a:?776;|q67?6=;r78;44m;<607?d<5<91=o?4}r77>5<4s49<57m4=510>f=:==0:n<5rs4794?5|5:=26i524219`>;2=3;i=6s|5783>6}:;>31i63;328f?83128h:7p}:7;296~;3;:0m70;8:0`2?xu203:1?v3<78824>;3;:0:<63:8;3a5>{t=00;6>u236;957=:<:91=?525882f4=z{45<55<5s49<57?:;<7a>4d63ty>o7>52z?776<6>27>o7?m1:p1a<72;q68>=5169>1a<6j81v8k50;0x9154282018k51c38yv3a2909w0:<3;3:?83a28h:7p}90;296~;3;:0:m6390;3a5>{t>80;6?u242195g=:>80:n<5rs7094?5|5:=264e<5?81=o?4}r40>5<4s49<57?k;<607?7c34<86;|q50?6==r78;4494:?776<1<2784=494:?03d<1<278;547b:p32<72;q6?5>5699>72g=>l1v5k50;0xZ=c<51l1j=5rs9d94?4|5:=m6574=9d95g7:185850132o70:<3;:g?85?832o70=8a;gb?85003oj707>:0`2?xu>:3:1>vP62:?:7?`73ty2?7>52z?03c{tm<0;6?u236d9e6=:m<0:n<5rsg;94?4|5:=26k74=25b>c?52z?0<5<68916?:h51128yv76=3:1>v3<818250=:;>l1=<;4}r31f?6=:r78;44>2c9>7=6=9;h0q~?52z?03<<6<>16?5>51558yv73k3:1>v3<81820f=:;>l1=9m4}r36=?6=:r784=4>589>72`=9h=0q~?6c;296~;4090:5n5236d95d052z\2``=::=21=o?4}r3gb?6=:rT:hk5225g95g752z\2a4=::=l1=o?4}r3f6?6=:rT:i?5224295g752z\2a6=::<<1=o?4}r3f0?6=:rT:i95224a95g752z\2a0=::?81=o?4}r3f2?6=:rT:i;5227:95g752z\2a2=::?o1=o?4}r3f52z\2a<=::>k1=o?4}r3fe?6=:rT:il5229295g752z?03<<6mj168>=51da8yv4303:1>v3;3282``=::=21j=5rs36f>5<5s4>8?7?kf:?10`9h50;0x915428o:70<;f;d3?xu5=90;6?u242195`4<5;?;6k>4}r062?6=:r7??>4>e29>600=n91v?;l:181824;3;n863=5b8e4>{t:?81<74c2348=>7h?;|q12=<72;q68>=51d48970?2o:0q~<9e;296~;3;:0:i:5227g9b5=z{;=?6=4={<607?7b0279;94i0:p62g=838p19=<:0g:?840i3l;7p}=8183>7}:<:91=ho4=3:3>c652z?03<<50j168>=529a8yv4ci3:1>v3<8181g==:;>k1>nk4}r0gf?6=:r7??>4=c99>72g=:j30q~52z?776<49m16?:6530f8yv56n3:1>v3;32805c=:;>21?723=n91v>{t;:h1<765e349;|q002<72;q6?:65355896112o;0q~=84;296~;4?h0=563<7782f4=z{:=>6=4={<141?7e9278;;4i2:p72d=838p1>9n:3f0?850m3;i=6s|36a94?4|5:=o6;<14a?`53ty84<4?:3y]7=7<5:2=6;|q0<7<72;q6?5=51c3896>22o;0q~=73;296~;40=0:n<523979b7=z{:2=6=4={<1;1?7e92784;4i0:p7=1=838pR>68;<1;=?7e92wx?5750;0x96>?28h:70=79;d3?xu40k0;6?uQ39`896>d2o:0q~=7c;290~;3;:084l5239297=g<5:=j6>6n;<1;g?7e92wx?5j50;0xZ6>c3493i7?m1:p7=c=838p1>9i:2:b?85?m3l;7p}<9483>7}Y;0?01>79:0`2?xu41?0;6?u236d97<3<5:3=6k>4}r1:=?6=:rT8545238c95g752z?03d<41>16?4o5f19~w6?e2909wS=6b:?0=f{t;0n1<77k;<1b4?`73ty8m94?:3y]7d2<5:k>6;|q0e0<72;q6?:o53`1896g22o:0q~=n6;296~X4i?16?l95f19~w6g02909w0=8f;1b7>;4i>0:n<5rs2ca>5<5sW9jn63l=;<1a2?7e92wx?o850;0x96>72:h970=m6;d3?xu4j>0;6?uQ3c5896d?28h:7p}7}:;>l1?o94=2`;>c652z\0g4=:;j81j=5rs2a1>5<4s4>8?7=l0:?0<5<4k916?n<51c38yv5d;3:1>vP7f2=9k;0q~=l4;296~;4?o08o=523b69b5=z{:no6=4={_1g`>;4m90:n<5rs2g3>5<5s4>8?7=kd:?0a57}Y;o:01>h>:0`2?xu4n80;6?u2421905d<5:l:6k>4}r1e6?6=:rT8j?523g:95g752z?776<4n;16?k65f19~w6`>2909wS=i9:?0bd2:l270=8f;1e=>;4nh0:n<5rs2da>5<5sW9mn63hj;<634?7e92wx8=>50;0x91542:ln70:?0;d3?xu38;0;6?uQ410891642o:0q~:?3;297~;3;:0?<<523929057<5=:86;|q741<72;qU8=:4=526>4d63ty?<84?:3y>72`=<9;019>::g28yv26;3:1>vP;129>042=9k;0q~:>4;296~;4?o0?=?524069b5=z{=8h6=4={_61g>;3:m0:n<5rs50g>5<5s499j7h?;|q76c<72;q6?:h543;8914a28h:7p};3083>7}Y<:;019==:g28yv24:3:18v3<788775=:<:918>>4=2:3>15734>8>7?m1:~jc452909wE=:0:mb75=838pD>;?;|le61<72;qC?8>4}od11?6=9rB89=5rng05>5<6sA9><6saf3594?7|@:?;7p`i2983>4}O;<:0qch=9;295~N4=91vbkn4?:0yK70651zJ015=zfo8n6=4>{I164>{in;l1<7?tH273?xha;90;6;?;|le71<728qC?8>4}od01?6=9rB89=5rng15>5<6sA9><6saf2594?7|@:?;7p`i3983>4}O;<:0qch<9;295~N4=91vbk=n:182M5282wej>l50;3xL6373tdm?n4?:2yK70653zJ015=zfo9n6=4<{I164>{in:l1<7=tH273?xha<90;6>uG3428yk`393:1?vF<519~jc252908wE=:0:mb15=839pD>;?;|le01<72:qC?8>4}od71?6=5<5sA9><6saf5594?5|@:?;7p`i4983>1}O;<:0qch;9;296~N4=91vbk:n:181M5282wej9l50;0xL6373tdm8n4?:3yK70652zJ015=zfo>n6=4={I164>{in=l1<7;?;|le11<72:qC?8>4}od61?6=9rB89=5rng75>5<5sA9><6saf4594?7|@:?;7p`i5983>3}O;<:0qch:9;295~N4=91vbk;n:181M5282wej8l50;3xL6373tdm9n4?:3yK706h7>53zJ015=zfo?n6=4<{I164>{in90;6>uG3428yk`193:1?vF<519~jc052908wE=:0:mb35=839pD>;?;|le21<72:qC?8>4}od51?6=;rB89=5rng45>5<6sA9><6saf7594?7|@:?;7p`i6983>4}O;<:0qch99;295~N4=91vbk8n:182M5282wej;l50;3xL6373tdm:n4?:0yK70651zJ015=zfo{I164>{in?l1<7?tH273?xha?90;6;?;|le33<72;qC?8>4}od43?6=:rB89=5rng5;>5<5sA9><6saf6;94?4|@:?;7p`i7`83>7}O;<:0qch8b;296~N4=91vbk9l:181M5282wej:j50;0xL6373tdm;h4?:3yK70653zJ015=zfo2;6=4<{I164>{in1;1<7=tH273?xha0;0;6>uG3428yk`?;3:1?vF<519~jc>32908wE=:0:mb=3=838pD>;?;|le<3<72;qC?8>4}od;3?6=:rB89=5rng:;>5<5sA9><6saf9;94?4|@:?;7p`i8`83>4}O;<:0qch7b;296~N4=91vbk6l:182M5282wej5j50;3xL6373tdm4h4?:0yK70651zJ015=zfo3;6=4>{I164>{in0;1<7?tH273?xha1;0;6;3:1=vF<519~jc?3290?wE=:0:mb<3=83;pD>;?;|le=3<72;qC?8>4}od:3?6=9rB89=5rng;;>5<5sA9><6saf8;94?7|@:?;7p`i9`83>7}O;<:0qch6b;295~N4=91vbk7l:181M5282wej4j50;3xL6373tdm5h4?:3yK70651zJ015=zfok;6=4={I164>{inh;1<7?tH273?xhai;0;6?uG3428yk`f;3:1=vF<519~jcg32909wE=:0:mbd3=83;pD>;?;|lee3<72;qC?8>4}odb3?6=9rB89=5rngc;>5<5sA9><6saf`;94?7|@:?;7p`ia`83>6}O;<:0qchnb;295~N4=91vbkol:181M5282wejlj50;3xL6373tdmmh4?:3yK70651zJ015=zfoh;6=4={I164>{ink;1<7?tH273?xhaj;0;6?uG3428yk`e;3:1=vF<519~jcd32909wE=:0:mbg3=83;pD>;?;|lef3<72:qC?8>4}oda3?6=9rB89=5rng`;>5<5sA9><6safc;94?7|@:?;7p`ib`83>7}O;<:0qchmb;295~N4=91vbkll:180M5282wejoj50;3xL6373tdmnh4?:3yK70651zJ015=zfoi;6=4={I164>{inj;1<7?tH273?xhak;0;6?uG3428yk`d;3:1=vF<519~jce32909wE=:0:mbf3=83;pD>;?;|leg3<72=qC?8>4}o3b0?6=9rB89=5rn0c6>5<6sA9><6sa1`594?7|@:?;7p`>a983>4}O;<:0qc?n9;295~N4=91vb51zJ015=zf8kn6=4>{I164>{i9hl1<7?tH273?xh6j90;6;?;|l2f1<728qC?8>4}o3a1?6=9rB89=5rn0`4>5<6sA9><6sa1c:94?7|@:?;7p`>b883>4}O;<:0qc?ma;295~N4=91vb51zJ015=zf8i;6=4>{I164>{i9j;1<7?tH273?xh6k;0;6;?;|l2g2<728qC?8>4}o3`5<6sA9><6sa1bc94?7|@:?;7p`>cb83>4}O;<:0qc?ld;295~N4=91vb7>51zJ015=zf8n86=4>{I164>{i9m>1<7?tH273?xh6l<0;63:1=vF<519~j4b0290:wE=:0:m5a>=83;pD>;?;|l2`<<728qC?8>4}o3ge?6=9rB89=5rn0fa>5<6sA9><6sa1ea94?7|@:?;7p`>de83>4}O;<:0qc?ke;295~N4=91vb50;3xL6373td:i<4?:0yK7067>51zJ015=zf8o86=4>{I164>{i9l>1<7?tH273?xh6m<0;63:1=vF<519~j4c0290:wE=:0:m5`>=83;pD>;?;|l2a<<728qC?8>4}o3fe?6=9rB89=5rn0ga>5<6sA9><6sa1da94?7|@:?;7p`>ee83>4}O;<:0qc;=7;295~N4=91vb8<6:182M5282we9?o50;3xL6373td>>o4?:0yK70651zJ015=zf<8o6=4>{I164>{i=;o1<7?tH273?xh2:o0;6;?;|l605<728qC?8>4}o775?6=9rB89=5rn461>5<6sA9><6sa55194?7|@:?;7p`:4583>4}O;<:0qc;;5;295~N4=91vb8:9:182M5282we99950;3xL6373td>854?:0yK70651zJ015=zf<>j6=4>{I164>{i==h1<7?tH273?xh2;?;|l615<728qC?8>4}o765?6=9rB89=5rn471>5<6sA9><6sa54194?7|@:?;7p`:5583>4}O;<:0qc;:5;295~N4=91vb8;9:182M5282we98950;3xL6373td>954?:0yK70657>51zJ015=zf{I164>{i=;?;|l625<728qC?8>4}o755?6=9rB89=5rn441>5<6sA9><6sa57194?7|@:?;7p`:6583>4}O;<:0qc;95;295~N4=91vb889:182M5282we9;950;3xL6373td>:54?:0yK70651zJ015=zf<{I164>{i=?h1<7?tH273?xh2>j0;6;?;|l635<728qC?8>4}o745?6=9rB89=5rn451>5<6sA9><6sa56194?7|@:?;7p`:7583>4}O;<:0qc;85;295~N4=91vb899:182M5282we9:950;3xL6373td>;54?:0yK70651zJ015=zf<=j6=4>{I164>{i=>h1<7?tH273?xh2?j0;6;?;|l6<5<728qC?8>4}o7;5?6=9rB89=5rn4:1>5<6sA9><6sa59194?7|@:?;7p`:8583>4}O;<:0qc;75;295~N4=91vb869:182M5282we95950;3xL6373td>454?:0yK70651zJ015=zf<2j6=4>{I164>{i=1h1<7?tH273?xh20j0;6b290:wE=:0:m1=`=83;pD>;?;|l6=5<728qC?8>4}o7:5?6=9rB89=5rn4;1>5<6sA9><6sa58194?7|@:?;7p`:9583>4}O;<:0qc;65;295~N4=91vb879:182M5282we94950;3xL6373td>554?:0yK70651zJ015=zf<3j6=4>{I164>{i=0h1<7?tH273?xh21j0;6l3:1=vF<519~j0?b290:wE=:0:m1<`=83;pD>;?;|l6e5<728qC?8>4}o7b5?6=9rB89=5rn4c1>5<6sA9><6sa5`194?7|@:?;7p`:a583>4}O;<:0qc;n5;295~N4=91vb8o9:182M5282we9l950;3xL6373td>m54?:0yK70651zJ015=zf{I164>{i=hi1<7?tH273?xh2im0;6;?;|l6f4<728qC?8>4}o7a6?6=9rB89=5rn4`0>5<6sA9><6sa5c694?7|@:?;7p`:b483>4}O;<:0qc;m6;295~N4=91vb8l8:182M5282we9o650;3xL6373td>n44?:0yK70651zJ015=zf{I164>{i=ki1<7?tH273?xh2jm0;6;?;|l6g4<728qC?8>4}o7`6?6=9rB89=5rn4a0>5<6sA9><6sa5b694?7|@:?;7p`:c483>4}O;<:0qc;l6;295~N4=91vb8m8:182M5282we9n650;3xL6373td>o44?:0yK70651zJ015=zf{I164>{i=ji1<7?tH273?xh2km0;6;?;|l6`4<728qC?8>4}o7g6?6=9rB89=5rn4f0>5<6sA9><6sa5e694?7|@:?;7p`:d483>4}O;<:0qc;k6;295~N4=91vb8j8:182M5282we9i650;3xL6373td>h44?:0yK70651zJ015=zf{I164>{i=l81<7?tH273?xh2m:0;63:1=vF<519~j0c0290:wE=:0:m1`>=83;pD>;?;|l6a<<728qC?8>4}o7ff?6=9rB89=5rn4gg>5<6sA9><6sa5dg94?7|@:?;7p`:eg83>4}O;<:0qc;i0;295~N4=91vb8h::182M5282we9k850;3xL6373td>j:4?:0yK70651zJ015=zf>3h6=4>{I164>{i?0l1<7?tH273?xh0i90;6;?;|l4e1<728qC?8>4}o5b1?6=9rB89=5rn6c4>5<6sA9><6sa7`:94?7|@:?;7p`8a`83>4}O;<:0qc9ne;295~N4=91vb:oi:182M5282we;o>50;3xL6373td7>51zJ015=zf>h86=4>{I164>{i?k>1<7?tH273?xh0j>0;6290:wE=:0:m3gg=83;pD>;?;|l4fg<728qC?8>4}o5ag?6=9rB89=5rn6`g>5<6sA9><6sa7cg94?7|@:?;7p`8bg83>4}O;<:0qc9l0;295~N4=91vb:m=:182M5282we;n=50;3xL6373td51zJ015=zf>i=6=4>{I164>{i?j=1<7?tH273?xh0k10;6;?;|l4gf<728qC?8>4}o5``?6=9rB89=5rn6af>5<6sA9><6sa7bd94?7|@:?;7p`8d183>4}O;<:0qc9k1;295~N4=91vb:j=:182M5282we;i=50;3xL6373td51zJ015=zf>n<6=4>{I164>{i?m21<7?tH273?xh0l00;6;?;|l4`a<728qC?8>4}o5ga?6=9rB89=5rn6fe>5<6sA9><6sa7d294?7|@:?;7p`8e083>4}O;<:0qc9j2;295~N4=91vb:k<:182M5282we;h:50;3xL6373td51zJ015=zf>o<6=4>{I164>{i?l21<7?tH273?xh0m00;6;?;|l4aa<728qC?8>4}o5fa?6=9rB89=5rn6ge>5<6sA9><6sa7g294?7|@:?;7p`8f083>4}O;<:0qc9i2;295~N4=91vb:h<:182M5282we;k:50;3xL6373td51zJ015=zf>l<6=4>{I164>{i?o21<7?tH273?xh0n00;6;?;|l4ba<728qC?8>4}o5eb?6=9rB89=5rn923>5<6sA9><6sa81394?7|@:?;7p`70583>4}O;<:0qc6?5;295~N4=91vb5m?:182M5282we4n?50;3xL6373td3o?4?:0yK70651zJ015=zf1i>6=4>{I164>{i0j=1<7?tH273?xh?k10;6d13:1=vF<519~j=ee290:wE=:0:m;?;|l;ga<728qC?8>4}o:`a?6=9rB89=5rn9ae>5<6sA9><6sa8e294?7|@:?;7p`7d083>4}O;<:0qc6k4;295~N4=91vb5j9:182M5282we4i950;3xL6373td3h54?:0yK70651zJ015=zf1ni6=4>{I164>{i0mi1<7?tH273?xh?ll0;6cn3:1=vF<519~j=c7290:wE=:0:m<`7=83;pD>;?;|l;a7<728qC?8>4}o:f7?6=9rB89=5rn9g7>5<6sA9><6sa8d794?7|@:?;7p`7e783>4}O;<:0qc6j7;295~N4=91vb5k7:182M5282we4h750;3xL6373td3il4?:0yK70651zJ015=zf1om6=4>{I164>{i0o:1<7?tH273?xh?n;0;6;?;|l`53<728qC?8>4}oa23?6=9rB89=5rnb3;>5<6sA9><6sac0;94?7|@:?;7p`l1`83>4}O;<:0qcm>b;295~N4=91vbn?l:182M5282weo=4?:0yK70651zJ015=zfj886=4={I164>{ik;>1<7?tH273?xhd:<0;63:1=vF<519~jf40290:wE=:0:mg7>=83;pD>;?;|l`6<<728qC?8>4}oa1e?6=:rB89=5rnb0a>5<6sA9><6sac3a94?7|@:?;7p`l2e83>7}O;<:0qcm=e;295~N4=91vbn>50;0xL6373tdh?<4?:0yK7067>51zJ015=zfj986=4={I164>{ik:>1<7?tH273?xhd;<0;63:1>vF<519~jf502909wE=:0:mg6>=838pD>;?;|l`7<<728qC?8>4}oa0e?6=9rB89=5rnb1a>5<6sA9><6sac2a94?7|@:?;7p`l3e83>4}O;<:0qcm50;3xL6373tdh8<4?:0yK7067>51zJ015=zfj>86=4>{I164>{ik=>1<7?tH273?xhd<<0;6?uG3428yke3>3:1>vF<519~jf202909wE=:0:mg1>=838pD>;?;|l`0<<728qC?8>4}oa7e?6=9rB89=5rnb6a>5<6sA9><6sac5a94?7|@:?;7p`l4e83>4}O;<:0qcm;e;295~N4=91vbn:i:182M5282weo8>50;3xL6373tdh9<4?:0yK706>7>51zJ015=zfj??6=4>{I164>{ik;?;|l`1d<728qC?8>4}oa6f?6=9rB89=5rnb7`>5<6sA9><6sac4f94?7|@:?;7p`l5d83>4}O;<:0qcm:f;295~N4=91vbn8?:182M5282weo;?50;3xL6373tdh:?4?:0yK70651zJ015=zfj{I164>{ik?<1<7?tH273?xhd>>0;6290:wE=:0:mg3g=83;pD>;?;|l`2g<728qC?8>4}oa5g?6=9rB89=5rnb4g>5<6sA9><6sac7g94?7|@:?;7p`l6g83>4}O;<:0qcm80;295~N4=91vbn9>:182M5282weo:<50;3xL6373tdh;>4?:0yK70651zJ015=zfj=>6=4>{I164>{ik><1<7?tH273?xhd?>0;6;?;|l`3a<728qC?8>4}oa4a?6=9rB89=5rnb5e>5<6sA9><6sac9294?7|@:?;7p`l8083>4}O;<:0qcm72;295~N4=91vbn6<:182M5282weo5:50;3xL6373tdh484?:0yK70651zJ015=zfj2<6=4>{I164>{ik121<7?tH273?xhd000;6e290:wE=:0:mg=e=83;pD>;?;|l`4}oa;a?6=9rB89=5rnb:e>5<6sA9><6sac8294?7|@:?;7p`l9083>4}O;<:0qcm62;295~N4=91vbn7<:182M5282weo4:50;3xL6373tdh584?:0yK70651zJ015=zfj3<6=4>{I164>{ik021<7?tH273?xhd100;6i3:1=vF<519~jf?e290:wE=:0:mg;?;|l`=a<728qC?8>4}oa:a?6=9rB89=5rnb;e>5<6sA9><6sac`094?7|@:?;7p`la283>4}O;<:0qcmn4;295~N4=91vbno::182M5282weol850;3xL6373tdhm:4?:0yK70651zJ015=zfjkj6=4>{I164>{ikhh1<7?tH273?xhdij0;6;?;|l`f1<728qC?8>4}oaa1?6=9rB89=5rnb`5>5<6sA9><6sacc:94?7|@:?;7p`lb883>4}O;<:0qcmma;295~N4=91vbnlm:182M5282weoom50;3xL6373tdhni4?:0yK70651zJ015=zfji;6=4>{I164>{ikj;1<7?tH273?xhdk;0;6;?;|l`g3<728qC?8>4}oa`3?6=9rB89=5rnba;>5<6sA9><6sacb;94?7|@:?;7p`lc`83>4}O;<:0qcmlb;295~N4=91vbnml:182M5282weonh50;3xL6373tdhh=4?:0yK70651zJ015=zfjn86=4>{I164>{ikm>1<7?tH273?xhdl<0;63:1=vF<519~jfb0290:wE=:0:mga>=83;pD>;?;|l``<<728qC?8>4}oage?6=9rB89=5rnbfa>5<6sA9><6sacea94?7|@:?;7p`lde83>4}O;<:0qcmke;295~N4=91vbnji:182M5282weoh>50;3xL6373tdhi<4?:0yK7067>51zJ015=zfjo86=4>{I164>{im991<7?tH273?xhb8<0;6?uG3428ykc7>3:1>vF<519~j`602909wE=:0:ma5>=838pD>;?;|lf4<<72;qC?8>4}og3e?6=:rB89=5rnd2a>5<5sA9><6sae1a94?4|@:?;7p`j0e83>7}O;<:0qck?e;296~N4=91vbh>i:181M5282wei<>50;0xL6373tdn=<4?:3yK7067>52zJ015=zfl;86=4>{I164>{im8>1<73:1>vF<519~j`702909wE=:0:ma4>=838pD>;?;|lf5<<72;qC?8>4}og2e?6=:rB89=5rnd3a>5<6sA9><6sae0a94?7|@:?;7p`j1e83>4}O;<:0qck>e;295~N4=91vbh?i:182M5282wei?>50;3xL6373tdn><4?:0yK7067>51zJ015=zfl886=4>{I164>{im;>1<7?tH273?xhb:<0;63:1=vF<519~j`4>290:wE=:0:ma7g=83;pD>;?;|lf6g<728qC?8>4}og1`?6=9rB89=5rnd0f>5<6sA9><6sae3d94?7|@:?;7p`j3183>4}O;<:0qck<1;295~N4=91vbh==:182M5282wei>=50;3xL6373tdn?94?:0yK70651zJ015=zfl9=6=4>{I164>{im:21<7?tH273?xhb;00;6;?;|lf7a<728qC?8>4}|~DEE|9;nj6l7=7`;02xFGJr:vLM^t}AB \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngd b/cpld/XC95144/MXSE.ngd new file mode 100644 index 0000000..45695c7 --- /dev/null +++ b/cpld/XC95144/MXSE.ngd @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$5c65=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4000857<4FNQWW>D:593;5=95=3;KMTPR=IVLXN1<<:0<6?D:687?0M1?>>49B8449=2K7=>0:;@>20;3285N<04=1>G;9>4>7L2>8?78E97>6=1J0<0:;@>14;115;36:1=F4?4?7L28>59B8=833H62295NLD26?DJB8D?0MAK?Sb9BH@6Xe|r;<=>k;@NF4Zkrp9:;<i5NLD2\ip~789:8h6OCE1]nq}6789>o7LBJ0^ov|5678<>0MAK>c:COA4YXg{:;<5NNE68EKB5<2KEH>:4AOF70>GIL?>0MCJ84:CM@=2GTzoUecy>?00f8EVtaWge<=>>189B[CUE48:556OPFR@?548>3HUM_O2>2?;8EZ@TJ5;8245N_GQA842912KTJ^L314<:?DYA[K6::374A^DPF970601JSK]M<0:==>GXNZH7=407;@]EWG:6601JSK]M<32==>GXNZH7><06;@]EWG:5:7h0MRH\B=00>48>3HUM_O2=3?:8EZ@TJ58546OPFR@?7;>GXNZH7;364A^DPF9>902KTJ^L39?f8EZ@TJV;;SDLZFe9B[CUEW8;TEO[Id:C\BVDX9;UBNXHk;@]EWGY6;VCIYKj4A^DPFZ73W@H^Ji5N_GQA[43XAK_Mh6OPFR@\53YNJ\Lo7LQISC]23ZOE]On0MRH\B^3;[LDRNm1JSK]M_0;\MGSAk2KTJ^LP1^KAQCbGXNZHT>?QFBTDg?DYA[KU9?RGMUGa8EZ@TJV8TEO[Ic:C\BVDX;VCIYKm4A^DPFZ2XAK_Mo6OPFR@\1ZOE]Oi0MRH\B^4\MGSAk2KTJ^LP7^KAQCeGXNZHT5RGMUG68FDES=2HJOY?:;CC@P73?01d8F@TU8{UTc>?013e?GCUZ9xTSb|?01211>DBZ[;=7OK]R0pf?GCUZ8xTSb|?012e?GCUZ8xTSb|?0122b>DBZ[;ySRa}012360=EM[X996LJRS16?GCUZ=?0NH\]589AAWTXAFHXh6LJRS]\ekb789:n7OK]R^]bja6789;h7OK]R^]lv5678m1II_\P_np34566l2HN^_QPos23454c3KOY^RQ`r12346bDBZ[UTc>?0145?GCUZ{l27OK]Rsd\J5g;Eg8@WEX[\IHI^]IUM58@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>b3@EJOYQnne2345?c3@EJOYQbuy2345c4IN@FVWYj}q:;<=?=119JKGCUZVg~t=>?00124>OHJLXYS`{w012351773@EII_\Pmtz34566=8:0EBLJRS]nq}6789;===5FOCGQVZkrp9:;<<9>0:KLF@TUWds<=>?19d8MJDBZ[Ufyu>?010e?LIEM[XTaxv?0120b>OHJLXYS`{w01230c=NGKOY^Rczx12340`0EBLB4:KLFV0OHKZ;=7DALS025?LID[8;=7DALS005?LID[89=7DALS065?LID[8?=7DALS046?LID[;?0EBM\349JKFU3=2CDO^;:;HM@W33OHKZ3?7DAC0b9JKI6Xe|r;<=>k;HMO4Zkrp9:;<i5FOM2\ip~789:8h6G@L1]nq}6789>o7DAC0^ov|5678<>0EBB>c:KLH4Yig}:;<OHX^IX;6G@PVAP52=NGY]H_?94INRTGV503@E[[N];5:KLV@Wc3@EYI\Qbuy2345cb3@EYI\Qbuy2345?13@EYI\|:;HMQS5bOHZ^:Tbbz?0132a>OHZ^:Tbbz?0131a>OHZ^:Tbbz?0130a>OHZ^:Tbbz?0137a>OHZ^:Tbbz?0136a>OHZ^:Tbbz?0135a>OHZ^:Tbbz?01341>OHZ^;o7DA]W0]bja6789o0EB\X1^cm`56788o0EB\X1^cm`5678;o0EB\X1^cm`5678:o0EB\X1^cm`5678=o0EB\X1^cm`5678OHZlkouRQnne2345763@EYiljv_^cm`56788;:7DA]e`fz[Zgil9:;4INQ\BVKXNOn:!D`>119JKVYA[DUMJi?"Io024>OH[VLXARHId0/Jj6773@EXSK]B_GDg5(Oi<8:0EB]PFRO\BCb6%@d>o6G@S^DPIZ@Al;l0EB]PFRO\BCb5%@d:<6G@S^DPIZ@Al;'Bb Ga2028MJUXNZGTJKj=-Hl055=NGZUM_@QIFe0.Mk2682CD_RH\M^DE`7+Nf??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9h4INQ\BVKXNOn?!D`>0:KLWZ@TEVLMh9#Fn032?LITWOYFSKHk4,Km55763@EXSK]B_GDg0(Oi98;;7DA\_GQN[C@c<$Ce><>4INQ\BVKXNOn?!D`<119JKVYA[DUMJi:"Io624>OH[VLXARHId5/Jj0773@EXSK]B_GDg0(Oi>8:0EB]PFRO\BCb3%@d<==5FOR]EWHYANm>&Ec6>0:KLWZ@TEVLMh9#Fn848MJR^XL>0EB[?c:KLQ5Yj}q:;<=j4INW3[hs89:;=i5FOT2\ip~789:9h6G@U1]nq}67899o7DAZ0^ov|5678=n0EB[?_lw{4567==1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ7?LIPMl1@IH^PHHGQEWEOQ>1GEO\NRX48HJGCMM90@BM8;MMDMFGKi2F[ARV@R3]21>Jhim;n7Aand0]\ekb789:?7@V\Eb9Neoiu^lxxeb`l;LkmkwPbzzcdb?5A039M52=IM]]D^F?4O59LFP@43FDN>6A]3:MQ66=HZ:90C_:<;NWW0>Iikli0Ccmj_^mq4567l2EeohQPos23457c3FdhiRQ`r12347bIiklUTc>?017g?JhdmVUd~=>?07f8KkebWVey<=>?7e9LjfcXWfx;<=>7d:Mmg`YXg{:;<=7>;Qa8T+479:;;=>QC3:R246=W9890\<<<;Q307>V6<:1[=8=4P040?U70;2Z:4>5_1808T75V50:1[>4<4P218T6643Y99?6^<329S7155_4718T0643Y?9?6^:329S115V1;:1[:9=4P770?U01:2Z=87]973:R4=7=W0:1[4==4P930?U>5;2Z39>5_8718T=143Y22>6^63:R:46=W1890\4<<;Q;07>V><:1[58=4P840?U?0;2ZJH95_ASVb?UOIWK_XEIVm;QKM[GSTFHGN?6^]Ec9SWZ@TEVLMhh5_S^DPIZ@Al;'Bbk5_S^DPIZ@Al;'Bb0028TVYA[DUMJi<"Io3255=W[VLXARHId3/Jj44682ZXSK]B_GDg6(Oi9:l0\^QISL]EBa4*Ag8m7]]PFRO\BCb5%@d8j6^\_GQN[C@c:$Ce8k5_S^DPIZ@Al;'Bb8h4PR]EWHYANm8&Ec8i;QQ\BVKXNOn9!D`8f:RP[CUJWOLo> Ga8g9SWZ@TEVLMh?#Fn8`8TVYA[DUDyy>l;QQ\BVKXG|~;=n5_S^DPIZIr|98o7]]Pclr\at6789;0]85]A=2=2>TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDKD[?1YM@M\179QEHET:?1YM@M\379QEHET?1YM@M\779QEHET0?1YM@JFS69QEHBN[8l0^LCKIR3\ip~789::<6\NMEKP5Zkrp9:;<;SCN@LU6Wds<=>?1132?WGJL@Y:S`{w012354763[KFHD]>_lw{45679;;:7_OBDHQ2[hs89:;=>?>;SCN@LU6Wds<=>?1532?WGJL@Y:S`{w012350763[KFHD]>_lw{45679?;:7_OBDHQ2[hs89:;=:?>;SCN@LU6Wds<=>?1932?WGJL@Y:S`{w01235<773[KFHD]>_lw{4567:8:0^LCKIR3\ip~789:8==5]ALFJW4Yj}q:;<=:>0:PBIAOT9Vg~t=>?0433?WGJL@Y:S`{w0123246>0:PBIAOT:VUd~=>?0333?WGJL@Y9SRa}0123746?7028VDKCAZ8TSb|?012;55=UIDNB_?QPos2345?03[KFHD]<7:PBIAOT<>1YM@JFS4:8VDKUmhnr==5]ALPfeaXe|r;<=>>1:PBIWcflpUfyu>?01326>TFE[ojhtQbuy2345779;1YM@\jae{\ip~789::=<<4R@OQadb~Wds<=>?1331?WGJZlkouRczx1234456:2XJA_kndx]nq}6789;?=?5]ALPfeaXe|r;<=>>5008VDKUmhnrS`{w012353763[KF^hoky^ov|5678;;:7_OBRdcg}Zkrp9:;<>?>;SCNV`gcqVg~t=>?0532?WGJZlkouRczx12340763[KF^hoky^ov|5678?;:7_OBRdcg}Zkrp9:;<:?>;SCNV`gcqVg~t=>?0932?WGJZlkouRczx1234<3>4g9QEVCKWds<=>?14d8VDUBDVg~t=>?004e?WGTMEUfyu>?0134b>TF[LFTaxv?0122m7_O\EM]nq}67898>j6\NSDN\ip~789:9:h5]ARGO[hs89:;?h5]ARGO[hs89:;8h5]ARGO[hs89:;9h5]ARGO[hs89:;:h5]ARGO[hs89:;;h5]ARGO[hs89:;4h5]ARGO[hs89:;545]A^2\KGSAk2XJS=Qbuy2345bTFW9Ufyu>?011g?WGX8Vg~t=>?05c8VDY68VEIYKo4R@]25ZIE]O30^LQ>_N@VBf=UIV;Taxv?012g?WGX9Vg~t=>?00f8VDY6Wds<=>?2e9QEZ7Xe|r;<=>Rczx12347bTFW:UDNXHl;SC\7Zkrp9:;TFW=Ufyu>?01f8VDY3Wds<=>?1e9QEZ2Xe|r;<=>=d:PB[1Yj}q:;<==k;SC\0Zkrp9:;<974R@]6[JDRNj1YMR;Pmtz3456c3[KT9Rczx12344bS`{w01236a=UIV?Taxv?0120`>TFW?016:?WGX>VEIYKm4R@]5[hs89:;h6\N_7]nq}6789;o7_OP6^ov|5678;n0^LQ9_lw{4567;m1YMR8Pmtz3456312XJS:Q@BTD`?WGX?Vg~t=>?0e9QEZ1Xe|r;<=>>d:PB[2Yj}q:;<=j4R@]4[hs89:;845]A^:\KGSAk2XJS5Qbuy2345bTFW1Ufyu>?011g?WGX0Vg~t=>?05;8VDY>WFH^Jn5]A^;\ip~789:o7_OP9^ov|56788n0^LQ6_lw{4567:m1YMR7Pmtz34564l2XJS4Qbuy2345243[OX86\JS078V@Uun<1YI^|}d:PFWwtXff~;<=?j;SGPvwYig}:;<84RNO@W10e:PP[CUJWOLo= Gaf:PP[CUJWOLo= Ga1028VVYA[DUMJi?"Io3355=U[VLXARHId0/Jj47682XXSK]B_GDg5(Oi9;;;7_]PFRO\BCb6%@d:?<>4RR]EWHYANm;&Ec?;f:PP[CUJWOLo= Ga2g9QWZ@TEVLMh<#Fn2d8VVYA[DUMJi?"Io6e?WUXNZGTJKj>-Hl6b>TTWOYFSKHk1,Km2c=U[VLXARHId0/Jj2`a3[YTJ^CPFGf2)Lh>j2XXSK]B_GDg6`=U[VLXARHId3/Jjc=U[VLXARHId3/Jj4773[YTJ^CPFGf1)Lh688:0^^QISL]EBa4*Ag;:==5]S^DPIZ@Al;'Bb<<>0:PP[CUJWOLo> Ga1233?WUXNZGTJKj=-Hl20468028VVYA[DUMJi<"Io3:b>TTWOYFSKHk2,Km6c=U[VLXARHId3/Jj6`o1Y_RH\M^DE`7+Nf>l0^^QISL]EBa4*Ag2m7_]PFRO\BCb5%@d2n6\\_GQN[C@c;l1Y_RH\M^DE`6+Nfo1Y_RH\M^DE`6+Nf8;;7_]PFRO\BCb4%@d:#Fn7d8VVYA[DUMJi="Io5e?WUXNZGTJKj<-Hl;b>TTWOYFSKHk3,Km=g=U[VLXARAzt9a8VVYA[DUDyy6>c:PP[CUJWF4?;4Rdcg}3=Umhnr<:5]e`fz4w`;5]e`fz52=Umhnr=h4Rdcg}4tXWfx;<=>>0:Pfea6zVUd~=>?0033?Wcflp;ySRa}012363=Umhnr>:5]e`fz6w`;5]e`fz73=Umhnr8l5]e`fz[LIE[01Yiljv_SCNg>TbimsTc>?00f8V`gcqVey<=>>1e9Qadb~Wfx;<=?=d:PfeaXg{:;<<=9;SgeEfm>3[omOcz30?;8V``Df}6:245]egAmp94912XnjN`{<2<:?WcaKg~78374Rdd@jq:2601YikMat=4==>TbnJd0:07;SgeGkrX811YikMat^3;?WcaKg~T>55]egAmpZ5?3[omOczP499QacEi|V?37_kiCov\2==UmoIexR9=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>2:75?01]N|jtXZly~`y2<>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8185;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}6>2?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<7<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:06;<0^hhLnu]NeabXWhno<=>?_SgpqirX8Vddx=>?003g?WcaKg~TAljk_^cg`5678VUjbi>?013f?WcaKg~TAljk_^cg`5678VUjbi>?0132`>TbnJdS@okd^]b`a6789UTmcj?0132a>TbnJdS@okd^]b`a6789UTmcj?01325a=UmoIexRCnde]\eab789:TSl`k01215`=UmoIexRCnde]\eab789:TSl`k012154b31?31?WcaKg~TSljk01238786:2XnjN`{_^cg`5678595=?5]egAmpZYflm:;<=2;>008V``Df}UTmij?012?1;753[omOczP_`fg45674?4:>6\jfBlw[Zgcl9:;<1917:PfbAiim8:0^hhKoog\[dhc89:;=<5]egFlj`YXign;<=>>f:PfbAiimVUd~=>?0028V``CggoTSb|?012257=UmoNdbhQlmq]fu5678890^hhKoog\ghvXmx:;<=?>3:PfbAiimVif|Rk~0123645?4018V``CggoTo`~Pep234536;2XnjIaae^antZcv89:;:<=4RddGkkcXkdzTi|>?01527>TbnMeeiRmbp^gr45670890^hhKoog\ghvXmx:;<=79;SgeVDU13[om^h8;SgeV`w612XnjX|heovb?Wca]{mnby?n;SgeQwabf}8j7_kiUsefjq5f3[omYijnu65?Wct}e~=7^M\IBQ4?VETAJY:;6]LSHAP62=TKZCH_>94SBQJGV203ZIXEN]:3:QFPd=TfmXJAN]XRc9PjaTFEJY\^n6]adSCNGVQU>k1Xbi\NMBQTV2d<[gnYM@M\WS:a?VhcZHGH_Z\64:VQQ@2<\PZN46ZfmdmvpD773]cfib{{A^]lv56788;0XdcjotvB[Ziu89:;=<<4ThofkprFWVey<=>?1132?QojmfMRQ`r12347763]cfib{{A^]lv5678:;:7YgbenwwEZYhz9:;<9?>;UknajssIVUd~=>?0432?QojmfMRQ`r12343763]cfib{{A^]lv5678>;:7YgbenwwEZYhz9:;<5?>;UknajssIVUd~=>?08:8Plkbg|~In6ZfmdmvpGVum890XdcjotvATwcXWfx;<=>>4:Vji`ir|KZyiRQ`r12344733]cfib{{BQpf[Ziu89:;><>4ThofkprEWVey<=>?109Wmhch}}HTSb|?012254=SadodyyLP_np34565981_e`k`uu@\[jt789:8m6[\ES]BHLGTk2_XI_QYIRKAH@5<^JI27[GJW^VZT@5<^YKh7[^N_^cm`5678m1]\LQPaof34566j2\[MRQ`r1234f=QXHUTc>?013`?SVFWVey<=>?2b9UTDYXg{:;<==l;WRB[Ziu89:;8n5YP@]\kw6789?h7[^N_^mq4567><1]\L|i5:TSEwtc3_ZJ~Qaou2344c<^YKy~R``t12354b<^`nYM@M\WS47o`=QamXJAN]XR76h5`=QamXJAN]XR76h6`=QamXJAN]XR76h7`=QamXJAN]XR76h0`=QamXJAN]XR76h1`=QamXJAN]XR76h2`=QamXJAN]XR76h3`=QamXJAN]XR76h<4b0TB\=6:ZPPIOE?2RXXRIAD69[WQYQKJ?0TRAAE79[[_CUG?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=894n@mvpJCd3gKdyyAJ_N@VB0=iJLXY:6`MESP2=>hEM[XTJ^Lj;o@FVWYA[KUDNXH6;o@FVWYNGKo0bOK]R^KLFZOE]O>0bNO\d:l@EVYDdbUBB[?8;oABWZH712dHM^Q@BTD`?kEF[Vddx=>?1e9mGDUXff~;<=?>6:lGPDELi2dOXLMD_GQAb>hC\HI@SK]M_N@VBd=iL]KHGRG@Bg9m@QGDCVCDNRGMUGg8jARFKBUTc>?01d8jARFKBUTc>?013e?kBSIJATSb|?01212>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566m2dOecBJ_np34565m2dOecBJ_np34564m2dOecBJ_np34563>2dOecAJ7:lGmkIB9>1eHd`@E358jAoiGL9i7cJfnNG\KGSA?2dOcxz@Eb9m@jssGLUDNXHi;oFlqqIBWge<=>>119m@jssGLUecy>?00324>hCg|~DIR``t12357773gNdyyAJ_omw4566;8:0bIaztNG\jjr789;?==5aDnwwK@Yig}:;<<;>0:lGkprHMVddx=>?1768jIBT02dGH^QISCf8jIBTWOYISDLZF99mHAUXAFHo7cBKS^KLFZIE]Oi0bAJ\_`lg4566l2dGH^Qnne23447c3gFO_Road12357ehKLZUecy>?003a?kJC[Vey<=>>c:lO@VYhz9:;=hKLZUd~=>?17a8jIBTWfx;<=?8c:lO@VYhz9:;=5m4nMFP[jt789;286`CWD78jIQB9j1e@ZKPaof3456c3gF\IRoad12344bhK_LUjbi>?0160?kIB<2dDI<:4nNG1<>hHMVEIYK74nNtfvig~8890bBxjrmcz4ZGdd{UBB[?l;oMuawjfq9UE<?0008jJpbzekr6`@vdpoe|6Xign;<=><139mKscudhs;Sl`k01230448;oPBIIQBk2dYM@BXE^MAQC13gXN_RGMUG48jWIJKZ=0b_ABCR3a?kTHEJYTCO[I6:lQKHQB?2dYC@YJ1c9mVJKPMVEIYK:4nTFP<>hRLZUM_Oj4nTFP[CUEW@H^J55aUEQ\MJDc3g_O_RG@B^MAQCehRLZUjbi>?013g?kSC[Vkeh=>?03a8jPBTWge<=>>d:lV@VYig}:;<hQEHUTmcj?0122g>hQEHUTc>?01f8jSKFWVey<=>?1e9mRHGXWfx;<=>=d:lUIDYXg{:;<==k;oTNEZYhz9:;<9j4nWOB[Ziu89:;9i5aVLC\[jt789:=h6`YM@]\kw6789=o7cXBA^]lv56781n0b[CN_^mq45671j1eZ@OPaof3456c3g\FMRoad12344bhQEHUjbi>?016g?kPJIVkeh=>?04f8jSKFWhdo<=>?6e9mRHGXign;<=>84:lUTD3hQXHUM_Oj4nWRB[CUEWFH^J55aVQC\MJDc3g\[MRG@B^KAQC5hPMVLXNRGMUG:8jbbe}`fo?6|nm99{g<378qf:=6vl94370}jsy8:;!<>7;ykomk~rf820ta`w_`wwkZ6Xpmh$o~z!xcagyEFw9k8:7MNw1b49B?2=9rYj<7=ke;3f4?74<139j7mnbgym7ad=92d8hn49;%1g3?5dn2wX5k4k4lab38W4e72=3m6>4>35::6c84;a39'b4<73-om69o;;%d3>4743k;h:7>5428:0?g2sA9i96*<9d82g3=]1909w:4n:|&2f`<41m1b5o4?::m755<722c8j:4?::m7==<722c?:h4?::m72d<722e?9h4?::k742<722e?9l4?::m74<<722c?:84?::k757<722e8j44?::m744<722e?9n4?::k7=d<722e?;=4?::m70<<722e8ii4?::m0b6<722c?>i4?::k:3?6=3`326=44o544>5<1<7*=268106=i:;<1<65`25094?"5:>098>5a23495>=h:=n1<7*=268106=i:;<1>65`25a94?"5:>098>5a23497>=h:=h1<7*=268106=i:;<1865`25c94?"5:>098>5a23491>=h:=31<7*=268106=i:;<1:65`25:94?"5:>098>5a23493>=h:==1<7*=268106=i:;<1465`25494?"5:>098>5a2349=>=h:=?1<7*=268106=i:;<1m65`25394?"5:>098>5a2349f>=n3:07d:6:18'671=?851:9j0=<72-89;7:n;o012?4<3`><6=4+23590d=i:;<1?65f4483>!45?3>j7c<=6;68?l23290/>?954`9m670==21b8>4?:%013?2f3g89:784;h61>5<#:;=18l5a23493>=n<80;6)<=7;6b?k45>3207d:?:18'671=?859:9j7c<72-89;7:n;o012?g<3`9n6=4+23590d=i:;<1n65f3e83>!45?3>j7c<=6;a8?l5d290/>?954`9m670=l21b9>4?:%013?2f3g89:7k4;h71>5<#:;=18l5a2349b>=n=80;6)<=7;6b?k45>3;;76g:0;29 7402=k0b?<9:038?l2a290/>?954`9m670=9;10e9k50;&162<3i2d9>;4>3:9j0a<72-89;7:n;o012?7332c?o7>5$304>1g5<#:;=18l5a234953=6=4>b;6a>0`|@:h>7)=6e;c2?_?728q36p*>bd80=a=n1?0;66a>5b83>>i4000;66a<9183>>o1j3:17d:95;29?j7383:17d:6c;29?j43n3:17b?850:9j5<<72-89;7?n;o012?7<3`;36=4+23595d=i:;<1>65f1783>!45?3;j7c<=6;18?l73290/>?951`9m670=<21b=>4?:%013?7f3g89:7;4;h31>5<#:;=1=l5a23492>=n980;6)<=7;3b?k45>3=07d??:18'671=9h1e>?858:9jb?6=,;8<6<=3k07dj50;&162<6i2d9>;4m;:k`>5<#:;=1=l5a2349g>=nj3:1(?<8:0c8j7412m10e?=50;&162<6i2d9>;4j;:k16?6=,;8<6c=:183!5>m3;;<6Fji;%3ab?2f;2emj7>5$2f:>4b432wi?i:50;394?6|,:3n6>l9;I1g6>N4j<1/?i751bg8 4da2=k87b?kf;29 6b>28n876sm45f94?3=:37j:gc8L6b53A9i96*>1085?!7en3>j?6g65;29?l?c2900e4?50;9je0<722e98=4?::`105<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31?ih4o0f0>5<#;m31=i=4;|q105<72;qU>9>4=363>4b43tyj97>52z\b1>;5<90:=3:1>vP65:?105<>=2wx5<4?:3y]=4=::=:15;5rs023>5<5s48?<7=7f:?0`3<6l:1vqo::7;291?4=>r.85h4ia:J0`7=O;k?0(:79'5g`=5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi89k50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f13?29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo??b;29=?6=8r.85h4<6:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31==h4;h332?6=,:n26<>i;:k242<72-9o57??f:9j55>=83.8h44>0g98m46>290/?i7511d8?j7dk3:1(>j6:0f0?>{e99i1<7750;2x 6?b2:<0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32c:<84?:%1g=?77n21b==850;&0`<<68o10e<>8:18'7a?=99l07d??8;29 6b>28:m76g>0883>!5c13;;j65`1ba94?"4l00:h>54}c33`?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5?i3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`00g98k4ed290/?i751e18L6b?32wi?5j50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm39g94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn<;j:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6183>1<729q/?4k5339K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<<81<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb570>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==>87>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`710<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd3=?0;694?:1y'7o68;0;6)=k9;33b>=n9991<7*5$2f:>4b432wi>8>50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f73629086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;?96=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c067?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th9994?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`113<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;?<6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?h?:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e:o91<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3d7>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5n<0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1??5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07b?lc;29 6b>28n876sm3b594?3=83:p(>7j:218L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;h330?6=,:n26<>i;:k240<72-9o57??f:9l5fe=83.8h44>d298yg5d03:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm3bc94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:ii6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1`g?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5dl3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0=0<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:3=6=4::183!5>m3987E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32c:<94?:%1g=?77n21b==;50;&0`<<68o10c7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e;021<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb2;:>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`0=g<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd41j0;684?:1y'7o68;0;6)=k9;33b>=n9991<7*5$2f:>46a32e:on4?:%1g=?7c;21vn>7=:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb2;0>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd41=0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a51?=83?1<7>t$2;f>65<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>o68<0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm15`94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8>h6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<:k:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*4g83>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`215<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6<;0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*4?:283>5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb067>5<3290;w)=6e;11?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9l5fe=83.8h44>d298yg73=3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm15594?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*55d=99>01>79:021?85>?3;;=6s|c;290~Xd34;;n7??5:?0=3<68:16?4651138yvb=83>pRi5211`9550<5:3=6<>;;<1:=?7792wxi7>54z\f?877j3;;;63<908246=:9=k1==<4}rd94?3|Vo16==l511:896?128:>70=6a;335>;65rs0294?5|V8:01<>m:02:?85>93;;>6s|1083>1}Y9816==m5110896e028:870?;9;336>{t9;0;69uQ139>55e=99901>m8:021?87313;;?6s|1283>1}Y9:16==m5116896e028:?70?;9;330>{t9=0;69uQ159>55e=99?01>m8:026?87313;;96s|1783>2}Y9?16==m5114896>f28:970::2;335>;5n80:<<523b:9554<58><6<>=;|q20b8242=:;1h1==?4=570>466348>=7??3:?1b7<68816?n651118942628::70?;c;337>{t900;6nuQ189>55e=99201>6n:020?872l3;;=63;508246=::<:1==?4=372>465348m87??2:?0g<<68:16=9j51138942a28:970?:0;336>{t9k0;64uQ1c9>55e=99301>6l:022?872n3;;=63;558244=::<91==?4=3d0>466349hm7??1:?20=<68;1v?<50;1xZ74<58:i6<>=;<1:1?77:2wx>>4?:2y]66=:99h1===4=2;6>4643ty=n7>53z\5f>;3=10:<<5244395540c83>7}:99h1=nm4=02g>4653ty:55e=9ji01<>k:020?xu6<90;6?uQ1528942?28ih7p}>4083>7}:9=;1=nm4=06e>4643ty:8?4?:3y>514=9ji01<:<:020?xu6<:0;6?u215195fe<58>?6<>=;|q201<72;q6=9:51ba8942228:87p}>4483>7}:9=?1=nm4=065>4643ty:8;4?:3y>510=9ji01<:8:020?xu6<>0;6?u215595fe<58>36<><;|q20<<72;q6=9751ba8942228:97p}>4`83>7}:9=k1=nm4=067>4643ty:8o4?:3y>51d=9ji01<;?:020?xu696<>=;|q20a<72;q6=9j51ba8942528:87p}>4d83>7}:9=o1=nm4=067>4633ty:8k4?:3y>51`=9ji01<:9:021?xu6=90;6?u214295fe<58>86<>=;|q21f<72;qU=8m4=043>4ed3ty:9i4?:3y>50b=9ji01<8?:020?xu6=l0;6?u214g95fe<58<;6<>=;|q21c<72;q6=8h51ba8940728:?7p}>c883>7}:;m91jk524459=a=z{8ij6=4={<1g0?7cn27?8i4n5:p61`=838pR?:i;<063?7dk2wx>8>50;0x973728ih70<:4;337>{t:<;1<74ed348>97??2:p604=838p1?;=:0a`?842=3;;?6s|24194?4|5;?868:50;0x973328ih70<:6;336>{t:4ed348>:7??3:p600=838p1?;9:0a`?842?3;;>6s|2dd94?4|V;om70{t:o:1<74ed348m87??3:p6c7=838p1?h>:0a`?84a>3;;>6s|2g094?4|5;l96k=50;0x97`428ih70{t:o>1<74ed348m:7??3:p6c3=838p1?h::0a`?84a>3;;86s|39;94?4|V:2270=7e;3`g>{t;1k1<74ed3493i7??2:p7=d=838p1>6m:0a`?85?l3;;>6s|39a94?4|5:2h6c28ih70=7e;337>{t;0:1<7<3;ho6s|38394?4|5:3:6{t;091<74ed349287??3:p7<3=838p1>7::0a`?85>j3;;?6s|38494?4|5:3=6{t;021<74ed3492o7??3:p776:0a`?85>k3;;86s|38c94?4|5:3j6{t;0i1<74ed3492?7??3:p7f0=838p1>mj:0a`?85>j3;;>6s|3b594?4|5:i<6{t;j31<74ed349ho7??2:p7fg=838p1>mn:0a`?85dk3;;?6s|3b`94?4|5:ii6{t;jn1<74ed349hi7??2:p01b=838p19:k:363?822?3k>7p};4g83>7}:<=n15i5245g95fe><7>52z?70a<>=27?9;4>cb9~w1362909w0::1;3`g>;3=?0:<>5rs571>5<5s4>>>7?lc:?710<68;1v9;<:181822;3;ho63;548246=z{=??6=4={<660?7dk27?9;4>059~w1322909w0::5;3`g>;3=?0:5<0s4>>;7<;0:?117<68816>8:5110897`728::70=l9;336>;6>57>52z?712<>=27?954>cb9~w1022909wS:95:?70`<6881v97l:187[2>k27:9h4>009>7fd=99;01<:j:022?x{e7j:36f?_?72;q<644r$0`f>6?c3`3=6=44i2:0>5<?6=44o554>5<5<5<098>5a23494>=h:=81<7*=268106=i:;<1=65`25f94?"5:>098>5a23496>=h:=i1<7*=268106=i:;<1?65`25`94?"5:>098>5a23490>=h:=k1<7*=268106=i:;<1965`25;94?"5:>098>5a23492>=h:=21<7*=268106=i:;<1;65`25594?"5:>098>5a2349<>=h:=<1<7*=268106=i:;<1565`25794?"5:>098>5a2349e>=h:=;1<7*=268106=i:;<1n65f1983>!45?3;<7c<=6;28?l71290/>?95169m670=921b=94?:%013?703g89:7<4;h30>5<#:;=1=:5a23497>=n9;0;6)<=7;34?k45>3>07d?>:18'671=9>1e>?855:9j55<72-89;7?8;o012?0<3`l1<7*=26823>h5:?0<76gj:18'671=9>1e>?858:9j`?6=,;8<6<94n305><=3k07dl50;&162<6?2d9>;4m;:k17?6=,;8<6<94n305>f=h5:?0o76g=1;29 74028=0b?<9:d98m76=83.9>:4>7:l1635$304>414;h3f>5<#:;=1=:5a234954=h5:?0:>65f1b83>!45?3;<7c<=6;30?>o6=3:1(?<8:058j74128>07o=k3;295?6=8r.85h4>019K7a4<@:h>7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rb34f>5<22;0=w)=6e;db?M5c:2B8n85+10392>"6jo0?m>5f9483>>o>l3:17d7>:188md3=831d>9>50;9a616=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i850;394?6|,:n26>ji;n3g7?6=,:n265<5sW3o70<;0;0e3>{t1<0;6?uQ949>616=1<1v4?50;0xZ<7<5;>;6484}r334?6=:r798=4<8g9>7a0=9m90qpl>d583>0<52?q/?4k5f`9K7a4<@:h>7)?>1;48 4da2=k87d7::188m5;c074?6=>3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l008hk5`1e194?"4l00:h>54}r074?6=:rT98=5225295a56=4={_c6?84383;;j6s|9e83>7}Y1m16>9>52g58yv?22909wS7:;<074??23ty2=7>52z\:5>;5<902:6s|11294?4|5;>;6>6i;<1g2?7c;2wvn;k50;196?2|,:3n6k74H2f1?M5e=2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk853;090~"41l0m56F>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl=8g83>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj:2;6=4<:387!5>m3l27E=k2:J0f0=#98;1:6*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo:?9;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twi>k650;196?2|,:3n6k74H2f1?M5e=2.:=<49;%3ab?2f;2c2=7>5;hc6>5<;6=44b363>5<22;0=w)=6e;da?!7en3>j?6a=4183>>o5:10;66gn5;29?l?c2900e4?50;9abg<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31=nk4o0fe>5<#;m31=i=4;|qb1?6=:rTj963ib;33b>{t180;6?uQ909>bg<>>2wx5i4?:3y]=a=:nk09j:5rs30;>5<5sW89463ib;1;b>{t:=:1<77}:nk029632:nm7)?mf;6b7>ian3:1(>j6:0f0?>{t:=:1<77}Yi<16>9>5a49~w<7=838pR4?4=363><774?348?<77k;<39bc=#;k>196srb22;>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg56l3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?35}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a761=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*<47>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`706<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8;650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f71f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<8b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd5?j0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a77g=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb52b>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`===n7>53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm45c94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=>i6=4<:183!5>m3;o<6F7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e<>h1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb55`>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==55;294~"41l08?6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10e<>::18'7a?=99l07b?lc;29 6b>28n876sm45794?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=>=6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c673?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th?854?:483>5}#;0o1?>5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rb3cg>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7gb29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qoj6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c0a4?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:k?1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi>o850;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7d029086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;h36=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1ff<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>oj50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2cg94?5=83:p(>7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4d93:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1g6<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5k=0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?m8:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3a;>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qod19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:jo1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3ae>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7b729086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e:m?1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi>i850;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c0g=?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4ci3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1`f<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;nm6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?k?:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*7>53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2d794?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn?k8:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3g;>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd49o0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn><>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb201>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`061<72<0;6=u+38g976=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?l77=3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:8>6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`062<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj:836=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`04d<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?<;50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c122?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th8=:4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e;831<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb23b>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo=>c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd48k0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`04`<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd48o0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>??:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb232>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd49;0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>?<:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb237>5<3290;w)=6e;3g5>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e:ok1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb223>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo=?2;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd48:0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a753=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>>9:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi>kl50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2ga94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:oo1<7:50;2x 6?b2:80D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32e:on4?:%1g=?7c;21vn?hi:187>5<7s-92i7?k1:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*d883>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9mk1<7;50;2x 6?b2:90D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32c:<84?:%1g=?77n21d=nm50;&0`<<6l:10qo?kb;297?6=8r.85h4>d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e:0i1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb3c7>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1e3<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>l950;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7g?29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd5ih0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;386=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c0:0?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg4>=3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<67;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`1==<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;326=4<:183!5>m3;o<6F6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7?e29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj;3o6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?7j:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3;e>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5i90;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb3c1>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f7g429086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb345>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`12=<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5>00;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c05g?6=<3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98k4ed290/?i751e18?xd5>m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;?o6=4;:183!5>m3;o=6F;:18'7a?=99l07b?lc;29 6b>28n876sm24g94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n261<7>t$2;f>64<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;<;6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?8>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb341>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5>:0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?8;:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb346>5<3290;w)=6e;3g5>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e<5$2f:>4b43A9o465rb543>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`====7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`727<72=0;6=u+38g95a7<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>o68=0;6)=k9;33b>=h9ji1<7*=?7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<80;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c046?6=<3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98k4ed290/?i751e18?xd5?:0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;=>6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c042?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th9;:4?:483>5}#;0o1?>5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rb35;>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd5?00;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb35e>5<3290;w)=6e;11?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9l5fe=83.8h44>d298yg4??3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`1<<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>5o50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f7>e29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<7c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd50m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj;2;6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`1<7<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd50:0;694?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32c:<94?:%1g=?77n21d=nm50;&0`<<6l:10qo<74;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`1<0<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;2=6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vo4?:3y]f>;5io0:7}Yk279n:4>039~wa<72;qUh63=be8247=z{l0;6?uQe:?1g6<68;1vk4?:3y]b>;5k00:vP>1:?1`0<68;1v<<50;0xZ44<5;ni6<>=;|q27?6=:rT:?63=e08247=z{8?1<76;296~X6>279i84>029~w4e=838pR4643ty:h7>52z\2`>;5jk0:<>5rs0g94?4|V8o01?m>:020?xu6n3:1>vP>f:?1g2<68:1v?>50;0xZ76<5;io6<><;|q15?6=:rT9=63=d28246=z{;81<728:87p}=3;296~X5;279hk4>029~w3d=83;9wS8m;<4f>d3<5;d3<5:8:6<><;<110?77:278=;4>009>741=99901>?l:022?857;3;;?63>d88244=::0i1==?4=3c:>4663482i7??2:?123<68816>;651108971128::70<7a;335>{t>l0;68u26d8105=:;821==?4=3c;>466348=57??1:?1<5<68;1v4850;axZ<0<5;<7<5?o15<5226f9=4=::1l15<5224;9=4=:;1:15<522g:9=4=:;9215<5230f9=4=:<9k1==?4}r3`=?6=;r78h>4if:?12`<>l27:h946d:p5a2=83=p1464348<<7??3:?1<2<68;1v70?kb;3`g>{t9m<1<74ed34;om7??3:p5a1=838p128ih70?kb;337>{t9mk1<74ed34;on7??2:p617=838pR?:>;<0a4?7dk2wx>9<50;0xZ4>97p}=4583>6}Y9=1U>9:4=3g4>4653ty9884?:3y]613<5;h36984=3`f>4ed3ty98:4?:3y]611<5;i?6964=3ab>4ed3ty9844?:3y]61?<5;n;69o4=3f5>4ed3ty98o4?:3y]61d<5;nh69m4=3g1>4ed3ty98i4?:3y]61b<5;o369h4=211>4663499=7??2:?05<<68816?==5110894bf28:970;5ih0:<<522849554<5;<<6<>>;<05e?77927?9k4>009>621=99801?68:020?84?13;;=6s|24:94?2|5;=h6039>036=99;0q~<:9;296~;5=0098=5226`9557m7>52z?11<cb9~w73e2909w0<:b;3`g>;5>:0:5<5s48>o7?lc:?11c<68=1v?;k:181842l3;ho63=618246=z{;?n6=4={<06a?7dk279:<4>029~w73a2909w0<:f;3`g>;5><0:<95rs343>5<5s48=<7?lc:?127<68;1v?8>:18184193;ho63=638246=z{;<96=4={<056?7dk279:>4>029~w7042909w0<93;3`g>;5>=0:5<5s48=87?lc:?120<68:1v?89:181841>3;ho63=5e8247=z{;<<6=4={<053?7dk2799i4>059~w70?2909w0<98;3`g>;5>80:5<5s48=57?lc:?11`<68;1v?8n:181841i3;ho63=5d8246=z{;029~w70d2909w0<9c;3`g>;5><0:5<5s48=h7?lc:?11c<68;1v?8j:181841m38?<63=7`8244=z{;6s|26094?4|5;=96:=50;0x971428ih70<87;337>{t:>>1<74ed348<;7??4:p623=838p1?9::0a`?840?3;;96s|26494?4|5;==6:950;0x971028ih70<88;337>{t:>21<74ed348<57??3:p62?=838p1?96:0a`?84093;;>6s|26c94?4|5;=j6:l50;0x971e28ih70<8c;337>{t:>n1<77273498;7??2:p62c=838p1?9k:`7897>128ih7p}=7g83>7}::>l1=nm4=3:6>4653ty94=4?:3y>6=6=9ji01?6=:021?xu5080;6?u229395fe<5;2?6<>;;|q1<7<72;q6>5<51ba897>428:97p}=8283>7}::191=nm4=3:7>4643ty9494?:3y>6=2=9ji01?6::020?xu50<0;6?u229795fe<5;2=6<>=;|q1<2<72;q6>5951ba897>428:87p}=8983>7}::121=nm4=3:3>4643ty9444?:3y>6=?=9ji01?6=:020?xu50h0;6?u229c95fe<5;286<>;;|q15l51ba897>328:97p}=8b83>7}::1i1=nm4=3:2>4653ty94i4?:3y>6=b=9ji01?6>:020?xu50l0;6?u229g95fe<5;2=6<><;|q1465348i:7??1:?1fg<68;16>om5113897e628:970;5k>0:=;<0`a?779279h>4>039>6a2=99;01?j6:021?84ci3;;=63=dg8247=::l:1==?4=3g6>465348n:7??1:p6<6=838p1?6i:`7897g428ih7p}=9083>7}::0;1=nm4=3;7>4653ty95?4?:3y>6<4=9ji01?o?:020?xu51:0;6?u228195fe<5;k96<><;|q1=1<72;q6>4:51ba897?>28:97p}=9483>7}::0?1=nm4=3c2>4653ty95;4?:3y>6<0=9ji01?7n:021?xu51>0;6?u228595fe<5;3j6<><;|q1==<72;q6>4651ba897?e28:87p}=9883>7}::031=nm4=3;g>4643ty95l4?:3y>6<;|q1=f<72;q6>4m51ba897?328:87p}=9e83>7}::0n1=nm4=3c0>4653ty95h4?:3y>6=;|q1e5<72;q6>l>51ba897g628:87p}=a083>7}::h;1=nm4=3c1>4653ty9m?4?:3y>6d4=9ji01?o<:020?xu5i=0;6?u22`695fe<5;326<><;|q1e0<72;q6>l;51ba897?228:87p}=a783>7}::h<1=nm4=3;5>4643ty9m:4?:3y>6d1=9ji01?78:021?xu5i10;6?u22`:95fe<5;3<6<>;;|q1e<<72;q6>l751ba897??28:97p}=a`83>7}::hk1=nm4=3;;>4643ty9mn4?:3y>6db=9ji01?l?:021?xu5im0;6?u22`g95fe<5;km6<><;|q1e`<72;q6>lh51ba897d728:87p}=b583>7}::k?1=nm4=3`;>4653ty9n84?:3y>6g0=9ji01?l8:020?xu5j?0;6?u22c595fe<5;h36<><;|q1fd<72;q6>ol51ba897db28:97p}=bc83>7}::ki1=nm4=3`g>4643ty9nn4?:3y>6gb=9ji01?lj:020?xu5k90;6?u22b395fe<5;i?6<>=;|q1g4<72;q6>n<51ba897e428:87p}=c383>7}::j91=nm4=3a7>4643ty9o;4?:3y>6f1=9ji01?mn:021?xu5k>0;6?u22b:95fe<5;i26<><;|q1g=<72;q6>n751ba897ef28:87p}=cb83>7}::jn1=nm4=3f3>4653ty9oi4?:3y>6fc=9ji01?mi:020?xu5kl0;6?u22bd95fe<5;n;6<><;|q1`7<72;q6>i=51ba897b128:97p}=d283>7}::m>1=nm4=3f6>4643ty9h94?:3y>6a3=9ji01?j9:020?xu5l10;6?u22e;95fe<5;nh6<>=;|q1`<<72;q6>io51ba897be28:87p}=d`83>7}::mh1=nm4=3f`>4643ty9hh4?:3y>6a`=9ji01?k=:021?xu5lo0;6?u22d295fe<5;o:6<><;|q1a5<72;q6>h?51ba897c528:87p}=e583>7}::l?1=nm4=3g;>4653ty9i84?:3y>6`0=9ji01?k8:020?xu5m?0;6?u22d595fe<5;o36<><;|q1ac<72;qU>hh4=54;>4663ty9j54?:03x97`?2;>;70==a;335>;49o0:<>523369552<5:;>6<>=;<12f?77;278009>6cb=9980193;;?63=928244=::?h1==?4=37e>464348<>7??2:?136<68816>:h5110897>e28::7p}=f883>7}::o21m8522gd95fe52z?1bd<6kj16?=951108yv4aj3:1>v3=fc82gf=::ol1==<4}r0eg?6=:r79jn4>cb9>6cc=9980q~52z?1b`<6kj16>kh51168yv5783:1>v3<0182gf=:;9=1===4}r135?6=:r78<<4>cb9>6cd=9980q~=?2;296~;48;0:on522g`955552z?046<6kj16>kk51118yv57<3:1>v3<0582gf=::oi1==<4}r131?6=:r78<84>cb9>6ce=9990q~=?6;296~;48?0:on522gg955252z?042<6kj16>kj51118yv5703:1=9u231:9616<5:8i6<>=;<12b?77:278>94>049>74g=99;01>>m:021?84ai3;;=63<008247=:;9?1==?4=0f4>466348j87??1:?1=7<68816>4;51108973e28:970<9c;337>;5>m0:<<522609555<5;=?6<>>;<04b?77;2794n4>009~w66>2909w0=?8;c6?856<3;ho6s|31c94?4|5::j64;337>{t;9i1<74ed349:=7??2:p75b=838p1>>k:0a`?857n3;;?6s|31g94?4|5::n60;336>{t;8:1<74ed349:=7??3:p747=838p1>?>:0a`?856:3;;?6s|30094?4|5:;964;336>{t;8?1<74ed349:>7??2:p740=838p1>?9:0a`?857k3;;?6s|30594?4|5:;<6{t;831<74ed349:<7??3:p74g=838p1>?n:0a`?856;3;;?6s|30`94?4|5:;i6{t;8n1<7?>{<12`?438278>>4>009>75g=99;01>?::020?85783;;=63<008246=:;9<1==?4=0f5>466348j97??1:?1=a<68;16>8l51118970d28:?70<:c;335>;5?;0:<9522679557<5;=m6<>;;<0;`?7792wx?=;|q064<72;q6???51ba8964128:97p}<2383>7}:;;81=nm4=206>4643ty8>>4?:3y>775=9ji01><7:020?xu4:=0;6?u233695fe<5:8;6<><;|q060<72;q6??;51ba8964128:87p}<2783>7}:;;<1=nm4=204>4643ty8>:4?:3y>771=9ji01><7:021?xu4:10;6?u233:95fe<5:8;6<>=;|q06<<72;q6??o51ba8964e28:87p}<2b83>7}Y;;i01>=8:0a`?xu4;;0;6?u232095fe<5:9<6<><;|q0<5<72:qU?9:4=2:3>72734>==7??1:p7=7=83?pR>6>;<123?77:2795:4>029>63>=99901?67:022?xu40:0;6nuQ3918964328:870==5;336>;49k0:=;<0:5?77:2795o4>039>63e=99801?8?:021?84083;;>63=8d8244=z{:o86=4={<1;4?g23499n7?lc:p7c0=83h9;<65f?77;27?8o4>039>02g=99;019:::022?821:3;;?6s|41;94?4|V=:270:?9;074>{t<9h1<7<7<5=:j605c=9ji0q~:=c;296~X3:j1689951138yv23:3:1>vP;439>015=9ji0q~:;4;296~;3<:0:<<5245:95fe?97>52z?700<6kj1689651118yv23>3:1>v3;4782gf=:<=21==:4}r673?6=:r7?8:4>cb9>01>=99?0q~:;9;296~X3<01689l51ba8yv23i3:1>v3;4`82gf=:<=h1===4}r66a?6=:rT?9h5247195fe>j7>52z?71c<6kj168;<51108yv2183:1>v3;6182gf=:cb9>035=9990q~:92;296~;3>;0:on524719554=;7>52z\722=:=h7>52z\72a=:<>i1==?4}r643?6=:rT?;:5246:95fe<57>52z?73=<688168:j51ba8yv20i3:1>v3;7`82gf=:<>n1===4}r64f?6=:r7?;o4>cb9>02b=99>0q~:8c;296~;3?j0:on5246f95532o7>55z\7=f=:46634>5;h61g?6=3`;?<7>5;n35a?6=3`>2o7>5;h65`?6=3f;>=7>5;n11g?6=3f>:i7>5;n3a6?6=3f8?6=44o3f94?=e;m91<7?50;2x 6?b28:;7E=k2:J0f0=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3th:494?:481>3}#;0o1jl5G3e08L6d23-;ij7:n3:k:1?6=3`3o6=44i8394?=ni<0;66a=4183>>d5<90;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i753ed8k4b4290/?i751e18?xu5<90;6?uQ2528972728n87p}n5;296~Xf=2798=4>0g9~w7`03ty297>52z\:1>;5<90296s|9083>7}Y1816>9>5979~w4672909w0<;0;1;b>;4l?0:h>5r}c4e>5<22;0=w)=6e;db?M5c:2B8n85+1cd90d5>o>93:17do::188k7272900n?:?:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b1290:6=4?{%1g=?5cn2e:h>4?:%1g=?7c;21v?:?:181[4382798=4>d29~wd3=838pRl;4=363>46a3ty2h7>52z\:`>;5<909j:5rs8794?4|V0?01?:?:878yv?62909wS7>;<074??13ty:<=4?:3y>616=;1l01>j9:0f0?x{e9m>1<7;52;4x 6?b2ok0D>j=;I1a1>"6980=7)?mf;6b7>o>=3:17d7k:188m<7=831bm84?::m105<722h98=4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`3<7280;6=u+3e;97a`;6=2798=465:p=4<72;qU5<522529=3=z{8:;6=4={<074?5?n278h;4>d29~yg4c290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twim?4?:281>1}#;0o1j45G3e08L6d23-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj8i?6=4<:387!5>m3l27E=k2:J0f0=#9kl18l=4i8394?=ni<0;66a=4183>>d5<90;684=:7y'728:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f6>5<6290;w)=k9;3`a>i6lo0;6)=k9;3g7>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3d4?xu5:10;6?uQ23:89cd=;1l0q~<;0;296~X5<916jo4>d29~w6d12909w0hm:87896b228nm7psm1;295?6=8r.8n94;;%1:a?7782.8h449>52528yvg22909wSo:;<074?g23ty2=7>52z\:5>;5<902=6s|2;297~;5<909>5522529=a=:93lm7)=m4;78yxd5<3:1?7<54z&0=`:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}c31N4l;1C?o;4$032>3=#9kl18l=4i8394?=ni<0;66a=4183>>d5<90;684=:7y'728:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f6>5<6290;w)=k9;3`a>i6lo0;6)=k9;3g7>=z{h?1<7:181[?634li6484}r;g>5<5sW3o70hm:3d4?xu5:10;6?uQ23:89cd=;1l0q~<;0;296~X5<916jo4>d29~w6d12909w0hm:87896b228nm7psm1;295?6=8r.8n94;;%1:a?7782.8h449>52528yvg22909wSo:;<074?g23ty2=7>52z\:5>;5<902=6s|2;297~;5<909>5522529=a=:93lm7)=m4;78yxd6j;0;684=:7y'7j?6g65;29?l?c2900e4?50;9je0<722e98=4?::`105<72?0;6=u+3e;9bf=n99l1<7*=n1?0;6)=k9;;5?>o40o0;6)=k9;1;b>=n:o=1<7*5}#;m31?ih4o0f0>5<#;m31=i=4;|q105<72;qU>9>4=363>4b43tyj97>52z\b1>;5<90:=3:1>vP65:?105<>=2wx5<4?:3y]=4=::=:15;5rs023>5<5s48?<7=7f:?0`3<6l:1vqo?:1;291?4=>r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f40b290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi=o750;796?0|,:3n6ko4H2f1?M5e=2.:nk4;a29j=0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e494?7=83:p(>j6:2fe?j7c;3:1(>j6:0f0?>{t:=:1<77}Yi<16>9>511d8yv?c2909wS7k;<074?4a?2wx584?:3y]=0=::=:1585rs8394?4|V0;01?:?:848yv7783:1>v3=4180l:;%3ab?2f;2c297>5;h;g>5<>i5<90;66l=4183>3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k07}Y:=:01?:?:0f0?xuf=3:1>vPn5:?105<68o1v4j50;0xZ;6?h8;|q:1?6=:rT2963=418:1>{t180;6?uQ909>616=1?1v<>?:1818438393j6355;092~"41l0mm6F5<>of=3:17b<;0;29?g4383:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k6;295?6=8r.8h44d298yv4383:1>vP=419>616=9m90q~o::181[g2348?<7??f:p=a<72;qU5i5225296c16=4={_;6?843833>7p}61;296~X>92798=466:p556=838p1?:?:2:e?85c>3;o?6srb30:>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg45l3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?353;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?:a;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6jk0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2<3<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=5950;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm2g83>6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f75c29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo<j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c62b?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg2583:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`235<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6?80;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*?7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?:4;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6=<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?m5;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6j?0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`171<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;9>6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?=9:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`17<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi>>o50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm22`94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e::;1<7=50;2x 6?b28n;7E=k2:J0f0=#;m31=nh4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo<<2;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c007?6=<3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zj;8i6=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn?5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`077<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?>950;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f65d29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj:9o6=4;:183!5>m3;o=6F;:18'7a?=99l07b?lc;29 6b>28n876sm17194?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8<>6=4<:183!5>m3;o<6F6783>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`222<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=;650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f40>29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj8m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<8m:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`22f<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6>=0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<9j:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb05e>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6090;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8296=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<6<:187>5<7s-92i7==;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76g>0583>!5c13;;j65`1ba94?"4l00:h>54}c341?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg70>3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7683>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9>21<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb05:>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f41f29086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*5}#;0o1??5G3e08L6d23-9o57=?;%3ab?2f;2c:;:18'7a?=99l07b?lc;29 6b>28n876sm8383>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e0:0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e0<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*0<729q/?4k5329K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;h331?6=,:n26<>i;:m2gf<72-9o57?k3:9~f=1=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`2`2<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=i650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f4b>29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?ka;297?6=8r.85h4<1:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c3gf?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg7ck3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=ij50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm1eg94?5=83:p(>7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yv432909wS<;;<07>7273ty9h7>511y]6a=::m098=52a58246=:9>:1==<4=052>46634;>?7??2:?211<68816=o:5110894d228::70<<4;337>;4;;0:<<521779555<582;6<>>;<34e?77:27387??1:?2`3<6881v?k50;0x97b=1<16>k4>cb9~w3d=83kpR;l4=0f7>d3<51:1==<4=0:4>465348897??3:?223<68:16=:k51108941228:8706::022?87c13;;=6s|6g83>7}Y>o16:k4=419~w2`=838p1;h5a49><5<6kj1v5?50;1x93`=1<16=5:5949><2<6kj1v5<50;0x9=4=9ji015851118yv>42909w06<:0a`?8>128:?7p}74;296~;?<3;ho6376;331>{t0<0;6?u28482gf=:0>0:<>5rs9494?4|51<1=nm4=9595542z\:2>;60=02=639f;;2?87c<33:7061<>927::<461:?26=<>927:n?461:?214<>927::h461:?2f<<>927:95461:?23f<>9279>4461:?16a<>92wxm?4?:3y>e7<5<916>k4>039~wd5=838p1l<5a49>e1<6kj1v54n5:p57>=8346634286<>>;|q26<<72:qU=?74=929555<582=6<>>;|q205<721qU=9>4=53e>465348897??2:?072<68816=;851108941b28:870?85;336>;6lm0:5<5sW;>=63>508105=z{8?96=4={<365?g234;>;7?lc:p505=838p1<;<:0a`?872?3;;>6s|14694?4|58??6{t9<<1<74ed34;>;7??3:p50>=838p1<;7:363?872;3;;?6s|14;94?4|58?36l;4=07b>4ed3ty:9n4?:2y]50e<5:9h6<>=;<3ge?77:2wx=;?50;0xZ40634;==7<;0:p534=838p1<8>:`78940328ih7p}>6283>7}:9?91=nm4=04:>4643ty::84?:3y>533=9ji01<8m:021?xu6>?0;6?u217495fe<58=;|q222<72;q6=;951ba8940f28:87p}>6983>7}:9?21=nm4=04`>4643ty::44?:3y>53?=9ji01<8;:021?xu6>h0;6?u217c95fe<58<;|q22g<72;q6=;l51ba8940d28:97p}>6b83>7}:9?i1=nm4=047>4643ty::h4?:3y]53c<58525=9ji0q~?80;296~;6?90:on52161955452z?234<6kj16=:<51118yv70:3:1>v3>7382gf=:9>91===4}r340?6=:r7::h465:?23g<6kj1v<9::181870=3;ho63>788247=z{8==6=4={<342?7dk27:;44>029~w4102909w0?87;3`g>;6?k0:5<5s4;<47?lc:?23g<68=1v<96:18187013;ho63>7`8246=z{8=j6=4={<34e?7dk27:;o4>029~w41d2909w0?8c;074>;6?90:<>5rs05g>5<5s4;838247=z{8=m6=4={<3;4?7dk27:4?4>029~w4>72909w0?71;3`g>;60:0:<95rs0:2>5<5s4;3>7?lc:?2<6<68;1v<6;:18187?<38?<63<3e8246=z{82>6=4={<3;0?g234;3;7?lc:p5=0=838p1<69:0a`?87??3;;?6s|1c094?4|V8h970?m2;074>{t9k91<7d3<58h36b483>7}:9k?1=nm4=0`4>4653ty:n;4?:3y>5g0=9ji010;6?u21c595fe<58h36<><;|q2f<<72;q6=o75252894d328:87p}>b`83>7}:9k31m8521c`95fe55z?1`?g234;h87<;0:?2f<<>=27:95465:?1b?77;2wx=n;50;1x94e32h?01<9l:87894>428ih7p}>c883>g}:;m91jk521969=a=:>o02h63>d58:`>;5l33o70?m2;;g?872933o70?9e;;g?87e133o70?:8;;g?870k33o7p}>d583>3}:9m>1>9>4=315>46634;=;7??1:?2<4<68816=:8511389=0=9980q~?k5;296~;6l=02963>dd82gf=z{8n=6=4={<3g2?7dk27:hl4>029~w4b02909w0?k7;3`g>;6lk0:5<5s4;o47?lc:?2`g<68:1vdd8246=z{8nj6=4={<3ge?7dk27:hn4>039~w4be2909w0?kb;3`g>;6lj0:<>5rs0f`>5<5s4;oo7?lc:?2`a<68:1vdd8247=z{;826=46{<01=?438279?i4>009>661=99;01?=7:021?845j3;;>63>698244=:9>l1==<4=05;>46634;o;7??1:p67g=838p1?<6:`78974d28ih7p}=2c83>7}::;h1=nm4=30`>4643ty9>i4?:by>67b=:=:01?=j:021?844<3;;>63=388244=:::k1==<4=30`>46534;=97??2:?22<<68;16=:h51118941028::706=:022?87c03;;=6s|23g94?4|5;8o6l;4=310>4ed3ty9>k4?:3y>67`=9ji01?=n:020?xu5;90;6?u222295fe<5;986<>;;|q174<72;q6>>?51ba8975528:97p}=3383>7}:::81=nm4=310>4653ty9?94?:3y>662=9ji01?=>:021?xu5;<0;6?u222795fe<5;9i6<>=;|q173<72;q6>>851ba8975e28:87p}=3683>7}:::=1=nm4=311>4643ty9?54?:3y>66>=9ji01?=?:021?xu5;00;6?u222;95fe<5;9;6<><;|q17d<72;q6>>o51ba8975428:87p}=3c83>7}:::h1=nm4=312>4643ty9?n4?:3y>66b=9ji01?=j:020?xu5;o0;68u2258b1>;6j;02963>508:1>;f<3;;>63=3d82gf=z{:8h6=4={_11g>;4;m0:on5rs211>5<5s498>7?lc:?07f<68:1v>=8:181854?3;ho63<3e8241=z{:9h6=4={<10g?7dk278?i4>039~w6`12909wS=i6:?765<6881v9?j:181[26m27?><4>cb9~w17a2909w0:>f;3`g>;3:80:5<5s4>9<7?lc:?764<68:1v9009>503=99;0q~:9d;297~X3>m16=ol5113894d128::7p};9b83>1}Y<0i01<9l:`78917a28:870?82;336>{zj:o=6=4l:3`976}O;k?0(>7j:gg8 4db2:3o7b:65;29?j27n3:17d=i6;29?j0e2900ek650;9j35<722c<97>5;h11`?6=3`98>7>5;h103?6=3f>;?7>5;h33e?6=3k9o?7>51;294~"41l0:<=5G3e08L6d23-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21vn9>i:186>7<1s-92i7hn;I1g6>N4j<1/=oh54`18m<3=831b5i4?::k:5?6=3`k>6=44o363>5<;6=49:183!5c13lh7d??f;29 6b>28:m76g65;29 6b>20?07d79:18'7a?=1?10e>6i:18'7a?=;1l07d2;l<76a>d283>!5c13;o?65rb2f5>5<6290;w)=k9;1gb>i6l:0;6)=k9;3g7>=z{;>;6=4={_074>;5<90:h>5rs`794?4|Vh?01?:?:02e?xu>l3:1>vP6d:?105<5n>1v4;50;0xZ<3<5;>;64;4}r;2>5<5sW3:70<;0;;5?xu6890;6?u225297=`<5:n=678t$2;f>cg<@:n97E=m5:&2fc<3i:1b584?::k:`?6=3`3:6=44i`794?=h:=:1<75m25294?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m<1<7?50;2x 6b>2:nm7b?k3;29 6b>28n876s|25294?4|V;>;70<;0;3g7>{ti<0;6?uQa49>616=99l0q~7k:181[?c348?<74?:481>3}#;0o1jl5G3e08L6d23-;ij7:n3:k:1?6=3`3o6=44i8394?=ni<0;66a=4183>>d5<90;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i753ed8k4b4290/?i751e18?xu5<90;6?uQ2528972728n87p}n5;296~Xf=2798=4>0g9~w7`03ty297>52z\:1>;5<90296s|9083>7}Y1816>9>5979~w4672909w0<;0;1;b>;4l?0:h>5r}c10N4l;1C?o;4$0`e>1g43`3>6=44i8f94?=n180;66gn5;29?j4383:17o<;0;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c>3:1=7>50z&0`<<4lo1d=i=50;&0`<<6l:10q~<;0;296~X5<916>9>51e18yvg22909wSo:;<074?77n2wx5i4?:3y]=a=::=:1>k94}r;6>5<5sW3>70<;0;;6?xu>93:1>vP61:?105<>>2wx==>50;0x97272:2m70=k6;3g7>{zj>;1<7;52;4x 6?b2ok0D>j=;I1a1>"6jo0?m>5f9483>>o>l3:17d7>:188md3=831d>9>50;9a616=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i850;394?6|,:n26>ji;n3g7?6=,:n265<5sW3o70<;0;0e3>{t1<0;6?uQ949>616=1<1v4?50;0xZ<7<5;>;6484}r334?6=:r798=4<8g9>7a0=9m90qpl86;291?4=>r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f6d?290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi?:4?:281>1}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm6b83>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e>m0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>:>:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th?=84?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a040=8391<7>t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo97:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F29086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`40?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;:k1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb21a>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd4;<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5483:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f6>=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn979:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F?3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi8=:50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c56>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c5a>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c5g>5<2290;w)=6e;10?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:k241<72-9o57??f:9j553=83.8h44>0g98k4ed290/?i751e18?xd4jj0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn>lk:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f`<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?oh50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6e7290>6=4?{%1:a?543A9o>6Fj6:02e?>o68=0;6)=k9;33b>=n99?1<7*5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rs2594?4|5:=1>9>4=7f9554<7<5:21=nm4}r1b>5<5s49<6l;4=2`95fe76>=i<16?>=5a49>77c=i<16:i4>cb9>043=99;01>ln:021?81?28::709<:022?854i3;;=63<348244=:;::1==?4=2`e>4663ty=o7>52z?5g?7dk27=h7??3:p2c<72:q68=:5110892b=9ji01>m?:021?xu083:1?vP80:?40?77:2730<6881v:<50;0x927=1<16;94>cb9~w25=838p1:=51ba8922=9990q~9::180[1234=26<>=;<5b>4663ty<:7>52z?42?438273=<6kj16;44>029~w2g=838p1:;51ba892b=9980q~9m:18181f28ih709k:020?xu0k3:1>v38b;3`g>;0l3;;86s|7e83>7}:?j0:on527e8240=z{o21<77t^g:8916a20;01>=7:838927=1816;;461:?0f=<>927847??1:p55g=839pR<>n;<622?77:278nl4>029~w4e>2903w0=k3;de?827n33o70==e;;g?854;33o70=<8;;g?81620n01:859e9>7g>=1m1v>039>7gb=9980q~==e;296~;4:l098=523cf955552z?06`<>=278?<4>cb9~w6572909w0=<0;3`g>;4;80:<>5rs211>5<4sW98>63<438244=:;:<1==<4}r107?6=:r78?>4=419>717=99;0q~=<4;296~;4;:02963<3782gf=z{:9>6=4={<101?7dk278?;4>029~w6502908wS=<7:?07g<68;16?om51108yv5403:1>v3<398105=:;ki1===4}r10=?6=:r78?5465:?07g<6kj1v>=n:181854i3;ho63<3c8246=z{:>;6=4={<177?7dk278nh4>009~w6262909w0=;1;3`g>;4<:0:5<5s49?>7?lc:?006<68:1v>l7:18185e038?<63;978244=z{:h26=4={<1al7:878916a20?01>m>:0a`?xu4jj0;6?u23ca95fe<5:i;6<><;|q0fa<72;q6?oj51ba896e728:?7p}7}:;ko1=nm4=2a3>4623ty8nk4?:3y>7g`=9ji01>m>:020?xu4k90;6?u23b295fe<5:i:6<>=;|q0b3<7246534>;87??3:p055=838pR9><;<630?7dk2wx8=h50;0xZ16a34>;j7<;0:p042=838p19>i:`78917128ih7p};1483>7}:<8?1=nm4=535>4643ty?584?:3y]0<3<5=3<6uG3c78 6?b289o7)?me;1:`>i6:00;66g;9483>>o38o0;66g>be83>>i69=0;66a>ofl3:17d:95;29?l7193:17b:?1;29?l?42900e4<50;9l07e=831b=8l50;9l03b=831b=om50;9j055=831d8<950;9l075=831d>94?::m73`<722h8h>4?:083>5}#;0o1==>4H2f1?M5e=2.8h44r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~fg5=8391>7:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}ca4>5<42;0?w)=6e;d:?M5c:2B8n85+1cd90d5>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpll3;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twink4?:281>1}#;0o1j45G3e08L6d23-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zjkh1<7=52;6x 6?b2o30D>j=;I1a1>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg25;3:1?7<54z&0=`:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&2fc<3i:1b5<4?::kb1?6=3f8?<7>5;c074?6==381:v*<9d8ef>"6jo0?m>5`25294?=n:;21<75fa483>>o>l3:17d7>:188fcd=83<1<7>t$2f:>ce6=4+3e;9=0==n;1l1<7*5$2f:>4b432wi?i;50;394?6|,:n26k94}r01552fc80;6=4={_074>;aj3;o?6s|3c494?4|5oh158523e795a`:183!5e<3>0(>7j:023?!5c139oj6*>bg87e6=hno0;6)=k9;3g7>=z{;>;6=4={_074>;5<9098=5rs`794?4|Vh?01?:?:`78yv?62909wS7>;<074??63ty96=4<{<074?4502798=46d:?2>c`<,:h?685r}c07>5<42;0?w)=6e;d:?M5c:2B8n85+1cd90d5>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl>1583>6<52=q/?4k5f89K7a4<@:h>7)?mf;6b7>o>93:17do::188k7272900n?:?:186>7<1s-92i7hm;%3ab?2f;2e98=4?::k16=<722cj97>5;h;g>5<3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k0{t1m0;6?uQ9e9>bg<5n>1v?<7:181[45027mn7=7f:p616=838pR?:?;4b43ty8n;4?:3y>bg<>=278h84>dg9~yg7=83;1<7>t$2`7>1=#;0o1==>4$2f:>6ba3-;ij7:n3:meb?6=,:n265;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk8no7>53;090~"41l0m56F5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4=:5y'7j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`bN4l;1C?o;4$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm47f94?5=:3>p(>7j:g;8L6b53A9i96*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo:=c;297?4=9>50;796?0|,:3n6kl4$0`e>1g43f8?<7>5;h016=44i8f94?=n180;66lib;292?6=8r.8h44ic:k24c<72-9o57??f:9j=0<72-9o577:;:k:2?6=,:n26484;h1;b?6=,:n26>6i;:k1b2<72-9o57d298yg5c=3:1=7>50z&0`<<6kl1d=ih50;&0`<<6l:10q~o::181[g234li6<>i;|q:5?6=:rT2=63ib;;5?xu>l3:1>vP6d:?ef?4a?2wx>?650;0xZ74?34li6>6i;|q105<72;qU>9>4=g`95a552z?ef??2349o97?kf:~f4<7280;6=u+3c690>"41l0:<=5+3e;97a`<,8hm69o<;nde>5<#;m31=i=4;|q105<72;qU>9>4=363>7273tyj97>52z\b1>;5<90j96s|9083>7}Y1816>9>5909~w7<72:q6>9>523:8972720n01<4if:&0f1<23twi?k850;196?2|,:3n6k74H2f1?M5e=2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk>:;7>53;090~"41l0m56F5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4=:5y'7j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`;N4l;1C?o;4$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm3b094?5=:3>p(>7j:g;8L6b53A9i96*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqoo8:180>7<3s-92i7h6;I1g6>N4j<1/=oh54`18m<7=831bm84?::m105<722h98=4?:481>3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?31}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psme783>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f894=8391>7:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj82m6=4<:387!5>m3l27E=k2:J0f0=#98;1:6*>bg87e6=n180;66gn5;29?j4383:17o<;0;291?4=>r.85h4ib:&2fc<3i:1d>9>50;9j67>=831bm84?::k:`?6=3`3:6=44bg`94?0=83:p(>j6:ga8m46a290/?i7511d8?l?2290/?i759498m<0=83.8h4466:9j7=`=83.8h44<8g98m7`0290/?i752g58?j7c;3:1(>j6:0f0?>{e;m?1<7?50;2x 6b>28in7b?kf;29 6b>28n876s|a483>7}Yi<16jo4>0g9~w<7=838pR4?4=g`9=3=z{0n1<7?;%1g=?5cn2.:nk4;a29lbc<72-9o57?k3:9~w7272909wS<;0:?105<5<91vl;50;0xZd3<5;>;6l;4}r;2>5<5sW3:70<;0;;2?xu52908w0<;0;01<>;5<902h63>:gd8 6d32<1vqo?66;297?4=:79'5g`=5<5$2f:>46a32c297>5$2f:><3<3`3=6=4+3e;9=3=5$2f:>7`032e:h>4?:%1g=?7c;21vn>j::182>5<7s-9o57?le:m2`c<72-9o57?k3:9~wd3=838pRl;4=g`955`?64=g`97=`52z\105=:nk0:h>5rs2`5>5<5s4li64;4=2f6>4ba3twi=7>51;294~"4j=0?7)=6e;334>"4l008hk5+1cd90d552z\105=::=:1>9>4}rc6>5<5sWk>70<;0;c6?xu>93:1>vP61:?105<>92wx>7>53z?105<5:116>9>59e9>5?`a3-9i87;4}|`2e1<72:0969u+38g9b<=O;m80D>l:;%325?0<,8hm69o<;h;2>5<56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g1?6=93:16=4={_c6?8`e28:m7p}61;296~X>927mn779;|q:`?6=:rT2h63ib;0e3>{t:;21<77}Y:=:01kl51e18yv5e>3:1>v3ib;;6?85c=3;oj6srb083>4<729q/?o:54:&0=`<6891/?i753ed8 4da2=k87bhi:18'7a?=9m907p}=4183>7}Y:=:01?:?:363?xuf=3:1>vPn5:?1056}::=:1>?64=363>4>:2yK7g3<,:3n65<4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10q~om:181[ge349oh7??3:pef<72;qUmn523ef955452z\0<==:;mn1=nm4}|```?6=;3;1?vFj6:02e?>i6kj0;6)=k9;3g7>=z{hh1<7039~w6>?2909wS=78:?0`a<6kj1vqoml:180>4<4sA9i96*<9d82g6=nik0;66gnc;29?j5?03:17o=kd;297?6=8r.85h47)=k9;1g4>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n266s|39:94?4|V:2370=kd;3`g>{zjjh1<7=51;1xL6d23-92i7?l3:kbf?6=3`kh6=44o2:;>5<m39o=6Fj?;%3ab?2f;2c:029~wde=838pRlm4=2fg>4653ty8454?:3y]7=><5:no6t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e?<0;6>4?:1y'7o68;0;6)=k9;33b>=n9991<7*5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a065=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<>91<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb557>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~fdg=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vn>m::181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6Fc29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd3;<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=296=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}ca:>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vnn;50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~ff0=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{ek80;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`a`?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3thii7>53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qoj?:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rbe094?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xda>3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6i?0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj8kj6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c3bf?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th:mn4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:ae83>6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2e`<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6io0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`2e2<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd6i10;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<7>:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0;1>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm18694?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*53;294~"41l08=6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?7b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd60j0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<6k:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0:f>5<4290;w)=6e;3g4>N4l;1C?o;4$2f:>4ea3-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xdb03:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xdbi3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xdbk3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31==h4;h332?6=,:n26<>i;:k242<72-9o57??f:9l5fe=83.8h44>d298yg26i3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*:n7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`75f<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd39m0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn96j:186>5<7s-92i7=<;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76g>0583>!5c13;;j65f11794?"4l00:5<#;m31=i=4;|`7l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd3190;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj=396=4<:183!5>m39:7E=k2:J0f0=#;m31?=5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn97<:180>5<7s-92i7=>;I1g6>N4j<1/?i75319'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb5;7>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f4??29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?6c;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd61m0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj83m6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c3b4?6=:3:1l:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th:m<4?:283>5}#;0o1=i>4H2f1?M5e=2.8h44>cg9'5g`==:18'7a?=99l07d??3;29 6b>28:m76a>cb83>!5c13;o?65rb0c1>5<4290;w)=6e;12?M5c:2B8n85+3e;975=#9kl18l=4i021>5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f4g4290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*53;294~"41l0:h=5G3e08L6d23-9o57?lf:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm18c94?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4b73A9o>6Fj6:02e?>o68:0;6)=k9;33b>=h9ji1<7*m3;o<6Fd19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n260g98k4ed290/?i751e18L6b?32wi>o4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>4753A9o>6Fj6:0f0?M5c021vn>hk:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f167290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`25=<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=<750;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f47f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?>b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd69j0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg76m3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c1683>6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f1>3290<6=4?{%1:a?533A9o>6Fj6:02e?>o68=0;6)=k9;33b>=n99?1<7*5$2f:>46a32e:on4?:%1g=?7c;21vn96::181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F3:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e<121<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb5::>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==3m7>54;294~"41l08>6F>4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21b==:50;&0`<<68o10c6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f1>d290?6=4?{%1:a?553A9o>6Fj6:02e?>o68=0;6)=k9;33b>=h9ji1<7*9:7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`762<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8?650;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f14>29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo:=a;290?6=8r.85h4<2:J0`7=O;k?0(>j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65f11694?"4l00:5<#;m31=i=4;|`76g<72:0;6=u+38g95a6<@:n97E=m5:&0`<<6ko1/=oh54`18m465290/?i7511d8?l77;3:1(>j6:02e?>i6kj0;6)=k9;3g7>=zjm<1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rbec94?2=83:p(>7j:208L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;h330?6=,:n26<>i;:m2gf<72-9o57?k3:9~fad=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnim50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~fab=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnik50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~fa`=8381<7>t$2;f>4753A9o>6Fj6:0f0?M5c021vnh>50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sme083>1<729q/?4k5339K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31==h4;n3`g?6=,:n26j6:02e?>i6kj0;6)=k9;3g7>=zjm21<7:50;2x 6?b2:80D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>46a32e:on4?:%1g=?7c;21vni750;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c`6>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==m3;o>6F;:18'7a?=99l07d??5;29 6b>28:m76a>cb83>!5c13;o?65rbc594?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zjk21<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432win44?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:d19K7a4<@:h>7)=k9;3`b>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e<:k1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb51a>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==8o7>52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`77a<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi8>k50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f15a29086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj=>;6=4<:183!5>m3;o<6F6<729q/?4k5309K7a4<@:h>7)=k9;13?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`77=<72=0;6=u+38g977=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98m463290/?i7511d8?j7dk3:1(>j6:0f0?>{e<:31<7=50;2x 6?b28n;7E=k2:J0f0=#;m31=nh4$0`e>1g43`;;>7>5$2f:>46a32c:<>4?:%1g=?77n21d=nm50;&0`<<6l:10qo?=1;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd6:;0;6>4?:1y'7j=;I1a1>"4l00:ok5+1cd90d55$2f:>46a32e:on4?:%1g=?7c;21vn<<<:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=?;50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm13494?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n261<7>t$2;f>4b63A9o>6Fj6:02e?>o68:0;6)=k9;33b>=n99>1<7*o4?:283>5}#;0o1?<5G3e08L6d23-9o57=?;%3ab?2f;2c:3483>7<729q/?4k51008L6b53A9i96*"6jo0?m>5f11394?"4l00:5<#;m31=i=4H2f;?>{e9:<1<7<50;2x 6?b28;97E=k2:J0f0=#;m314h5+1cd90d55$2f:>4b43A9o465rb014>5<5290;w)=6e;326>N4l;1C?o;4$2f:>=c<,8hm69o<;h335?6=,:n26<>i;:m2gf<72-9o57?k3:J0`==52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`27<<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi=>o50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f45e29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo?j6:228 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c31g?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg75l3:1?7>50z&0=`<6l91C?i<4H2`6?!5c13;hj6*>bg87e6=n9981<7*5$2f:>4b432wi=?k50;794?6|,:3n6>=4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07d??4;29 6b>28:m76g>0483>!5c13;;j65`1ba94?"4l00:h>54}c31b?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e9::1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi=>?50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}c306?6=;3:1l:;%1g=?7dn2.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e9:91<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi=>:50;194?6|,:3n6N4j<1/?i751bd8 4da2=k87d??2;29 6b>28:m76g>0283>!5c13;;j65`1ba94?"4l00:h>54}r07>5<5sW8?70<;:363?xu5?3:1>v3=4;c6?84d28ih7p}=8;296~;503;ho63=c;336>{t:00;6?u22882gf=::k0:4ed348h6<><;|q5b?6=;r7<97?lc:?2=a<68816=<751138yv>?2909w067:363?81228:87p}79;29e~;?033:70:9d;;2?825k33:70:>7;;2?85a>33:70=l2;;2?84bj33:70?9d;;2?8g020;015o51ba8yv>e2909w06m:363?81228:97p}7c;297~;?j3k>7067:`789=b=9ji0q~7=:1822~X>:27?;h461:?a7??634i<64?4=b19=4=:jo02=63mb;;2?825;33:70?=9;;2?84320;01hm5909>9278o>461:?b<7<582m64?4=0;5><7<58k?64?4=9c9557;6i90:<<5210a955772734;247??1:?253<6881vl650;1x9d>=:=:01<7l:022?87603;;=6s|a883>6}:i10j963n7;c6?8gf28ih7p}nd;296~Xfl27m87o:;|qba?6=ir7i?7<;0:?`f?ge34n;6<><;46634>3i7??5:?7<1<68;16858511389ag=99801im511389g1=99;0q~l;:1818d42h?01oo51ba8yvd22909w0l::0a`?8d?28:87p}m6;296~;e>3;ho63m9;336>{tj>0;6?u2b682gf=:j00:<>5rsc:94?4|5k21=nm4=cc95544ed34hj6<><;|qbb?6=ir7in7<;0:?`f?gd34n;6<>=;46634>3j7??2:?7<1<68:1685;511389ag=99901il511389g0=9980q~ll:1818de2h?01ok51ba8yvdc2909w0lk:0a`?8db28:87p}m0;29=~;en38?<63lc;c`?8b528:970kl:022?82?n3;;?63;858241=:<1=1==?4=ed9557<5k<1===4}ra3>5<5s4hm6l;4=b095fe4ed34i96<><;|qa5?6=0r7h?7<;0:?``?gd34n?6<>=;46634>2<7??1:?7<<<68816hh4>009>f3<68=1vn:50;0x9f5=i<16o;4>cb9~wf3=838p1n;51ba89f0=9990q~l=:18;8e02;>;70mj:`a89`b=9990197>:022?82?03;;=63ka;330>;cl3;;=63m6;331>{tk10;6?u2c68b1>;di3;ho6s|c883>7}:k00:on52c`8246=z{jl1<7=t=ba9eg=:l90:on52d38246=z{m;1<7=t=bf9eg=:l;0:on52d58246=z{m91<75<5s4n=64643tyo;7>52z?g3?7dk27o47??3:p`=<72;q6h54>cb9>`<<68:1vio50;0x9ag=9ji01i951108yvbe2909w0jm:0a`?8c628:87p}kc;296~;ck3;ho63j1;336>{tlm0;6?u2de82gf=:m80:<95rseg94?4|5mo1=nm4=e:95544ed34n36<>;;|qf4?6=:r7n<7?lc:?g=?77:2wxi<4?:3y>a4<6kj16h:4>029~w`4=838p1nl539:89gc=9980q~k<:1818ed2:2370m=:021?xub<3:1>v3ld;1;<>;d>3;;>6s|e483>7}:kl084552c`8247=z{l<1<7=t=d49616<583n6<>>;<32e?7792wxi:4?:3y>a3cb9>aa<68;1vh750;0x9`?=9ji01hj51168yvcf2909w0kn:0a`?8cc28:=7p}jb;296~;bj3;ho63jd;331>{tmj0;6?u2eb82gf=:mm0:<:5rsg694?2|5o?1m852f58105=:l?0:<<52b48244=z{o?1<7=t=g79616<5l:1==<4=c:9554<7<5o<1=nm4}r320?6==;<6;0?77=2wx=<;50;6x947028ih70?=4;336>;6;00:<<5213f955452z?253<6kj16=v3>1982gf=:98n1===4}r32=?6=:r7:=44>cb9>54`=9980q~?>a;296~;69h0:on5210g955452z?25g<6kj16=v3>1b82gf=:98=1===4}r32`?6=:r7:=i4>cb9>54`=9990q~?>e;296~;69l0:on5210d955252z?25c<6kj16=<951108yv7583:1>v3>158b1>;6:>0:on5rs002>5<5s4;9=7?lc:?261<68:1v<<=:181875:3;ho63>248247=z{8886=4={<317?7dk27:>84>029~w4432909w0?=4;3`g>;6:?0:<>5rs006>5<5s4;997?lc:?262<68=1v<<9:181875>3;ho63>268247=z{8826=4<{_31=>;6:0098=52121955452z?26<cb9~w44e2909w0?=b;3`g>;6:o0:5<5s4;9o7?lc:?274<68;1v<318247=z{88n6=4={<31a?7dk27:?94>029~w44a2909w0?=f;3`g>;6;;0:5<5s4;8<7?lc:?274<68:1v<=>:18187493;ho63>338246=z{8996=4={<306?7dk27:?>4>029~w4542909w0?<3;3`g>;6;=0:5<5s4;897?lc:?27f<68:1v<=9:181874>3;ho63>2b8246=z{89<6=4={<303?7dk27:>i4>029~w45?2909w0?<8;3`g>;6;90:<>5rs01:>5<5s4;857?lc:?26`<68:1v<=n:181874i3;ho63>2d8241=z{89i6=4={<30f?7dk27:>h4>049~w45d2909w0?;6:o0:<>5rs07a>5<5sW;>n63;328247=z{8<:6=4={_355>;6>m0j96s|17f94?2|58ac8244=:90;1==<4=0;1>46634;3m7??3:?75d<68:16>l4>009>7c`=998019=n:022?82383;;>63>228244=:9;h1==<4=016>4663ty:444?:3y>5=>=i<16=5k51ba8yv7?i3:1>v3>8`82gf=:91i1===4}r3;f?6=:r7:4o4>cb9>5=b=9990q~?7c;296~;60j0:on5219g955452z?28g8105=:9h31==?4=0`3>46534;287??2:?244>029>7ce=99;019<7:022?824j3;;=63;3b8244=:9;;1==?4=001>46434;8:7??1:?27f<68;1v<7?:18187?n3k>70?65;3`g>{t90;1<74ed34;2?7??3:p5<4=838p1<7=:0a`?87><3;;?6s|18194?4|58386{t90<1<7ht=0;5>72734;j:7??1:?2ea<68;16=4=5110894>c28:970:>c;336>;61h0:46634>8i7??1:?263<68;16=>951138944d28:970?=e;336>{t90=1<7d3<583i69883>7}:9031=nm4=0;b>4643ty:5l4?:3y>5<;|q2=a<72;q6=4j51ba894g428:97p}>9d83>7}:90o1=nm4=0c1>4653ty:5k4?:3y>5<`=9ji01<;|q2e4<72;q6=l?51ba894g428:87p}>a383>7}:9h81=nm4=0c0>4633ty:m>4?:3y>5d5=9ji01<76:021?xu6i=0;672734;jo7??2:?2=4<68:16=5o5110894>e28::70:>a;336>;39k0:<<5218`9554<5;21==<4=2dg>46634>9;7??1:?772<68;168>j51138944028:870?=b;337>;6;10:<<5212c955752z?2e1cb9~w4g12909w0?n6;3`g>;6ij0:<>5rs0c4>5<5s4;j;7?lc:?2e=<68:1vae8246=z{8kj6=4={<3be?7dk27:mh4>029~w4ge2909w0?nb;3`g>;6i>0:<>5rs0c`>5<5s4;jo7?lc:?2ec<68;1vag8246=z{8kn6=4={<3ba?7dk27:n=4>029~w4ga2909w0?nf;3`g>;6i10:5<5s4;i<7?lc:?2e2<68;1v039~w4dc2908wS?md:?76<<688168>951118yv4b13:1?v3=e`82gf=:90l1==?4=03a>4663ty9io4?:3y>6`d=:=:01?kn:020?xu5mj0;6?u22da9616<5;oj6<>=;|q1aa<72:q6>hm5a49>6`d=i<16>hk51ba8yv5d:3:1?v34613ty8o>4?:2y>7f5=:=:0196j:020?82?<3;;;6s|3b694?5|5:i86l;4=2a1>d3<5:i>67273ty8jo4?:3y>7c0=i<168=>51ba8yv5ak3:1>v3cb9>056=9980q~=ie;296~;4nl0:on52412955252z?0bc<6kj168=>51118yv2793:1?vP;009>7a5=no168:k59e9~w1642908wS:?3:?;`?77927:?o4>009~w16a2909wS:?f:?be?7792wx8<950;0xZ17034>:;7<;0:p04?=838p19?8:`78917c28ih7p};1`83>7}:<8k1=nm4=53g>4653ty?=o4?:3y>04d=9ji019?l:020?xu39j0;6?u240a95fe<5=;o6<><;|q766<72;qU8?=4=500>7273ty?>84?:3y>075=i<168?l51ba8yv25>3:1>v3;2782gf=:<;k1===4}r613?6=:r7?>:4>cb9>07g=9980q~:=8;296~;3:10:on5243c9552957>52z?76<<6kj168?l51118yv25i3:1>v3;2`82gf=:<;h1==<4}r61g?6=:rT?>n5243a96168=7>52z?776<6kj168>;51138yv24:3:1>v3;3382gf=:<:91===4}r600?6=:r7?>n4n5:?770<6kj1v9=9:180824:3;;=63;728244=:<:31=nm4}r603?6=:r7??:4>cb9>017=9980q~:<8;296~;3;10:on5242;95558m7>52z?77d<6kj168>h51108yv24j3:1>v3;3c82gf=:<:l1===4}r60g?6=:r7??n4>cb9>016=9990q~:8i7>52z?77`<6kj168>651168yv24n3:1>v3;3g82gf=:<=;1===4}r674?6=:r7?8=4>cb9>06>=9990q~:;1;296~;3<80:on5242;9554=97>52z\720=::lo1==?4}r65`?6=:rT?:i5247f9616<>7>52z?731<6kj168:851138yv20;3:1>v3;7282gf=:<>>1===4}r641?6=:r7?:i4n5:?733<6kj1v99j:180[20m27?;h4=419>a<<6881v96>:181820m3k>70:72;3`g>{t<191<7<3<5=2h6e28:97p};8483>7}:<1?1=nm4=5:b>4643ty?4;4?:3y>0=0=9ji0196n:021?xu30>0;6?u249595fe<5=2j6<>;;|q7<=<72;q685651ba891>d28:97p};8883>7}:<131=nm4=5:`>4633ty?4l4?:3y>0=g=9ji0196m:020?xu30k0;6?u249`95fe<5=2h6<><;|q77}:<1o1=nm4=5;7>4653ty?4k4?:3y>0=`=9ji0197<:021?xu3190;6?u248295fe<5=396<>=;|q7=4<72;q684?51ba891?528:87p};9383>7}:<081=nm4=5;0>4643ty?5>4?:3y>0<5=9ji0197;:020?xu31<0;6?uQ487896e228::7psm3d694?1=;h08hvF42900e>:;:188k6>62900ek650;9l7<`=831d?ll50;9j=1<722h8h>4?:083>5}#;0o1==>4H2f1?M5e=2.8h44r.85h4ia:J0`7=O;k?0(5;n074?6=3k8?<7>56;294~"4l00mo6g>0g83>!5c13;;j65f9483>!5c133>76g66;29 6b>20<07d=7f;29 6b>2:2m76g=f683>!5c138m;65`1e194?"4l00:h>54}c1g2?6=93:152z\105=::=:1=i=4}rc6>5<5sWk>70<;0;33b>{t1m0;6?uQ9e9>616=:o=0q~7::181[?2348?<77:;|q:5?6=:rT2=63=418:2>{t99:1<76>a349o:7?k3:~f6gd290>6?49{%1:a?`f3A9o>6F5;hc6>5<;6=44b363>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n=6=4>:183!5c139oj6a>d283>!5c13;o?65rs363>5<5sW8?<63=4182`6=z{h?1<7l2798=4=f69~w<3=838pR4;4=363><30183>7}::=:1?5h4=2f5>4b43twi?:?50;796?0|,:3n6ko4H2f1?M5e=2.:=<49;%3ab?2f;2c297>5;h;g>5<>i5<90;66l=4183>3<729q/?i75fb9j55`=83.8h44>0g98m<3=83.8h4465:9j=3<72-9o5779;:k07}Y:=:01?:?:0f0?xuf=3:1>vPn5:?105<68o1v4j50;0xZ;6?h8;|q:1?6=:rT2963=418:1>{t180;6?uQ909>616=1?1v<>?:1818438393j6355;092~"41l0mm6F5<>of=3:17b<;0;29?g4383:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k6;295?6=8r.8h44d298yv4383:1>vP=419>616=9m90q~o::181[g2348?<7??f:p=a<72;qU5i5225296c16=4={_;6?843833>7p}61;296~X>92798=466:p556=838p1?:?:2:e?85c>3;o?6srb26g>5<42;0?w)=6e;d:?M5c:2B8n85+10392>"6jo0?m>5f9083>>of=3:17b<;0;29?g4383:197<56z&0=`?650;9je0<722c2h7>5;h;2>5<2oi0e<>i:18'7a?=99l07d7::18'7a?=1<10e4850;&0`<<>>21b?5h50;&0`<<40o10e?h8:18'7a?=:o=07b?k3;29 6b>28n876sm3e794?7=83:p(>j6:0af?j7cn3:1(>j6:0f0?>{ti<0;6?uQa49>bg<68o1v4?50;0xZ<7<5oh15;5rs8f94?4|V0n01kl52g58yv4503:1>vP=299>bg<40o1v?:?:181[43827mn7?k3:p7g0=838p1kl5949>7a3=9ml0qpl>:182>5<7s-9i87:4$2;f>4673-9o57=kf:&2fc<3i:1djk4?:%1g=?7c;21v?:?:181[4382798=4=419~wd3=838pRl;4=363>d3;62ol0(>l;:49~yg53m3:1?7<54z&0=`3}#;0o1jo5+1cd90d5;6=44i30;>5<>o>93:17ohm:185>5<7s-9o57hl;h33b?6=,:n26<>i;:k:1?6=,:n264;4;h;5>5<#;m315;54i2:e>5<#;m31?5h4;h0e3?6=,:n26?h8;:m2`6<72-9o57?k3:9~f6b2290:6=4?{%1g=?7dm2e:hk4?:%1g=?7c;21vl;50;0xZd3<5oh1==h4}r;2>5<5sW3:70hm:848yv?c2909wS7k;7`03ty9>54?:3y]67><5oh1?5h4}r074?6=:rT98=52fc82`6=z{:h=6=4={<3<5:n>6m3;;<6*54}r074?6=:rT98=5225296166=4={_c6?84383k>7p}61;296~X>92798=461:p6?6=;r798=4=299>616=1m16=7hi;%1a0?31}#;0o1j45G3e08L6d23-;:=784$0`e>1g43`3:6=44i`794?=h:=:1<75m25294?3=:37j:g`8 4da2=k87b<;0;29?l4503:17do::188m3:1o68o0;6)=k9;33b>=n1<0;6)=k9;;6?>o>>3:1(>j6:848?l5?n3:1(>j6:2:e?>o5n>0;6)=k9;0e3>=h9m91<7*51;294~"4l00:oh5`1ed94?"4l00:h>54}rc6>5<5sWk>70hm:02e?xu>93:1>vP61:?ef??13ty2h7>52z\:`>;aj38m;6s|23:94?4|V;8370hm:2:e?xu5<90;6?uQ25289cd=9m90q~=m6;296~;aj33>70=k5;3gb>{zj80;6<4?:1y'7g2=<2.85h4>019'7a?=;ml0(;7p}n5;296~Xf=2798=4n5:p=4<72;qU5<522529=4=z{;0;6>u2252967><5;>;64j4=08eb>"4j=0>7psm34294?5=:3>p(>7j:g;8L6b53A9i96*>1085?!7en3>j?6g61;29?lg22900c?:?:188f727290>6?49{%1:a?`e3-;ij7:n3:m105<722c9>54?::kb1?6=3`3o6=44i8394?=enk0;6;4?:1y'7a?=nj1b==h50;&0`<<68o10e4;50;&0`<<>=21b5;4?:%1g=??132c84k4?:%1g=?5?n21b>k950;&0`<<5n>10c4<729q/?i751bg8k4ba290/?i751e18?xuf=3:1>vPn5:?ef?77n2wx5<4?:3y]=4=:nk02:6s|9e83>7}Y1m16jo4=f69~w74?2909wS<=8:?ef?5?n2wx>9>50;0xZ72734li6ji;%3ab?2f;2emj7>5$2f:>4b432wx>9>50;0xZ727348?<7<;0:pe0<72;qUm8522529e0=z{0;1<73A9o>6F2.:nk4;a29j=4<722cj97>5;n074?6=3k8?<7>55;092~"41l0mn6*>bg87e6=h:=:1<75f23:94?=ni<0;66g6d;29?l?62900nkl50;494?6|,:n26km4i02e>5<#;m31==h4;h;6>5<#;m315854i8494?"4l002:65f39d94?"4l0084k54i3d4>5<#;m31>k94;n3g7?6=,:n26t$2f:>4eb3f;oj7>5$2f:>4b432wxm84?:3y]e0=:nk0:52z\16==:nk084k5rs363>5<5sW8?<63ib;3g7>{t;k<1<75<6290;w)=m4;68 6?b28:;7)=k9;1gb>"6jo0?m>5`fg83>!5c13;o?65rs363>5<5sW8?<63=418105=z{h?1<7:181[?6348?<77>;|q1>5<4s48?<7<=8:?105<>l27:6kh4$2`7>0=zuk9>>7>53;090~"41l0m56F>i5<90;66l=4183>0<52?q/?4k5fc9'5g`=5;cda>5<1290;w)=k9;d`?l77n3:1(>j6:02e?>o>=3:1(>j6:878?l?1290/?i759798m6>a290/?i7539d8?l4a?3:1(>j6:3d4?>i6l:0;6)=k9;3g7>=zj:n>6=4>:183!5c13;hi6a>dg83>!5c13;o?65rs`794?4|Vh?01kl511d8yv?62909wS7>;<07}Y:;201kl539d8yv4383:1>vP=419>bg<6l:1v>l9:1818`e20?01>j::0fe?x{e93:1=7>50z&0f1<33-92i7??0:&0`<<4lo1/=oh54`18kc`=83.8h44>d298yv4383:1>vP=419>616=:=:0q~o::181[g2348?<7o:;|q:5?6=:rT2=63=418:5>{t:3:1?v3=41816==::=:15i521;de?!5e<3?0qpl<5283>6<52=q/?4k5f89K7a4<@:h>7)?>1;48 4da2=k87d7>:188md3=831d>9>50;9a616=83?1>78t$2;f>cd<,8hm69o<;n074?6=3`8947>5;hc6>5<>daj3:1:7>50z&0`<5$2f:><0<3`93j7>5$2f:>6>a32c9j:4?:%1g=?4a?21d=i=50;&0`<<6l:10qo=k5;295?6=8r.8h44>cd9l5a`=83.8h44>d298yvg22909wSo:;46a3ty2=7>52z\:5>;aj33=7p}6d;296~X>l27mn7=838pR?<7;6>a3ty98=4?:3y]616<5oh1=i=4}r1a2?6=:r7mn77:;<1g1?7cn2wvn<4?:083>5}#;k>186*<9d8245=#;m31?ih4$0`e>1g43flm6=4+3e;95a5<3ty98=4?:3y]616<5;>;6?:?;|qb1?6=:rTj963=418b1>{t180;6?uQ909>616=181v?4?:2y>616=:;201?:?:8f8947:t$2;f>c?<@:n97E=m5:&254<13-;ij7:n3:k:5?6=3`k>6=44o363>5<;6=4::385!5>m3li7)?mf;6b7>i5<90;66g=2983>>of=3:17d7k:188m<7=831ijo4?:783>5}#;m31jn5f11d94?"4l00:!5c133=76g<8g83>!5c1393j65f2g594?"4l009j:54o0f0>5<#;m31=i=4;|`0`0<7280;6=u+3e;95fc52z\b1>;aj3;;j6s|9083>7}Y1816jo466:p=a<72;qU5i52fc81b2=z{;836=4={_01<>;aj393j6s|25294?4|V;>;70hm:0f0?xu4j?0;6?u2fc8:1>;4l<0:hk5r}c394?7=83:p(>l;:59'7j6:2fe?!7en3>j?6aif;29 6b>28n876s|25294?4|V;>;70<;0;074>{ti<0;6?uQa49>616=i<1v4?50;0xZ<7<5;>;64?4}r094?5|5;>;6?<7;<074??c34;1jk5+3c691>{zj:?i6=4<:080M5e=2.85h4>c29jeg<722cjo7>5;n1;53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876s|ac83>7}Yik16?ij51118yvgd2909wSol;<1g`?77:2wx?5650;0xZ6>?349oh7?lc:~f63f29086<4<{I1a1>"41l0:o>5fac83>>ofk3:17b=78;29?g5cl3:1?7>50z&0=`<4l81C?i<4H2`6?!5c139o<6*>bg87e6=n9981<7*5$2f:>4b432wxmo4?:3y]eg=:;mn1===4}rc`>5<5sWkh70=kd;336>{t;121<75<42808wE=m5:&0=`<6k:1bmo4?::kbg?6=3f9347>5;c1g`?6=;3:1l:;%1g=?5c82.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{tik0;6?uQac9>7ab=9990q~ol:181[gd349oh7??2:p7=>=838pR>67;<1g`?7dk2wvn>;7:180>4<4sA9i96*<9d82g6=nik0;66gnc;29?j5?03:17o=kd;297?6=8r.85h47)=k9;1g4>"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n266s|39:94?4|V:2370=kd;3`g>{zj:?<6=4<:080M5e=2.85h4>c29jeg<722cjo7>5;n1;53;294~"41l08h<5G3e08L6d23-9o57=k0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876s|ac83>7}Yik16?ij51118yvgd2909wSol;<1g`?77:2wx?5650;0xZ6>?349oh7?lc:~f63129086<4<{I1a1>"41l0:o>5fac83>>ofk3:17b=78;29?g5cl3:1?7>50z&0=`<4l81C?i<4H2`6?!5c139o<6*>bg87e6=n9981<7*5$2f:>4b432wxmo4?:3y]eg=:;mn1===4}rc`>5<5sWkh70=kd;336>{t;121<75<42808wE=m5:&0=`<6k:1bmo4?::kbg?6=3f9347>5;c1g`?6=;3:1l:;%1g=?5c82.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{tik0;6?uQac9>7ab=9990q~ol:181[gd349oh7??2:p7=>=838pR>67;<1g`?7dk2wvn>6=:181>5<7s-92i7?>2:J0`7=O;k?0(>j6:9g8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?6F7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~f61229086=4?{%1:a?7c82B8h?5G3c78 6b>28im7)?mf;6b7>o68;0;6)=k9;33b>=n9991<7*4?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a70c=8391<7>t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;?:1<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi?;<50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm37694?5=83:p(>7j:238L6b53A9i96*"6jo0?m>5f11094?"4l00:5<#;m31==h4;n3`g?6=,:n26t$2;f>67<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?j7dk3:1(>j6:0f0?>{e;?21<7=50;2x 6?b2:;0D>j=;I1a1>"4l008<6*>bg87e6=n9981<7*5$2f:>4b432wi?8m50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6>329086=4?{%1:a?563A9o>6Fj6:02e?>i6kj0;6)=k9;3g7>=zj:2>6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1;2?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5??3:1?7>50z&0=`<492B8h?5G3c78 6b>2::0(j6:02e?>o68:0;6)=k9;33b>=h9ji1<7*52;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`03=<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?:750;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f61f29096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo=8b;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd4?j0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:=n6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c14b?6=13:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9j552=83.8h44>0g98m462290/?i7511d8?l77>3:1(>j6:02e?>o68>0;6)=k9;33b>=n9921<7*5$2f:>4b432wi?l?50;094?6|,:3n6N4j<1/?i758d9'5g`=>:18'7a?=99l07b?lc;29 6b>28n87E=k8:9~f6g429096=4?{%1:a?76:2B8h?5G3c78 6b>21o0(j6:02e?>i6kj0;6)=k9;3g7>N4l110qo=n4;296?6=8r.85h4>139K7a4<@:h>7)=k9;:f?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>5G3e:8?xd4i<0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:k<6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1bl:;%1g=?>b3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d29K7a><3th8m44?:383>5}#;0o1=<<4H2f1?M5e=2.8h447e:&2fc<3i:1b==?50;&0`<<68o10cj7;:a7dg=8321<7>t$2;f>63<@:n97E=m5:&0`<<482.:nk4;a29j554=83.8h44>0g98m464290/?i7511d8?l77<3:1(>j6:02e?>o68<0;6)=k9;33b>=n99<1<7*5$2f:>46a32e:on4?:%1g=?7c;21vn>o=:180>5<7s-92i7?k0:J0`7=O;k?0(>j6:0ae?!7en3>j?6g>0383>!5c13;;j65f11194?"4l00:5<#;m31=i=4;|`0f4<72:0;6=u+38g974=O;m80D>l:;%1g=?573-;ij7:n3:k247<72-9o57??f:9j555=83.8h44>0g98k4ed290/?i751e18?xd4j;0;6?4?:1y'7j=;I1a1>"4l003i6*>bg87e6=n99;1<7*7j:0f3?M5c:2B8n85+3e;95f`<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yv?3290;<145??6349jn77>;<17`??6349?i77>;<17b??6349><77>;<165??6349>>77>;<167??6349>877>;|q2g<<727<`=1m16?lm59e9>727=1m16?ll59e9~w6232909wS=;4:?030<68;1v>:::185853l38?<63<548bf>;4=l0:<>5234a9557<5:=<6<>>;<1b5?7792wx?9850;7x962b2;>;70=:5;c`?852m3;;>63<798244=:;h91==?4}r173?6==r788k4=419>700=ij16?;>51108961>28::70=n4;335>{t;=21<7;t=273>727349>;7ol;<156?77:278;l4>009>7d3=99;0q~=;9;291~;4=8098=5234:9ef=:;?>1==<4=25a>466349j;7??1:p71g=83;=:363?85213kh70=96;336>;40?0:>;|q00g<72?q6?8=52528963f2hi01>87:021?85?<3;;?63<7e8244=:;h21==?4}r17g?6=70d=ij16?5:51108961b28::7p}<5e83>6}:;<<1mo5234g95fe<5:<;6<><;|q01c<72:q6?895ac9>736=9ji01>8=:020?xu4>80;6>u234:9eg=:;?81=nm4=247>4643ty8:>4?:2y>70?=ik16?;:51ba8960128:87p}<6483>6}:;<;|q022<72;q6?8l5ac9>73>=9ji0q~=99;296~;497=78:p73d=838p1>:i:`7896312:237p}<6b83>7}:;<:1m85234597=>52z?0146>?3ty8;=4?:3y>702=i<16?8l539:8yv5093:1?v3<708105=:;181==?4=2:6>4663ty8;?4?:3y>727=i<16?:=51ba8yv50<3:1>v3<708:1>;4?<0:on5rs255>5<2s49jj7??2:?030<68:16?:=51138961a28ih70=m1;336>{t;>=1<74ed349=838p1>97:0a`?850n3;;?6s|36;94?4|5:=26{t;>h1<74ed3499l:0a`?850n3;;;6s|36f94?4|5:=o6{t;1;1<7{t;1>1<74ed3493;7??2:p7=3=838p1>6::0a`?85?>3;;?6s|39494?4|5:2=67i:87896g528ih7p}7}:;h;1=nm4=2cb>4653ty8m>4?:3y>7d5=9ji01>on:020?xu4i=0;6?u23`695fe<5:kj6<>;;|q0e0<72;q6?l;51ba896gf28:>7p}7}:;h<1=nm4=2cb>4603ty8m:4?:3y>7d1=9ji01>on:025?xu4i10;6?u23`:95fe<5:kj6<>7;|q0e<<72;q6?l751ba896g528:87p}7}:;hk1=nm4=2c1>4653ty8mo4?:3y]7dd<5:ki6?:?;|q0ef<72;q6?lm5252896d628:87p}7}:;hi158523`d95fe52z?0e`<6kj16?lh51118yv5e83:1>v3;4j:0:on5rs2`2>5<5s49i=7?lc:?0f6<68;1v>l=:18185e:3;ho6352;294~"41l0:=?5G3e08L6d23-9o576j;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:1C?i64;|`0a4<72;0;6=u+38g9544<@:n97E=m5:&0`<0g98k4ed290/?i751e18L6b?32wi?h<50;194?6|,:3n6>?4H2f1?M5e=2.8h44<0:&2fc<3i:1b==<50;&0`<<68o10e<><:18'7a?=99l07b?lc;29 6b>28n876sm3dd94?4=83:p(>7j:031?M5c:2B8n85+3e;9<`=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26=zj:l;6=4=:183!5>m3;:>6F1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;2B8h554}c1e5?6=;3:1N4l;1C?o;4$2f:>66<,8hm69o<;h336?6=,:n26<>i;:k246<72-9o57??f:9l5fe=83.8h44>d298yg5b13:1>7>50z&0=`<69;1C?i<4H2`6?!5c132n7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*6<729q/?4k51e28L6b53A9i96*5<#;m31==h4;h337?6=,:n26<>i;:m2gf<72-9o57?k3:9~ft$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg5a03:1>7>50z&0=`<68l1C?i<4H2`6?!5c132n7E?j6:0f0?>{eo6880;6)=k9;33b>=h9ji1<7*;47>52;294~"41l0:"6j80:5$2f:>4b432wi8;850;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo:>3;296?6=8r.85h4>0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm48`94?4=83:p(>7j:02f?M5c:2B8n85+3e;9<`=O9:l0(:02e?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c61a?6=:3:1l:;%1g=?>b3A;8j6*>b0824c=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26i;:m2gf<72-9o57?k3:9~ft$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg`429096=4?{%1:a?77m2B8h?5G3c78 6b>21o0D<=i;%3a5?77n2.:nk4;a29j557=83.8h44>0g98k4ed290/?i751e18?xd31l0;6?4?:1y'7j=;I1a1>"4l003i6F>3g9'5g7=99l0(j6:02e?>i6kj0;6)=k9;3g7>=zj?81<7<50;2x 6?b28:n7E=k2:J0f0=#;m314h5G12d8 4d628:m7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*m3;;i6Fbg87e6=n99;1<7*52;294~"41l0:"6j80:5$2f:>4b432wi9k4?:383>5}#;0o1==k4H2f1?M5e=2.8h447e:J27c=#9k;1==h4$0`e>1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;21vn8j50;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo;l:181>5<7s-92i7??e:J0`7=O;k?0(>j6:9g8L45a3-;i=7??f:&2fc<3i:1b==?50;&0`<<68o10c0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm5`83>7<729q/?4k511g8L6b53A9i96*N6;o1/=o?511d8 4da2=k87d??1;29 6b>28:m76a>cb83>!5c13;o?65rb4;94?4=83:p(>7j:02f?M5c:2B8n85+3e;9<`=O9:l0(:02e?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c7;>5<5290;w)=6e;33a>N4l;1C?o;4$2f:>=c<@89m7)?m1;33b>"6jo0?m>5f11394?"4l00:5<#;m31=i=4;|`63?6=:3:1l:;%1g=?>b3A;8j6*>b0824c=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26i;:m2gf<72-9o57?k3:9~f03=8381<7>t$2;f>46b3A9o>6Fh4$0`2>46a3-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg3329096=4?{%1:a?77m2B8h?5G3c78 6b>21o0D<=i;%3a5?77n2.:nk4;a29j557=83.8h44>0g98k4ed290/?i751e18?xd1i3:1>7>50z&0=`<68l1C?i<4H2`6?!5c132n7E?j6:0f0?>{e>00;6?4?:1y'7j=;I1a1>"4l003i6F>3g9'5g7=99l0(j6:02e?>i6kj0;6)=k9;3g7>=zj?21<7<50;2x 6?b28:n7E=k2:J0f0=#;m314h5G12d8 4d628:m7)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*m3;;i6Fbg87e6=n99;1<7*52;294~"41l0:"6j80:5$2f:>4b432wi:84?:383>5}#;0o1==k4H2f1?M5e=2.8h447e:J27c=#9k;1==h4$0`e>1g43`;;=7>5$2f:>46a32e:on4?:%1g=?7c;21vn;:50;094?6|,:3n6<>j;I1g6>N4j<1/?i758d9K56`<,8h:6<>i;%3ab?2f;2c:<<4?:%1g=?77n21d=nm50;&0`<<6l:10qo8<:181>5<7s-92i7??e:J0`7=O;k?0(>j6:9g8L45a3-;i=7??f:&2fc<3i:1b==?50;&0`<<68o10c0d9K7a4<@:h>7)=k9;:f?M74n2.:n<4>0g9'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm40394?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c6:=?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg21<3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e<o6880;6)=k9;33b>=h9ji1<7*;o7>52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi?ko50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm44f94?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c645?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg5a:3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e;o?1<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*=57>52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi8<650;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm49294?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c616?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg27>3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e:k81<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi>h=50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm2e594?4=83:p(>7j:0ag?M5c:2B8n85+3e;9<`=O9:l0(:0f0?!7en3>j?6g>0083>!5c13;;j65`1ba94?"4l00:h>54}c0g5?6=:3:1l:;%1g=?>b3A;8j6*>b082`6=#9kl18l=4i022>5<#;m31==h4;n3`g?6=,:n26t$2;f>4ec3A9o>6Fh4$0`2>4b43-;ij7:n3:k244<72-9o57??f:9l5fe=83.8h44>d298yg4d=3:1>7>50z&0=`<6km1C?i<4H2`6?!5c132n7E?j6:0f0?>{e:kl1<7<50;2x 6?b28io7E=k2:J0f0=#;m314h5G12d8 4d628n87)?mf;6b7>o6880;6)=k9;33b>=h9ji1<7*52;294~"41l0:oi5G3e08L6d23-9o576j;I30b>"6j80:h>5+1cd90d55$2f:>4b432wi>o=50;094?6|,:3n6N4j<1/?i758d9K56`<,8h:6ce9K7a4<@:h>7)=k9;:f?M74n2.:n<4>d29'5g`=>:18'7a?=99l07b?lc;29 6b>28n876sm3b83>4<729q/?i752368L6d23A;:<6*>b0824c=#9j;1><=4i307>5<#;m31>?:4;|`0`?6=93:1o5:=0;6)=k9;010>=zj:l1<7?50;2x 6b>2;8?7E=m5:J255=#9k;1==h4$0a2>4c53`8987>5$2f:>74332wi8=4?:083>5}#;m31>?:4H2`6?M7682.:n<4>0g9'5f7=9l90e?<;:18'7a?=:;>07pl;1;295?6=8r.8h44=259K7g3<@8;;7)?m1;33b>"6k80:i95f23694?"4l009>954}c61>5<6290;w)=k9;010>N4j<1C=<>4$0`2>46a3-;h=7?j5:k161<72-9o57<=4:9~f15=83;1<7>t$2f:>7433A9i96F>119'5g7=99l0(:0g5?l45<3:1(>j6:307?>{e<=0;6<4?:1y'7a?=:;>0D>l:;I324>"6j80:51;294~"4l009>95G3c78L4773-;i=7??f:&2g4<6m11b>?:50;&0`<<5:=10qo:9:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d9389<6g=2583>!5c1389865rb5594?7=83:p(>j6:307?M5e=2B:==5+1c3955`<,8i:67E?>0:&2f4<68o1/=n?51dc8m743290/?i752368?xd313:1=7>50z&0`<<5:=1C?o;4H033?!7e93;;j6*>c082af=n:;>1<7*i6=4>:183!5c138986Fi;%3`5?7bn2c9>94?:%1g=?45<21vn9m50;394?6|,:n26?<;;I1a1>N6991/=o?511d8 4e62;8:7d<=4;29 6b>2;8?76sm4e83>4<729q/?i752368L6d23A;:<6*>b0824c=#9j;1>?<4i307>5<#;m31>?:4;|`7a?6=93:1o5:=0;6)=k9;010>=zj<:1<7?50;2x 6b>2;8?7E=m5:J255=#9k;1==h4$0a2>4`33`8987>5$2f:>74332wi9<4?:083>5}#;m31>?:4H2`6?M7682.:n<4>0g9'5f7=9oi0e?<;:18'7a?=:;>07pl:2;295?6=8r.8h44=259K7g3<@8;;7)?m1;33b>"6k809<55f23694?"4l009>954}c70>5<6290;w)=k9;010>N4j<1C=<>4$0`2>46a3-;h=7t$2f:>7433A9i96F>119'5g7=99l0(:0gg?l45<3:1(>j6:307?>{e100;6<4?:1y'7a?=:;>0D>l:;I324>"6j80:51;294~"4l009>95G3c78L4773-;i=7??f:&2g4<6ml1b>?:50;&0`<<5:=10qoh=:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d93;m<6g=2583>!5c1389865rb362>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>?6=4>:183!5c138986F94?:%1g=?45<21vn?:::182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938;>6a=2583>!5c1389865rb365>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>36=4>:183!5c138986F94?:%1g=?45<21vn?:6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mj6a=2583>!5c1389865rb36b>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj;>h6=4>:183!5c138986F94?:%1g=?45<21vn?:k:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938;;6a=2583>!5c1389865rb2gg>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>7:m161<72-9o57<=4:9~f6`4290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;022>i5:=0;6)=k9;010>=zj:l<6=4>:183!5c138986Fi;%3`5?7a>2c9>94?:%1g=?45<21vn>h6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:96a=2583>!5c1389865rb522>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>9:m161<72-9o57<=4:9~f162290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;02a>i5:=0;6)=k9;010>=zj=:<6=4>:183!5c138986Fi;%3`5?47n2c9>94?:%1g=?45<21vn9>6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mm6a=2583>!5c1389865rb533>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7?i2:m161<72-9o57<=4:9~f175290:6=4?{%1g=?45<2B8n85G1028 4d628:m7)?l1;025>o5:=0;6)=k9;010>=zj=;<6=4>:183!5c138986F94?:%1g=?45<21vn9?j:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:o6a=2583>!5c1389865rb500>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7<>a:m161<72-9o57<=4:9~f14c290:6=4?{%1g=?45<2B8n85G1028 4d628:m7)?l1;3e1>o5:=0;6)=k9;010>=zj=8m6=4>:183!5c138986F94?:%1g=?45<21vn9:6:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d93;mn6a=2583>!5c1389865rb57b>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj=?n6=4>:183!5c138986F94?:%1g=?45<21vn98::182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d938:h6g=2583>!5c1389865rb544>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7?i9:m161<72-9o57<=4:9~f10f290:6=4?{%1g=?45<2B8n85G1028 4d628n87)?l1;3e<>i5:=0;6)=k9;010>=zj=:183!5c138986Fi;%3`5?7a?2c9>94?:%1g=?45<21vn99?:182>5<7s-9o57<=4:J0f0=O98:0(:0f0?!7d938:86a=2583>!5c1389865rb55e>5<6290;w)=k9;010>N4j<1C=<>4$0`2>4b43-;h=7i5:=0;6)=k9;010>=zj=3j6=4>:183!5c138986Fi;%3`5?4682c9>94?:%1g=?45<21vn97k:182>5<7s-9o57<=4:J0f0=O98:0(:02e?!7d93;m?6g=2583>!5c1389865rs3794?4|5:oi6?:4=2ge>4663ty9:7>52z?0af<5<278j=4>009~w7b=838p1>kl:3f896`328::7p}87??1:?0g?45<2wx?i4?:2y]7a=:=<0:<<523e8161=z{:o1<7=t^2g8900=99;01>k52368yv5a2908wS=i;<74>466349m6?<;;|q74?6=;rT?<63:8;335>;3838986s|4083>6}Y<816944>009>04<5:=1v9<50;1xZ14<586=4<{_60?83e28::70:<:307?xu3<3:1?vP;4:?6g?77927?87<=4:p00<72:qU88525e8244=:<<09>95rs5494?5|V=<018k51138910=:;>0q~:8:180[2034?m6<>>;<64>7433ty?47>53z\7<>;183;;=63;8;010>{t<00;6>uQ489>24<68816844=259~w1d=839pR9l4=709557<5=h1>?:4}r6`>5<4sW>h708<:022?82d2;8?7p};d;297~X3l27=87??1:?7`?45<2wx8h4?:2y]0`=:><0:<<524d8161=z{=l1<7=t^5d8930=99;019h52368yv372908wS;?;<44>46634?;6?<;;|q65?6=;rT>=6398;335>;2938986s|5383>6}Y=;16:44>009>17<5:=1v8=50;1xZ05<5?k1==?4=419672f=:{t=?0;6>u23d79`>;3i90o70;9:0a`?xu2?3:1?v3cb9~w0?=839p1>k::02891g728:018751ba8yv3f2908w0=j5;32?82f83;:70;n:0a`?xu2j3:1?v3;3i90:>63:b;3`g>{t=j0;6>u23d7956=:525b82gf=z{42<5=k;6<:4=4f95fe6:?7e5<6>27>j7?lc:p25<72:q6?h;5199>0d6=9116:=4>cb9~w37=838p1>k::0;8937=9ji0q~8=:18185b=3;i708=:0a`?xu1;3:1>v3;a182g>;1;3;ho6s|6583>7}:4c<5??1=nm4}r45>5<5s4>j<7?i;<45>4ed3ty=;7>52z?7e5<5827=;7?lc:p2=<72;q68l>5209>2=<6kj1v;750;1x96c22;8019o?:30893?=9ji0q~8n:18085b=38870:n0;00?80f28ih7p}9b;291~;4m<0=n63;a185f>;4mj0=n63;4m=0286s|7d83>7}:;li1:k523d4930=z{0=1<7=t^8589<>=99;014952368yv??2909w0=jb;;1?8??28ih7p}69;297~X>1272m7??1:?:=?45<2wx5l4?:7y>7`3=1?168l>5979>7`e=1?16?h85f99>7`2=n1165l4>cb9~w?:4}r;`>5<5s49nn77<;<;`>4ed3tym>7>53z\e6>;a;3;;=63i2;010>{tn:0;6?u23d`9ea=:n:0:on5rs02b>5<5s49n97??a:?0a3<68h1v289~w4272909w0=j5;374>;4mj0:8=5rs072>5<5s49no7?:1:?0ag<6=k1v<;l:18185b=3;>o63609~w40b2909w0=jc;35a>;4mk0:ni5rs0`1>5<5s49no7?m2:?0ag<6jj1v?:>:180[439279mo4>cb9>617=:;>0q~<;2;297~X5<;16>o?51ba897252;8?7p}=4583>6}Y:=>01?l=:0a`?843<38986s|25794?5|V;>>70;5<<09>95rs365>5<4sW8?:63=b882gf=::=<1>?:4}r073?6=;rT98:522cd95fe<5;><6?<;;|q10=<72:qU>964=3a6>4ed348?47<=4:p61?=839pR?:6;<0`f?7dk279844=259~w72f2908wS<;a:?1`4<6kj16>9o52368yv43j3:1?vP=4c9>6a1=9ji01?:m:307?xu5uQ25a897bc28ih70<;c;010>{t:=n1<7=t^36g?84b;3;ho63=4e8161=z{;>m6=4={<1f1?43n27?m=4=4g9~w7ge2909w0:n0;075>;5ik0:<<5rs3`2>5<5s4>j<7<;2:?1f4<6881v?l=:18182f838?863=b38244=z{;h86=4={<6b4?43=279n>4>009~w7d>2909w0:n0;072>;5j00:<<5rs3`e>5<5s4>j<7<;7:?1fc<6881v?m::18182f838?463=c48244=z{;ii6=4={<6b4?431279oo4>009~w7b62909w0:n0;07e>;5l80:<<5rs3f4>5<5s4>j<7<;b:?1`2<6881v?jk:18182f838?o63=de8244=z{;o86=4={<6b4?43l279i>4>009~w7ca2909w0=j5;0fb>;3i909ik5rs21f>5<5s49no7==c:?0a3<4;;1v>=i:18182f8399o63?6=4={<6b4?53<278i94<459~w6>62909w0:n0;1;5>;4m=084<5rs2:0>5<5s4>j<7=73:?0a1<40:1v>66:18185b=3935638278i44>009~w6?a2909w0=j4;1:b>;4mh0:5<5s49n87=nb:?0a7<68;1v>k?:18185b>3=;70=j2;3`g>{t;l;1<74ed349n>7??3:p7`1=838p1>k9:214?85bi3;ho6s|3d:94?4|5:o267?lc:?0aa<5:=1v>kj:18185bn3;ho63029~w6`52909w0=i1;3`g>;4n;0:<<5rs2d0>5<4sW9m?63?:4}r1e1?6=:r78j94>cb9>7c3=99;0q~=i7;297~X4n>16?k65113896`02;8?7p}1}:6`1349n:7=i6:?0b=<6kj1v>h6:180[5a1278jl4>cb9>7c?=:;>0q~=ia;296~;4mk08j;523gc9557;=7>53z\744=:<981=nm4=522>7433ty?7`d=<9;019>=:022?xu38<0;6>uQ4178916128ih70:?5;010>{t<9<1<716434>;:7??1:p051=839pR9>8;<63;3810:on5rs52:>5<4sW>;563;0b82gf=:<931>?:4}r63g?6=:r7?m=4;089>05e=99;0q~:>0;297~X3991687}:;l<18=h4=532>4663ty?=?4?:2y]044<5=;86<>>;<626?45<2wx8<=50;0x96ce2=:m70:>3;3`g>{t<8=1<7=t^534?82603;ho63;168161=z{=;36=4={<1ff?26?27?=54>009~w17b2908wS:>e:?767<6kj168v3>5243695fe<5=886?<;;|q761<72;q6?hl54318914328::7p};2e83>6}Y<;n019h4>cb9~w14a2908wS:=f:?775<6kj168?h52368yv2483:1>v326?<;;|q70f<72;q68l>545;8912d28::7p};5`83>6}Y<>h7?lc:?71f<5:=1v9;k:18182f83><;63;5e8244=z{=?n6=4<{_66a>;3>=0:on5244g9672=87>52z?7e5<3=l168;:51138yv21=3:1?vP;649>030=99;0198::307?xu3>?0;6>u23d79033<5:oi698:;<652?7dk2wx8;950;1xZ10034>=57?lc:?722<5:=1v986:18182f83>=;63;688244=z{=;3>j0:on5247c9672=o7>52z?7e5<3>h168;m51138yv21m3:1?vP;6d9>03`=99;0198j:307?xu3>o0;6>u24`2903b<5:oh698k;<65b?7dk2wx8:>50;1xZ11734><=7?lc:?735<5:=1v99>:18185bj3>=h63;708244=z{==m6=4<{_64b>;3090:on5246d96723<7>52z?0ag<3?l1685>51138yv2>03:1?vP;999>0>;|q7=d<72:qU84o4=5;a>46634>2m7<=4:p0km:5;6?82>j3;ho6s|48f94?5|V=3o70:6e;335>;31m09>95rs5;f>5<3s49n97:6c:?7e5<31j16?hm548a891?b28ih7psa17g0>5<3sA9i96sa17g7>5<3sA9i96sa17g6>5<4sA9i96sa17g5>5<6sA9i96G=25824:|Xe`?7|9j;1qp`>6d;94?7|@:h>7D<=4;3x5?{]nm0:w:|m53cf290:wE=m5:K161<6s80vVkj51z3`5?{zf851zJ0f0=N:;>1=v?5}[dg>4}6k80vqc?9eb83>4}O;k?0E?<;:0y2>x\al3;p=n?5}|l22`b=83;pD>l:;H010?7|93wQji4>{0a2>x{i9?on6=4>{I1a1>O5:=0:w<4rZgf95~7d93wvb<8jf;295~N4j<1B>?:51z39y_`c28q:o<4r}o35b5<728qC?o;4I307>4}62tPmh7?t1b39yxh6>o;1<7?tH2`6?L45<3;p=7sUfe824e62twe=;h=:182M5e=2C9>94>{08~^cb=9r;h=7srn04e7?6=9rB8n85F23695~7=uSlo6c08~yk71n=0;65<6sA9i96G=25824:|Xe`?7|9j;1qp`>6g;94?7|@:h>7D<=4;3x5?{]nm0:w:|m53`f290:wE=m5:K161<6s80vVkj51z3`5?{zf851zJ0f0=N:;>1=v?5}[dg>4}6k80vqc?9fb83>4}O;k?0E?<;:0y2>x\al3;p=n?5}|l22cb=839pD>l:;|l22cc=839pD>l:;|l22c`=839pD>l:;|l2356=839pD>l:;|l2357=839pD>l:;|l2354=839pD>l:;|l2355=839pD>l:;|l2352=839pD>l:;|l2353=839pD>l:;|l2350=83>pD>l:;|l2351=838pD>l:;|l235>=839pD>l:;|l235?=83>pD>l:;|l235g=838pD>l:;|l235d=838pD>l:;|l235e=838pD>l:;|l235b=838pD>l:;|l235c=838pD>l:;|l235`=838pD>l:;|l2346=838pD>l:;|l2347=838pD>l:;|l2344=839pD>l:;|l2345=839pD>l:;|l2342=83;8wE=m5:m5272290?wE=m5:m5271290:wE=m5:K161<6s80vVkj51z3`5?{zf8=:;7>528yK7g3:|Xe`?7|9j;1qp`>70;94?2csA9i96sa163b>5<6sA9i96G=25824vF=4?:4yK7g3<4?:2yK7g3?4?:5yK7g3>4?:2yK7g394?:6yK7g384?:5yK7g3;4?:5yK7g3:4?:0yK7g373:94?7|@:h>7D<=4;3x6?{]nm0:w:|m524>290:wE=m5:K161<6s;0vVkj51z3`5?{zf8=9m7>51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?82c83>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l237e=83;pD>l:;H010?7|:3wQji4>{0a2>x{i9>8o6=4>{I1a1>O5:=0:w?4rZgf95~7d93wvb<9=e;295~N4j<1B>?:51z09y_`c28q:o<4r}o346c<728qC?o;4I307>4}52tPmh7?t1b39yxh6?::1<7?tH2`6?L45<3;p>7sUfe824e62twe=:=>:182M5e=2C9>94>{38~^cb=9r;h=7srn0506?6=9rB8n85F23695~4=uSlo6c08~yk70;:0;6>uG3c78yk70;=0;6?uG3c78yk70;>0;6?uG3c78yk70;10;6?uG3c78yk70;00;6?uG3c78yk70;h0;6?uG3c78yk70;k0;6?uG3c78yk70;j0;6?uG3c78yk70;m0;6?uG3c78yk70;l0;6?uG3c78yk70;o0;6?uG3c78yk70<90;6>uG3c78yk70<80;6>uG3c78yk70<;0;6>uG3c78yk70<:0;68uG3c78yk70<=0;6>uG3c78yk70<<0;6>uG3c78yk700;6?uG3c78yk70<10;6>uG3c78yk70<00;6>uG3c78yk7050;3xL6d23td:;8?50;3xL6d23td:;8<50;3xL6d23@8987?t2;Yba<6s8i:6psa1670>5<6sA9i96sa1677>5<6sA9i96G=258243:1=vF74;94?5|@:h>7p`>74c94?7|@:h>7D<=4;3x6?{]nm0:w:|m523e2909wE=m5:m523d290:wE=m5:K161<6s80vVkj51z3`5?{zf8=>h7>52zJ0f0=zf8=>i7>51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?85g83>1}O;k?0qc?86183>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l2337=839pD>l:;|l2334=83;pD>l:;H010?7|93wQji4>{0a2>x{i9><86=4={I1a1>{i9>{I1a1>O5:=0:w?4rZgf95~7d93wvb<995;290~N4j<1vb<996;295~N4j<1B>?:51z09y_`c28q:o<4r}o3422<72;qC?o;4}o342=<728qC?o;4I307>4}52tPmh7?t1b39yxh6??31<7:tH2`6?xh6??k1<7?tH2`6?L45<3;p=7sUfe824e62twe=:8m:180M5e=2we=:8l:182M5e=2C9>94>{38~^cb=9r;h=7srn055`?6=c08~yk70>o0;6?uG3c78yk70?90;65<5sA9i96sa1657>5<6sA9i96G=25827vF3:1=vF76;94?4|@:h>7p`>76c94?7|@:h>7D<=4;3x6?{]nm0:w:|m521e2909wE=m5:m521d290:wE=m5:K161<6s80vVkj51z3`5?{zf8=53zJ0f0=zf8=51zJ0f0=N:;>1=v<5}[dg>4}6k80vqc?87g83>1}O;k?0qc?88183>4}O;k?0E?<;:0y1>x\al3;p=n?5}|l23=7=839pD>l:;|l23=4=83;pD>l:;H010?7|:3wQji4>{0a2>x{i9>286=4={I1a1>{i9>2?6=4>{I1a1>O5:=0:w<4rZgf95~7d93wvb<975;296~N4j<1vb<976;295~N4j<1B>?:51z39y_`c28q:o<4r}o34<2<725<6sA9i96sa1b:94?7|@:h>7p`>c883>4}O;k?0qc?la;295~N4j<1vb51zJ0f0=zf8im6=4>{I1a1>{i9m:1<7?tH2`6?xh6l80;6l:;|l2`0<728qC?o;4}o3g2?6=9rB8n85rn0f;>5<6sA9i96sa1e;94?7|@:h>7p`>d`83>4}O;k?0qc?kb;296~N4j<1vb51zJ0f0=zf8o:6=4>{I1a1>{i9l81<7?tH2`6?xh6m:0;6l:;|l2a=<728qC?o;4}o3f=?6=9rB8n85rn0gb>5<6sA9i96sa1d`94?7|@:h>7p`>ee83>4}O;k?0qc?je;295~N4j<1vb50;3xL6d23td:j?4?:0yK7g351zJ0f0=zf8l?6=4>{I1a1>{i9o?1<7?tH2`6?xh6n?0;6l:;|l2bd<728qC?o;4}o3ef?6=9rB8n85rn0d`>5<6sA9i96sa1gf94?7|@:h>7p`>fd83>4}O;k?0qc?if;295~N4j<1vb?>?:182M5e=2we>=?50;3xL6d23td951zJ0f0=zf;:?6=4>{I1a1>{i:9?1<7=tH2`6?xh58?0;6?uG3c78yk47?3:1>vFl:;|l14d<728qC?o;4}o03f?6=9rB8n85rn32`>5<6sA9i96sa21f94?4|@:h>7p`=0d83>7}O;k?0qc8:9;297~N4j<1vb;;m:180M5e=2we:8m50;0xL6d23td=9i4?:3yK7g3i7>51zJ0f0=zf??m6=4>{I1a1>{i>?:1<7?tH2`6?xh1>80;6l:;|l537<72:qC?o;4}o447?6=9rB8n85rn757>5<6sA9i96sa66794?7|@:h>7p`97783>4}O;k?0qc887;295~N4j<1vb;97:182M5e=2we::750;3xL6d23td=;l4?:0yK7g351zJ0f0=zf?=h6=4>{I1a1>{i>>n1<7?tH2`6?xh1?l0;67290:wE=m5:m2=7=83;pD>l:;|l5<7<728qC?o;4}o4;7?6=9rB8n85rn7:7>5<6sA9i96sa69794?4|@:h>7p`98783>7}O;k?0qc877;295~N4j<1vb;67:182M5e=2we:5750;3xL6d23td=4l4?:0yK7g351zJ0f0=zf?2h6=4>{I1a1>{i>1n1<7?tH2`6?xh10l0;6l:;|l5=7<72:qC?o;4}o4:7?6=;rB8n85rn7;7>5<6sA9i96sa68794?7|@:h>7p`99783>4}O;k?0qc867;295~N4j<1vb;77:182M5e=2we:4750;3xL6d23td=5l4?:0yK7g351zJ0f0=zf?3h6=4>{I1a1>{i>0n1<7?tH2`6?xh11l0;6n3:1=vFl:;|l5e7<728qC?o;4}o4b7?6=;rB8n85rn7c7>5<4sA9i96sa6`794?7|@:h>7p`9a783>4}O;k?0qc8n7;295~N4j<1vb;o7:182M5e=2we:l750;3xL6d23td=ml4?:0yK7g351zJ0f0=zf?kh6=4>{I1a1>{i>hn1<7?tH2`6?xh1il0;6l:;|l5f7<728qC?o;4}o4a7?6=9rB8n85rn7`7>5<6sA9i96sa6c794?7|@:h>7p`9b783>4}O;k?0qc8m7;295~N4j<1vb;l7:182M5e=2we:o750;3xL6d23td=nl4?:0yK7g351zJ0f0=zf?hh6=4>{I1a1>{i>kn1<7?tH2`6?xh1jl0;6l:;|l5g7<728qC?o;4}o4`7?6=9rB8n85rn7a7>5<6sA9i96sa6b794?7|@:h>7p`9c783>4}O;k?0qc8l7;295~N4j<1vb;m7:182M5e=2we:n750;3xL6d23td=ol4?:0yK7g351zJ0f0=zf?ih6=4>{I1a1>{i>jn1<7?tH2`6?xh1kl0;6l:;|l5`7<728qC?o;4}o4g7?6=9rB8n85rn7f7>5<6sA9i96sa6e794?7|@:h>7p`9d783>4}O;k?0qc8k7;295~N4j<1vb;j7:182M5e=2we:i750;3xL6d23td=hl4?:0yK7g351zJ0f0=zf?no6=4<{I1a1>{i>mo1<7=tH2`6?xh1lo0;6l:;|l5a6<728qC?o;4}o4f0?6=9rB8n85rn7g6>5<6sA9i96sa6d494?7|@:h>7p`9e683>4}O;k?0qc8j8;295~N4j<1vb;k6:182M5e=2we:ho50;3xL6d23td=io4?:0yK7g353zJ0f0=zf?oo6=4<{I1a1>{i>lo1<7?tH2`6?xh1mo0;6l:;|l5b6<728qC?o;4}o4e0?6=9rB8n85rn7d6>5<6sA9i96sa6g494?7|@:h>7p`9f683>4}O;k?0qc8i8;295~N4j<1vb;h6:182M5e=2we:ko50;3xL6d23td=jo4?:0yK7g351zJ0f0=zf?lo6=4>{I1a1>{i>oo1<7?tH2`6?xh1no0;6l:;|l446<728qC?o;4}o530?6=9rB8n85rn626>5<6sA9i96sa71494?7|@:h>7p`80683>4}O;k?0qc9?8;295~N4j<1vb:>6:182M5e=2we;=o50;3xL6d23td<51zJ0f0=zf>;:6=4<{I1a1>{i?8>1<7=tH2`6?xh09<0;6>uG3c78yk1603:1=vF290:wE=m5:m34g=83;pD>l:;|l45g<728qC?o;4}o52`?6=9rB8n85rn63e>5<6sA9i96sa73294?7|@:h>7p`82083>4}O;k?0qc9=2;295~N4j<1vb:<8:182M5e=2we;?650;3xL6d23td<>44?:0yK7g351zJ0f0=zfh8:6=4={I1a1>{ii;>1<7uG3c78ykg5>3:1=vF=83;pD>l:;|lb6<<728qC?o;4}oc1e?6=9rB8n85rn`0`>5<4sA9i96saa3f94?5|@:h>7p`n2g83>6}O;k?0qco<3;296~N4j<1vbl=;:182M5e=2wem>;50;3xL6d23tdj?;4?:0yK7g351zJ0f0=zfh936=4={I1a1>{ii:31<7uG3c78ykg4l3:1=vFl:;|lb05<728qC?o;4}oc75?6=9rB8n85rn`61>5<6sA9i96saa5194?7|@:h>7p`n4583>4}O;k?0qco;5;295~N4j<1vbl:8:181M5e=2wem9650;3xL6d23tdj844?:0yK7g351zJ0f0=zfh>i6=4={I1a1>{ii=i1<7?tH2`6?xhfl:;|lb14<728qC?o;4}oc66?6=:rB8n85rn`70>5<6sA9i96saa4694?7|@:h>7p`n5483>4}O;k?0qco:6;295~N4j<1vbl;8:182M5e=2wem8650;0xL6d23tdj944?:3yK7g3m7>51zJ0f0=zfh?h6=4={I1a1>{iil:;|lb27<72;qC?o;4}oc57?6=>rB8n85rn`47>5<3sA9i96saa7794?d|@:h>7p`n6783>7}O;k?0qco97;296~N4j<1vbl87:182M5e=2wem;750;3xL6d23tdj:l4?:0yK7g351zJ0f0=zfh{I1a1>{ii?n1<7?tH2`6?xhf>l0;6l:;|lb37<728qC?o;4}oc47?6=;rB8n85rn`57>5<4sA9i96saa6794?7|@:h>7p`n7783>4}O;k?0qco87;295~N4j<1vbl97:182M5e=2wem:750;3xL6d23tdj;l4?:0yK7g351zJ0f0=zfh=h6=4>{I1a1>{ii>n1<7?tH2`6?xhf?l0;67290:wE=m5:me=7=83;pD>l:;|lb<7<725<6sA9i96saa9494?7|@:h>7p`n8883>4}O;k?0qco7a;295~N4j<1vbom6:180M5e=2wenno50;1xL6d23tdioo4?:2yK7g351zJ0f0=zfkin6=4>{I1a1>{ijm:1<7l:;|la`3<728qC?o;4}o`g3?6=9rB8n85rncf;>5<6sA9i96sabe;94?7|@:h>7p`md`83>4}O;k?0qclkd;29<~N4j<1vboji:181M5e=2wenh>50;0xL6d23tdii<4?:0yK7g352zJ0f0=zfko?6=4={I1a1>{ijl?1<7?tH2`6?xhem>0;6?uG3c78ykdb03:1>vF290:wE=m5:mf`g=83;pD>l:;|laag<728qC?o;4}o`fg?6=9rB8n85rncgg>5<5sA9i96sabdg94?4|@:h>7p`meg83>6}O;k?0qcli0;295~N4j<1vboh>:182M5e=2wenk<50;3xL6d23tdij>4?:0yK7g351zJ0f0=zfkl36=4={I1a1>{ijo31<7?tH2`6?xhenk0;6uG3c78yk75;k0;6uG3c78yk75<80;6<=tH2`6?xh6:=81<7=tH2`6?xh6:=91<7;tH2`6?xh6:=<1<7=tH2`6?xh6:==1<7=tH2`6?xh6:=21<7;tH2`6?xh6:=k1<7:tH2`6?xh6:=h1<7=tH2`6?xh6:=i1<7?tH2`6?xh6:=n1<7?tH2`6?xh6:=o1<7?tH2`6?xh6:=l1<7?tH2`6?xh6:<:1<7?tH2`6?xh6:<;1<7:tH2`6?xh6:<81<7=tH2`6?xh6:<91<7?tH2`6?xh6:<>1<7:tH2`6?xh6:1<7?tH2`6?xh6:??1<7?tH2`6?xh6:?<1<7?tH2`6?xh6:?=1<7?tH2`6?xh6:?21<7?tH2`6?xh6:?31<7?tH2`6?xh6:?k1<7?tH2`6?xh6:?h1<7?tH2`6?xh6:?i1<7:1<7=tH2`6?xh6:>;1<7=tH2`6?xh6:>81<7?tH2`6?xh6:>91<7?tH2`6?xh6:>>1<7?tH2`6?xh6:>?1<7?tH2`6?xh6:><1<7?tH2`6?xh6:>=1<7;tH2`6?xh6:>21<7=tH2`6?xh6:>31<7=tH2`6?xh6:>h1<7?tH2`6?xh6:>i1<7?tH2`6?xh6:>n1<7?tH2`6?xh6:>o1<7?tH2`6?xh6:>l1<7?tH2`6?xh6:1:1<7?tH2`6?xh6:1;1<7?tH2`6?xh6:181<7?tH2`6?xh6:191<7?tH2`6?xh6:1>1<7?tH2`6?xh6:1?1<7=tH2`6?xh6:1<1<7?tH2`6?xh6:1=1<7?tH2`6?xh6:121<7?tH2`6?xh6:131<7?tH2`6?xh6:1k1<7?tH2`6?xh6:1h1<7?tH2`6?xh6:1n1<7=tH2`6?xh6:1o1<7?tH2`6?xh6:1l1<7?tH2`6?xh6:0:1<7?tH2`6?xh6:0;1<7?tH2`6?xh6:081<7?tH2`6?xh6:091<7?tH2`6?xh6:0>1<7?tH2`6?xh6:0?1<7?tH2`6?xh6:0<1<7?tH2`6?xh6:0=1<7?tH2`6?xh6:021<7?tH2`6?xh6:031<7?tH2`6?xh6:0k1<7?tH2`6?xh6:0h1<7?tH2`6?xh6:0i1<7?tH2`6?xh6:0n1<7?tH2`6?xh6:0o1<7?tH2`6?xh6:h;1<7=tH2`6?xh6:h81<7=tH2`6?xh6:h91<7=tH2`6?xh6:h>1<7?tH2`6?xh6:h?1<7?tH2`6?xh6:h<1<7?tH2`6?xh6:h=1<7?tH2`6?xh6:h21<7=tH2`6?xh6:h31<7=tH2`6?xh6:hk1<7?tH2`6?xh6:hh1<7?tH2`6?xh6:hi1<7?tH2`6?xh6:hn1<7?tH2`6?xh6:ho1<7=tH2`6?xh6:hl1<7=tH2`6?xh6:k:1<7?tH2`6?xh6:k;1<7?tH2`6?xh6:k81<7?tH2`6?xh6:k91<7?tH2`6?xh6:k>1<7?tH2`6?xh6:k?1<7?tH2`6?xh6:k<1<7?tH2`6?xh6:k=1<7?tH2`6?xh6:k21<7?tH2`6?xh6:k31<7?tH2`6?xh6:kk1<7?tH2`6?xh6:kh1<7=tH2`6?xh6:ki1<7=tH2`6?xh6:kn1<7?tH2`6?xh6:ko1<7?tH2`6?xh6:kl1<7?tH2`6?xh6:j:1<7?tH2`6?xh6:j;1<7?tH2`6?xh6:j81<7?tH2`6?xh6:j91<7?tH2`6?xh6:j>1<7?tH2`6?xh6:j?1<7?tH2`6?xh6:j<1<7?tH2`6?xh6:j31<7?tH2`6?xh6:jk1<7=tH2`6?xh6:jh1<7=tH2`6?xh6:ji1<7;tH2`6?xh6:jn1<7=tH2`6?xh6:jo1<7=tH2`6?xh6:jl1<7;tH2`6?xh6:m;1<7=tH2`6?xh6:m81<7?tH2`6?xh6:m91<7?tH2`6?xh6:m>1<7?tH2`6?xh6:m?1<7?tH2`6?xh6:mk1<7=tH2`6?xh6:mh1<7?tH2`6?xh6:mi1<7?tH2`6?xh6:mn1<7?tH2`6?xh6:ml1<7=tH2`6?xh6:l:1<7?tH2`6?xh6:l;1<7?tH2`6?xh6:l81<7?tH2`6?xh6:l91<7?tH2`6?xh6:l>1<7?tH2`6?xh6:l<1<7?tH2`6?xh6:l=1<7?tH2`6?xh6:l21<7=tH2`6?xh6:l31<7?tH2`6?xh6:lk1<7?tH2`6?xh6:lh1<7?tH2`6?xh6:li1<7?tH2`6?xh6:ln1<7?tH2`6?xh6:lo1<7?tH2`6?xh6:ll1<7?tH2`6?xh6:o:1<7?tH2`6?xh6:o;1<7?tH2`6?xh6:o81<7?tH2`6?xh6:o91<7?tH2`6?xh6:o<1<7?tH2`6?xh6:o=1<7?tH2`6?xh6:o21<7=tH2`6?xh6:ok1<71<7?tH2`6?xh6;9?1<7?tH2`6?xh6;9<1<7?tH2`6?xh6;9=1<7?tH2`6?xh6;921<7?tH2`6?xh6;931<7?tH2`6?xh6;9k1<7?tH2`6?xh6=:o1<7;tH2`6?xh6==:1<7:tH2`6?xh6==;1<7:tH2`6?xh6==81<7:tH2`6?xh6==91<7:tH2`6?xh6==>1<7:tH2`6?xh6==?1<7:tH2`6?xh6==<1<7:tH2`6?xh6===1<7:tH2`6?xh6==21<71<7:tH2`6?xh6=1<7?tH2`6?xh6=??1<7?tH2`6?xh6=?<1<7?tH2`6?xh6=?21<7:1<7?tH2`6?xh6=>;1<7?tH2`6?xh6=>91<7>1<7?1<7?tH2`6?xh6=><1<7=1<7?tH2`6?xh6=>21<7?tH2`6?xh?:3:1=vsa9683>4}zf;?h6=4>{|l134<728qvb?7?:180xh50h0;65<4std9m44?:0y~j7eb2908wp`=c983>4}zf;oo6=4<{|l1a2<728qvb>>l:180xh48?0;65<4std8>84?:0y~j62f2908wp`<4583>4}zf:<26=4<{|l026<728qvb>67:180xh40;0;65<6std<:o4?:0y~j2>7290:wp`89483>4}zf>h?6=4<{|l4e`<728qvb:j<:180xh0km0;65<4std4}zf19;6=4<{|l;6d<728qvb5:::182xh?=h0;65<6std3494?:0y~j=?>290:wp`7ad83>4}zf1io6=4<{|l;g2<728qvb5kl:180xh?m?0;65<6stdjo;4?:0y~jdbe290:wp`nf183>4}zfk:>6=4>{|la5d<728qvbournc6;>5<6stdh>54?:0y~jf202908wp`l4083>4}zfj<=6=4<{|l`25<728qvbn6::180xhd?o0;65<4stdh5h4?:0y~jfe42908wp`lbe83>4}zfjo96=4<{|l``f<728qvbi>>:180xhdnk0;65<4stdo=l4?:0y~ja5a2908wp`k3883>4}zfm?n6=4<{|lg1=<728qvbi9k:180xhc?>0;65<4stdo5;4?:0y~jade2908wp`kb483>4}zfmnj6=4<{|lg`1<728qvbih6:180xhcn:0;65<4stdn=?4?:0y~j`502908wp`j3083>4}zfl?=6=4<{|lf15<728qvbh9::180xhb>o0;65<4stdn4h4?:0y~j`d42908wp`jae83>4}zfln96=4<{|lfgf<728qvbhh>:180xhbmk0;65<4stdm4}zfo>n6=4<{|le0=<728qvbk8k:180xha>>0;65<4stdm4;4?:0y~jcge2908wp`ia483>4}zf899m7>51zm565a290:wp`>34694?7|ug;8:44?:0y~j45?03:1?vsa12:1>5<6std:?l950;1xyk74i80;6m?:182xh6;l?1<7=t}o30`c<728qvb<:?4;297~{i9:ln6=4>{|l2075=839pqc?;1e83>4}zf8>?>7>53zm515d290:wp`>47394?5|ug;?9o4?:0y~yx{GHJq:n??59ec6a7c>uIJIw=sO@Qy~DE \ No newline at end of file diff --git a/cpld/XC95144/MXSE.ngr b/cpld/XC95144/MXSE.ngr new file mode 100644 index 0000000..f276696 --- /dev/null +++ b/cpld/XC95144/MXSE.ngr @@ -0,0 +1,3 @@ +XILINX-XDB 0.1 STUB 0.1 ASCII +XILINX-XDM V1.6e +$52:==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q12$%#@V\E/t;?+((ZHG%z<5?1:326>752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk469EBa2*Ag20JKj;-Hl2=>@Al='Bb@Al='Bb>74FGf7)Lh4901MJi:"Io62<>@Al='Bb8l4FGf7)LhXadz87K]M3:DPIf=A[DU;!}o}eov`?CUJW8'{mkatb9EWHY5%ykyicz>;F18CKB63@80E=<4I008M74>0ELM\5:KBGV723@KH_?;4I@AP7==NIJYTe`~;;HLJP6=NF_?0EBOLTe9JKDESWhdo<=>?219JKDESWhdo<=>?_hos[LIFK]Ujbi>?013g?LIFK]Ufyu>?01g8MJGD\Vg~t=>?00d8MJGD\Vg~t=>?003e?LIFK]Ufyu>?0102a>OHIJ^Taxv?012054=NGHI_S`{w0123[lkwk2CDMNZPos23457b3@EJOYQ`r1234ZojxVCDMNZPos2345713@EJOY|9;HMAAWTb3@EII_\Pmtz3456a3@EII_\Pmtz34566991BCOK]R^ov|56788::<6G@BDPQ[hs89:;=0:KLF@TUWds<=>?20d8MJDBZ[Ufyu>?01124>OHJLXYS`{w012374`91028MJDBZ[Ufyu>?0152b>OHJLXYS`{w0123<466991BCOK]R^ov|56780;:>6G@BDPQ[hs89:;Sdcd:KLF@TUWfx;<=>=0:KLF@TUWfx;<=>Pilr\MJDBZ[Ud~=>?0068MJDJ<2CDN^:4INAP1>OHKZ;>7DALS378MJET;o1BCN]Pclr\at67898?7DALS^antZcv89:;Sdc_HM@WZejxVoz<=>?1g9JKFUXkdzTi|>?0007?LID[Vif|Rk~0122[lkwW@EH_Rmbp^gr45669o1BCN]Pclr\at678;8?7DALS^antZcv89:9Sdc_HM@WZejxVoz<=>=1g9JKFUXkdzTi|>?0207?LID[Vif|Rk~0120[lkwW@EH_Rmbp^gr45649o1BCN]Pclr\at678=8?7DALS^antZcv89:?Sdc_HM@WZejxVoz<=>;1g9JKFUXkdzTi|>?0407?LID[Vif|Rk~0126[lkwW@EH_Rmbp^gr45629o1BCN]Pclr\at678?8?7DALS^antZcv89:=Sdc_HM@WZejxVoz<=>91g9JKFUXkdzTi|>?0607?LID[Vif|Rk~0124[lkwW@EH_Rmbp^gr4560911BCN]Pilr7?LIK8j1BCA>Pmtz3456c3@EGOHX^IX=?5FOQU@WZojxVCD\ZM\149JKWCVl2CD^H_Paof3456582CD^H_Paof3456XadzTEB\JQ^cm`56788n0EB\JQ^ov|5678l1BC_K^_lw{45679o1BC_K^_lw{456798l0EB\JQ^ov|5678;;n7DA]EP]nq}67899m7DA]EP]nq}67899:j6G@RDS\ip~789:?=h5FOSGR[hs89:;9=0:KLVR6Xign;<=>Pilr\MJTP8Vkeh=>?00f8MJTP8Vg~t=>?0d9JKWQ7Wds<=>?1g9JKWQ7Wds<=>?10d8MJTP8Vg~t=>?033f?LIU_9Ufyu>?01125>OHZ^:Taxv?012\mhvc3@EY[=Qaou2344473@EY[=Qaou2344YneyUBC_Y?_omw45669j1BC_Y?_np34566m2CD^Z>Pos2345YneyUBC_Y?_np34566k2CD^Z>Pos23447b3@EY[=Q`r1235ZojxVCD^Z>Pos2344723@EY[4INPT5Zgil9:;>c:KLVR7Xg{:;<=?j;HMQS4Yhz9:;0EB\jae{\ekb789:Te`~PINPfeaXign;<=>>f:KLV`gcqVddx=>?1368MJTbimsTbbz?013\mhvXAFXnmiwPnnv34576m2CD^hoky^mq4567:;1BC_kndx]lv5678Vcf|RG@Rdcg}Ziu89:;=>5FORg8MJUXkdzTi|>?01g8MJUXkdzTi|>?00g8MJUXkdzTi|>?03g8MJUXkdzTi|>?02g8MJUXkdzTi|>?05g8MJUXkdzTi|>?04g8MJUXkdzTi|>?0768MJS7k2CDY=Qbuy2345b5Fn518Mk3b3BON\RFFESCQGM_03EELENOCd:NSIZGCLVY^NR?k;MRN[DBCWZ_IS?j4LQO\GJSI\LXT=i5CPL]@KPHSM[U946B_M^FEBZ?3`9OTHYCNOU:8l5CPL]GBCY6=h1G\@QKFG]22d=KXDUOJKQ>7`9OTHYCNOU:4l5CPL]GBCY6101G\@QKFG]1e>JWEVNMJRJWEVNMJR<;a:NSIZBANV8>m6B_M^FEBZ41i2F[ARJIF^04e>JWEVNMJR<7a:NSIZBANV8256B_M^FEBZ5f3EZFSIHI_22b?IVJWMLMS>?n;MRN[A@AW:8j7A^B_EDE[65f3EZFSIHI_26b?IVJWMLMS>;6;MRN[A@AW=30@]CPDGD\1<=KXDUOJKQ99:NSIZBANV=27A^B_EDE[=?Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t123542497C>=;O31?K453G9?7CA]759MKW>63F>0CIM\e:MGGVYneyUDHN]>3:MQ60=HZ;H:96A]2C00?JT4=2EY?O?:;NP0F7587B\:3:MQ26=HZ>90C_6;;Nl`af=HfjoTmcj?0122a>IiklUjbi>?01]jiuYHfjoTmcj?0122f>IiklUd~=>?00a8KkebWfx;<=>Pilr\KkebWfx;<=>>4:Mvp5cQfmq]Lqq56<2E~x9k4Otv7[lkwWF8<:4Otv6a>Ir|Ir|?o0Cxz9_hos[Jss>8>0Cxz84:Mvp=c>30227ZJ33YKYXl5_IO]AQVOCPk1[ECQMURLBI@4W;:7>0]1=16:S?0?69<2[783:4Q=7=0>W;>7<0]1950?68U919i2[T=Ra}01235d=VW8Ud~=>?0^kntZWX9Vey<=>?149QE969>2XJ0<>18:PB847=87<0^L2>1?78VD:66<1YM1<15:PB86823[K783;4R@>6:0=UI5<596\N<6<6?WG;07?0^L26>29QEH34R@O@WZIqm{fjuBhi279QEHETWF|n~aovOgd\mhvXZHGH_RAyesnb}J`a9o1YM@M\_Ntfvig~Gg8?7_OBCR]Lr`tkipEeSdc_SCNGVYH~lxgmtAa1e9QEHETWhdo<=>?219QEHETWhdo<=>?_hos[WGJKZUjbi>?013g?WGJKZUjbi>?0003?WGJKZUjbi>?00]jiuYUIDIXSl`k01225462XJAIG\7:PBIAOT9o1YM@JFS0]nq}6789;;7_OBDHQ2[hs89:;=>1038VDKCAZ;Taxv?0121546109QEHBN[8Ufyu>?017254=UIDNB_?00d8VDKCAZ8Tmcj?01310>TFEMCX>Road1235ZojxVXJAIG\2^cm`56798o0^LCKIR0\kw6789897_OBDHQ1[jt789:Te`~PR@OGMV4Xg{:;<=?>2:PBIAOTW`g{S_OBDHQ2<>TFE[ojht??;SCNV`gcqVkeh=>?0348VDKUmhnrSl`k0123[lkwW[KF^hoky^cm`56788;;7_OBRdcg}Zgil9:;=?84R@OQadb~Whdo<=>>_hos[WGJZlkouRoad12354773[KF^hoky^cm`567:;<0^LC]e`fz[dhc89:9Sdc_SCNV`gcqVkeh=>?2033?WGJZlkouRoad123770?4348VDKUmhnrSl`k0127[lkwW[KF^hoky^cm`567<8;;7_OBRdcg}Zgil9:;9?84R@OQadb~Whdo<=>:_hos[WGJZlkouRoad12314773[KF^hoky^ov|56788;0^LC]e`fz[hs89:;=<<4R@OQadb~Wds<=>?1031?WGJZlkouRczx123477692XJA_kndx]nq}67899:86\NMSgb`|Yj}q:;<=Qfmqd8VDKUmhnrSb|?01210>TFE[ojhtQ`r1234ZojxVXJA_kndx]lv56788?0^L]JLe9QEVCKWds<=>?e:PBW@JXe|r;<=>>f:PBW@JXe|r;<=>>1g9QEVCKWds<=>?20g8VDUBDVg~t=>?02d8VDUBDVg~t=>?023e?WGTMEUfyu>?0162a>TF[LFTaxv?012654=UIZOGS`{w0123[lkwk2XJS=Qbuy2345bTFW8Ufyu>?0132a>TFW8Ufyu>?0102`>TFW8Ufyu>?01124>TFW8Ufyu>?01]jiueTFW;Ufyu>?013f?WGX:Vg~t=>?003f?WGX:Vg~t=>?033g?WGX:Vg~t=>?0233?WGX:Vg~t=>?0^kntf=UIV9Taxv?012g?WGX;Vg~t=>?00g8VDY4Wds<=>?10g8VDY4Wds<=>?20f8VDY4Wds<=>?3028VDY4Wds<=>?_hosg>TFW=Ufyu>?01f8VDY3Wds<=>?1d9QEZ2Xe|r;<=>>1d9QEZ2Xe|r;<=>=1e9QEZ2Xe|r;<=><119QEZ2Xe|r;<=>Pilr`?WGX=Vg~t=>?0e9QEZ3Xe|r;<=>>e:PB[0Yj}q:;<=?>e:PB[0Yj}q:;<=<>d:PB[0Yj}q:;<==>0:PB[0Yj}q:;<=Qfmqa8VDY1Wds<=>?d:PB[3Yj}q:;<=?j;SC\2Zkrp9:;<??;SC\2Zkrp9:;k;SC\3Zkrp9:;<4R@]4[hs89:;Sdcc:PB[=Yj}q:;<=j4R@];[hs89:;=h5]A^:\ip~789::=h5]A^:\ip~789:9=i5]A^:\ip~789:8==5]A^:\ip~789:Te`~l;SC\=Zkrp9:;>149QKHETl2XDAN]Paof3456582XDAN]Paof3456XadzT^BCLS^cm`56788;;7_ABCR]`iuYby9:;?_hos[WIJKZUha}Qjq12344773[EFO^Qfmq]QKHET9;1Y_i5]S^antZcv89:;h6\\_bos[`w789;o7_]Pclr\at678;n0^^Qlmq]fu567;m1Y_Rmbp^gr4563l2XXSnc_ds3453c3[YTo`~Pep234357_kndx48V`gcq9=0^hoky1p5?Wcflp;<7_kndx3q2>Tbims9;6\jae{1vd=UmhnrSDAMS89Qadb~W[KFh6\jae{\ekb789:9<6\jae{\ekb789:Te`~PRdcg}Zgil9:;<>e:PfeaXg{:;<=Qfmq]Qadb~Wfx;<=>>c:PfeaXg{:;<<84RddBgn0TbnJd0?06;SgeGkr;;730^hhLnu>7:<=UmoIex1;19:PfbFhs4?4i7_kiCov?3?6912XnjN`{<6<4?WcaLfdn=?5]egFlj`YdeyUn}=>?03c8V``CggoTo`~Pep2345YneyUYikJ`nd]`iuYby9:;<?1^kntZTbnMeeiR``t123540Tb{|f0<06;Sgpqir;:730^h}zlu>0:g=Umzgx1:50?;8V`urd}6?245]erwop93912Xnxb{<719:Pfwpjs4>49:6\jstnw[5Yig}:;<=Qfmq]Qavsk|V:Tbbz?01222>UD[@IX=?5\CRK@WZojxVYH_DM\1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC33Zig~95\crvb?VhcZHGH_Z\>2:Qm`WGJKZ]YSl`k01236d=TfmXJAN]XR^cm`5678Vcf|R]adSCNGVQUWhdo<=>?1008WkbUIDIX[_Qnne23444f3Zdo^LCLSVP\ekb789;Te`~PSofQEHET_[Ujbi>?00321>Uil[KFO^Y]_bos[`w789:8<6]adSCNGVQUWjg{Sh?012\mhvX[gnYM@M\WS]`iuYby9:;<?2228WkbUIDIX[_Qlmq]fu567:Vcf|R]adSCNGVQUWjg{Sh?010250=TfmXJAN]XR^antZcv89:8?=5\nePBIFUPZVif|Rk~0120[lkwWZdo^LCLSVP\ghvXmx:;<>?>5:Qm`WGJKZ]YSnc_ds3452482Yeh_OBCRUQ[fkwWl{;<=:Pilr\WkbUIDIX[_Qlmq]fu567<8;>7^`kR@O@WRTXkdzTi|>?0413?VhcZHGH_Z\Pclr\at678?1038WkbUIDIX[_Q`r12357><[gnYM@M\WS]lv5679Vcf|R]adSCNGVQUWfx;<=?>109PjaTFEJY\^Ra}01216==TfmXJAN]XR^mq4565W`g{S^`kR@O@WRTXg{:;?0^kntZRnele~xLQlmq]fu56788;;7YgbenwwEZhh|9:;=?84ThofkprFWge<=>>_hos[QojmfMR``t12354><\`gncxzMb:Vji`ir|KZyi<>4ThofkprEWhdo<=>?279Wmhch}}HTmcj?012\mhvX\`gncxzM_`lg45679:1]ON74VHGT[Q_WM:1]\Lm4VQC\mhvX^YK:96X_Asd6?SVFz{n0Z]O}r^llp5679;:0Z]O}r^llp5679Vcf|RX_Asp\jjr789;:m6XfdSCNGVQUl2\bh_OBCRUQ21m602\bh_OBCRUQ21mXkdzTi|>?0115?SocZHGH_Z\94j]`iuYby9:;=aTo`~Pep2344513_co^LCLSVP50nYdeyUn}=>?1^kntZPnl[KFO^Y]65i\ghvXmx:;<?01]jiuYQamXJAN]XR^antZcv89:;=<;4VhfQEHET_[Uha}Qjq123566<^`nYM@M\WS]`iuYby9:;=Rgbp^Tj`WGJKZ]YSnc_ds345769<1]ei\NMBQTVZejxVoz<=>=319UmaTFEJY\^Rmbp^gr4565W`g{S[gkR@O@WRTXkdzTi|>?03321>Pnl[KFO^Y]_bos[`w78998<6XfdSCNGVQUWjg{Sh?011\mhvX^`nYM@M\WS]`iuYby9:;??5228RlbUIDIX[_Qlmq]fu567=Vcf|RXfdSCNGVQUWjg{Sh?017250=QamXJAN]XR^antZcv89:=?=5YiePBIFUPZVif|Rk~0125[lkwW_co^LCLSVP\ghvXmx:;<;?>5:Tj`WGJKZ]YSnc_ds3451482\bh_OBCRUQ[fkwWl{;<=9Pilr\RlbUIDIX[_Qlmq]fu567?8;>7[gkR@O@WRTXkdzTi|>?0913?SocZHGH_Z\Pclr\at6781Uba}QYiePBIFUPZVif|Rk~012;543<^`nYM@M\WS]`iuYby9:;5>>4VhfQEHET_[Uha}Qjq123=ZojxV\bh_OBCRUQ[fkwWl{;<=7>149UmaTFEJY\^Rmbp^gr4577;91]ei\NMBQTVZejxVoz<=??_hos[SocZHGH_Z\Pclr\at6799;:96XfdSCNGVQUWjg{Sh?00304>Pnl[KFO^Y]_bos[`w788;Te`~PVhfQEHET_[Uha}Qjq122547f3_co^LCLSVP\mhvX^`nYM@M\WS325>Pnl[KFO^Y]_np34566:2\bh_OBCRUQ[jt789::=?5YiePBIFUPZVey<=>?2008RlbUIDIX[_Q`r12346723_co^LCLSVP\kw6789Uba}?k;YKOMK^*PMH+<#?/SUWA$5(6(HYHED84XRVOMG1e:ZPPZOJXEOFICZNTHMM[FII[]XJECZ\119[WQYU\EU[^B^JRU[4?]USW_IH:6Vkb^Kg55=_ldUFmga}Vdppmjh682RoaRCfnnpUawungg?0Tb2?>79[k9699?1Sc1>1279[k969;01Sc1>1_hos7>^t|01SyIBoegof>Yflm:;<=2?>c9\eab789:7=3l4_`fg45674;4i7Rokd1234959l2Ujhi>?01>7>58e3Vkoh=>?0=6=f>Yflm:;<=2:>c9\eab789:7:3j4_`fg45674>0;2o5Paef3456;?720Sl`k012353=Xign;<=>Pilr\[dhc89:;=55Paof34576>2Ujbi>?00]jiuYXign;<=?>8:]bja678;;=7Road1236ZojxVUjbi>?033;?Zgil9:;?<84_`lg4564W`g{SRoad12374>;179\ekb789>Te`~P_`lg4563911Tmcj?01722>Yffm:;<8Qfmq]\ekb789?:46Qbuy23451>4:]lv5678Vcf|RQ`r1234414:]lv5679Vcf|RQ`r123543?0018gkr03je~byk}2:ap7>ban01oeklthmm7>`tjk1moQaou23457d3oyiSca{0123[lkwWoyiSca{012351=ngkg?7dams89mEAir|EO;=55aAEmvpIC7W`g{ScOKotvOA57682dJHb{{LD2\kw67898=7cOKotvOA5Yhz9:;?013:?kGCg|~GI4nCGQVZojxVdII_\>4:l@EVe?0328jAoiDLUd~=>?0^kntZhCagFNSb|?01222>hCagEN=?5aDhlLAZojxVdOecAJ169m@jssGLl0bIaztNG\ekb789:986`KotvLAZgil9:;?00d8jAir|FOTbbz?01310>hCg|~DIR``t1235ZojxVdOcxz@E^llp56798o0bIaztNG\kw6789897cJ`uuMF[jt789:Te`~PnEmvpJCXg{:;<=?;;oNGW==iDMYTJ^L7;oNGWZOHJj1e@I]Paof34566m2dGH^Qnne2345YneyUe@I]Paof34566k2dGH^Qnne23447b3gFO_Road1235ZojxVdGH^Qnne23447d3gFO_R``t12354chKLZUd~=>?0^kntZhKLZUd~=>?00`8jIBTWfx;<=?>c:lO@VYhz9:;=Rgbp^lO@VYhz9:;=<:4nMUFg>hK_LUjbi>?013f?kJPMVkeh=>?0^kntZhK_LUjbi>?013f?kJPMVcf|R`CWD30?kIBj2dDIRoad12344ehHMVcf|R`@E0;8jJpbzekr<?03:8jJpbzekr0b_K\6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad12347495aVLC\ghvXmx:;<=Qfmq]mRHGXkdzTi|>?013`?kPJIVddx=>?10g8jSKFWge<=>>_hos[kPJIVddx=>?1068jSVF02d]\LQISC:8jSVFW@EIo6`YP@]bja6789;n7cX_A^cm`5678Vcf|R`YP@]bja6789;n7cX_A^kntZhQXH;87cYJ7:lTAZ@TJo1ecy>?01]bja67898?7ca{0123[dhc89:;Sdc_omw4567Whdo<=>?169skiYddb30|bbPcmifj==wgeUxoa|7;qmo[vub|11{czPcmib?uiu|Viggh`6;qmqpZbf|h20|b|{_nrb2>vhz}Uzn6~`ru]qavsk|01{czPsbnq=>vhz}Uxhz>;s18vdk?3{~gSyweg9pkptdmVlb`h`nmdf8wjsuklUgeckab39vt<=qienSnga9:tbhpcXgyh37um6512{h`=k0?8:ubc56.1xFGx89m86NOx27g>C<528qX5;4<5b8155<6;=22>k4lacdxj632281e?8856:&011<435?h5c`a2?a7ck3:1=7?tS84970e=:8:1=>:793d9gdda3L9o>4?:082>5}T1?089n4=118271>>:o0hmoh4$201>4ga3-8:=7:?8:`2`f<72=91o78?{M165?4|@8kn7)<>1;633>{K;<81=v*;0386?x"49>0:hn5U8881<<693wQ>k752zc955>o>93:17b=k0;29?lb22900c>o=:188k6d72900c>k=:188k6g22900c>o8:188m6`72900c>7::188k74f290/><=523;8j7752910c?<7:18'645=:;30b??=:098k754290/><=523;8j7752;10c?==:18'645=:;30b??=:298k756290/><=523;8j7752=10c?=?:18'645=:;30b??=:498k74a290/><=523;8j7752?10c?<=523;8j7752110c?<=523;8j7752h10c?<8:18'645=:;30b??=:c98m26=83.9=>49f:l157<732c=i7>5$330>3`o1k3:1(??<:7d8j7752:10e;o50;&156<1n2d9=?4;;:k5=?6=,;;86;h4n331>0=h59;0=76g97;29 7742?l0b??=:698m30=83.9=>49f:l1575$330>3`o1;3:1(??<:7d8j7752k10e;<50;&156<1n2d9=?4l;:k55?6=,;;86;h4n331>a=21<7*=1285b>h59;0n76g87;29 7742?l0b??=:g98m20=83.9=>49f:l157<6821b;84?:%027?0a3g8:>7?>;:k40?6=,;;86;h4n331>44<3`=86=4+20192c=i:881=>54i6094?"59:0=j6`=13820>=n?80;6)<>3;4e?k46:3;>76g9b;29 7742?l0b??=:048?g50m3:1=o4<6;6bI5293;pD;?74}d2tP9j44>{c8~m=`=831d=;o50;9l6c1=831d>kk50;9j3g<722c8ok4?::m20d<722c8ik4?::m170<722e9n84?::m265<722c8n7>5$330>6go403:1(??<:2c8j7752;10e>850;&156<4i2d9=?4<;:k00?6=,;;86>o4n331>1=h59;0>76g<2;29 7742:k0b??=:798m67=83.9=>45$330>6go5m3:1(??<:2c8j7752h10e?j50;&156<4i2d9=?4m;:k1g?6=,;;86>o4n331>f=h59;0o76g;3;29 7742:k0b??=:d98m14=83.9=>44?:083>5}#;8=1???4H26e?j47n3:17pl0<52?qG?8?51zJ2e`=zD:?96{#;8=1=o;4i`294?=h:8k1<75f9c83>>o>k3:17d96:188f2<72<0;6=u+3059`d=O;=l0@>;>:0y'573=;ol0qd6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`4e?6=:3:1301:o51058yv7b=3:1>v38:81892g=9lh0q~7l:181[?d34=14h5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?n850;797?0|D:?:6=:09~ 67028i;7do?:188k77f2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>?8:ec8L62a3E9>=7?t$006>6`a3tc3i7>5;h;0>5<>i59h0;66sm34294?7=83:p(>?8:035?M53n2e:=84?::a=a<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h707k:034?xu6m<0;6?u27;:f?8?c28oi7p}>e783>7}:;<:1=<;4=68:7>{t9l=1<74}|`261<728;1?7?<{%123?75<2e:>=4?::k76?6=3`>86=44i3`94?=n:j0;66g=d;29?l4b2900e?h50;9j75<722c8=7>5;h11>5<>o4>3:17d=7:188m6?=831b?o4?::`264<7200;6=u+30591a=O;=l0e2900e50z&052<2l2B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=n98n1<75f10g94?=n98l1<75`1d`94?=zj8886=4<:183!56?3>o7E=;f:k25=<722c:=44?::m2ag<722wx=?>50;0xZ44734;9?7?jb:p07<72;qU8?52133954>86=4={_60?87593;:56s|2c83>7}Y:k16=??510c8yv4d2909wSi4?:3y]6a=:9;;1=5<5sW8n70?=1;32`>{t:o0;6?uQ2g9>577=98o0q~=?:181[5734;9=7?>f:p74<72;qU?<52130954>7}Y;:16=?<510c8yv532909wS=;;<316?76j2wx?;4?:3y]73=:9;81=5<5sW9370?=2;32`>{t;00;6?uQ389>574=98o0q~=m:181[5e34;9>7?>f:p577=838p1<<>:0ga?875;3;:46s|13094?4|5889665}#;8=1=k64H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7bj3:17pl>4b83>6<729q/?<951dg8L62a3`;:47>5;h32=?6=3f;nn7>5;|`20a<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu67}Y:o=01<:m:03:?xu65183>7}Y9<:01<:m:03b?xu6=;0;6?uQ1408942e28;i7p}>5583>7}Y9<>01<:m:03`?xu6=?0;6?uQ1448942e28;n7p}>5983>7}Y9<201<:m:03g?xu6=h0;6?uQ14c8942e28;m7p}>5b83>7}Y94c83>7}:9=h1=hl4=06g>47?3ty:8n4?:3y>51e=9lh01<:k:03:?x{e;=n1<7j53;dx 6702:>o7b=;9;29?l5483:17d=<2;29?l54<3:17d=<6;29?l5403:17d=50z&052<6n11C?9h4i03;>5<5<5<5<5<55;294~"49>0:j95G35d8m47?2900eed9K71`5<52z\075=:;=k1=<74}r106?6=:rT8??5235c954>52z\071=:;=k1=52z\07==:;=k1=52z\07f=:;=k1=52z\005=:;=h1=<64}r176?6=:rT88?5235`954g52z\001=:;=h1=<74}r172?6=:rT88;5235`954d52z?00d<6mk16?9m510:8yv53j3:1>v3<4c82ag=:;=i1=<74}|`2aa<72<0;6=u+305912=O;=l0e2900e50z&052<3n2B88k5f10:94?=n9831<75`1d`94?=zj;926=4;:183!56?3?:7E=;f:k25=<722c:=44?::k25d<722e:io4?::a66c=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e;;l1<7;50;2x 6702:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th8854?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;3fa>N46=4?{%123?313A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e9?h1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th8o84?:283>5}#;8=18i5G35d8m47?2900e5<2290;w)=>7;77?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a767=83?1<7>t$234>01<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd4;:0;684?:1y'741==?1C?9h4i03;>5<5<5<55;294~"49>0>;6F<4g9j54>=831b=<750;9j54g=831b==8:186>5<7s-9:;7;9;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm32;94?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`07g<72<0;6=u+305910=O;=l0e2900e50z&052<2>2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj:9m6=4::183!56?3?>7E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi?9?50;794?6|,:;<6884H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl<4283>0<729q/?<95549K71`5<5<N46=4?{%123?333A9?j6g>1983>>o6900;66g>1`83>>o69k0;66a>ec83>>{e;9>1<7;50;2x 6702:i;h325;h32e?6=3`;:n7>5;n3ff?6=3th8<;4?:483>5}#;8=1985G35d8m47?2900e5<2290;w)=>7;74?M53n2c:=54?::k25<<722c:=l4?::k25g<722e:io4?::a75e=83?1<7>t$234>01<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xd48l0;684?:1y'741==?1C?9h4i03;>5<5<5<55;294~"49>0>46F<4g9j54>=831b=<750;9j54g=831b=5<7s-9:;7;8;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm14394?3=83:p(>?8:448L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3f;nn7>5;|`216<72<0;6=u+305913=O;=l0e2900e50z&052<2=2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj8?<6=4::183!56?3?=7E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi=8750;794?6|,:;<68;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl>5c83>0<729q/?<95549K71`5<5<N41983>>o6900;66a>ec83>>{e::n1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th8=<4?:583>5}#;8=1=k?4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd4890;6>4?:1y'741=5<5<54;294~"49>0:j<5G35d8m47?2900e50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:;>6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vn?l8:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66s|8g83>6}Y0o16?n=59c9>7f0=1k1v<8n:181[71i27::o4>ec9~w7`02908wS16>k651d`8yv4am3:1>vP=fd9>75`=9lh0q~9m:180[1e349h:77l;<1`1?7602wx?nh50;0xZ6ea349h?796;|q20d<72;qU=9o4=07f>42f3ty8ik4?:2y]7``<5:8m6>;50;1xZ7523488i7?jb:?06c<6901v?l::181[4e=279nl4>ec9~w4472909wS?=0:?261<6:91v<:j:181872m3;?i63>4g82ag=z{8?;6=4={<36a?72827:9<4>ec9~w4352909w0?:e;366>;6=:0:io5rs077>5<5s4;>i7?:4:?210<6mk1v<;9:181872m3;>:63>5682ag=z{8?36=4={<36a?72027:944>ec9~w43f2909w0?:e;36e>;6=k0:io5rs07`>5<5s4;>i7?:c:?21a<6mk1v?l9:18184ei3;:563=b682ag=z{8oh6=4<{<3f`?7bj279nl4>199>7f3=9830q~=?3;296~;48=0:io52303954>52z?043<6mk16?v3<0982ag=:;8;1=ec9>745=9820q~=?b;296~;48j0:io52301954?52z?04`<6mk16?<=510c8yv4an3:1>v3<0182ag=:;8?1=<64}r135?6=:r78ec9>743=9830q~=l3;296~;4k:09=l523b49e5=z{:i=6=4;{<1`2?46i279?o4>189>66b=98201?l8:03;?xu5j10;6?u22c;95`d<5;h<67}:;;l1=4ce3ty9?l4?:3y>66c=98201?=m:0ga?xu5;j0;6?u222g954?<5;9o67}:;j915n523b795`d53z?06c<6mk16?96510:8966a28;37p}<1183>7}:;8;1=hl4=223>47>3ty8=?4?:3y>745=9lh01>>=:03:?xu49=0;6?u231d954?<5:;>67}:::31=hl4=31g>47>3ty8??4?:3y>71b=;:801>=<:0ga?xu4;=0;6?u235f9762<5:9>67}:;=n1?>64=21:>4ce3ty8?l4?:3y>71b=;:k01>=m:0ga?xu4<=0;6?u235f9712<5:>>66}:;=n1?984=264>4ce349;<7?>8:p76e=839p1>:k:21`?854l3;nn63<03825==z{:9n6=4={<17`?54m278?k4>ec9~w6272909w0=;d;174>;4<80:io5rs261>5<5s49?h7=;2:?006<6mk1v?=9:180844?3;nn63>6c825<=:::h1=<64}r1a>547>348i57?>b:?20c<69k16=8?510`8943428;i70?:5;32f>;6=>0:=o5214;954d<58?i65fz\0=>;6:=08563>ee825g=:::=1=<64=31:>47>348m47?>b:?1f<<69h16=9h510c8943628;270?:3;32=>;6=<0:=552145954g<58?261`9'6cd=;h1e>ko51:p7=<72oqU?55213697==:9ln1=<74=31:>47f348m47?>8:?06f<69016>o7510;8942a28;270?:1;32<>;6=:0:=l52147954g<58?<6189>50b=9830(?hm:2c8j7`f2;1v>850;gxZ60<588?6>84=0gg>47?348m47?>a:?06f<69116>o7510:8942a28;370?:1;32e>;6=:0:=552147954?<58?<6199>50b=9820(?hm:2c8j7`f2:1v>:50;1xZ62<588?6>:4=20f>47e3-8mn7=n;o0ee?2"5nk08m6`=f`86?xu4:3:1?vP<2:?261<4:278>h4>189'6cd=;h1e>ko56:p74<72:qU?<52136974=:;;o1=<64$3da>6g;4;<0:=l52325954?<5:9261c9>76`=98h01>:>:03a?853;3;:n63<44825g=:;==1=6g;4;<0:=o52325954d<5:926189>76`=98201>:>:03b?853;3;:m63<44825d=:;==1=6g;4;<0:=452325954><5:9261`9>76`=98k01>:>:03:?853;3;:463<44825<=:;==1=<74$3da>6g;4;<0:=552325954g<5:926199>76`=98301>:>:03;?853;3;:563<44825==:;==1=<64$3da>6g|V;i01<<;:3a8966328;i70=?6;32f>;4810:=o5231c954g<5::h658z\1f>;6:=09n63<05825d=:;9<1=47f349;m7?>9:?04f<69016?=k510:8 7`e2:k0b?hn:e9~w15=832pR9=4=007>15<5::?6189>75g=98201>>l:03a?857m3;:n6*=fc80e>h5nh0n7p};2;29<~X3:27:>94;2:?041<69016?=8510:8966?28;370=?a;32f>;48j0:=55231g954g<,;li6>o4n3db>c=z{8o=6=4={<167?47n278o>4n0:~f167290887=?:44xH63628qC=lk4}M166?4|f=:86>;4$521>0=z,:;<6?=;;[::>7}>2o0vV?h6:3yb>`>i4jo0;66g>o4mo0;66g>o5;<0;66a>o5j<0;66a=c583>>i4jk0;66a=2`83>!46;38956`=1383?>i5:10;6)<>3;01=>h59;0:76a=3283>!46;38956`=1381?>i5;;0;6)<>3;01=>h59;0876a=3083>!46;38956`=1387?>i5;90;6)<>3;01=>h59;0>76a=2g83>!46;38956`=1385?>i5:l0;6)<>3;01=>h59;0<76a=2e83>!46;38956`=138;?>i5:j0;6)<>3;01=>h59;0276a=2c83>!46;38956`=138b?>i5:>0;6)<>3;01=>h59;0i76g<8;29 7742:=0b??=:198m60=83.9=>4<7:l157<632c887>5$330>61o4:3:1(??<:258j7752=10e>?50;&156<4?2d9=?4:;:k04?6=,;;86>94n331>3=h59;0<76g=e;29 7742:=0b??=:998m7b=83.9=>4<7:l157<>32c9o7>5$330>61o3;3:1(??<:258j7752j10e9<50;&156<4?2d9=?4k;:k75?6=,;;86>94n331>`=h59;0m76g<=5369m644=9810e>j50;&156<4?2d9=?4>2:9j7f<72-8:?7=8;o026?7432c897>5$330>61tL272>4}O9ho0qA=:2;3x 1652?1v(>?8:031?l?e2900c=:188k7632900c?>9:188k76?2900c?>n:188k76d2900c?>k:188m4g?2900e7de3`;j47>5;h3b=?6=3`;jm7>5;h3bf?6=3`;jo7>5;h3b`?6=3`3n6=44o0df>5<5<5<5<5<5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqok8:180>4<4sE9>=7?tH0cf?x"49>0on6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0@>;>:0y'573=;ol0qd6n:188md6=831d>{t9l?1<74}|`e:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<5<5<1<729q/?<95509K71`5<5<n7E=;f:k25=<722c:=44?::m2ag<722wii<4?:283>5}#;8=18h5G35d8m47?2900e5<7s-9:;7;n;I17b>o6910;66g>1883>>o69h0;66g>1c83>>o69j0;66a>ec83>>{em=0;684?:1y'741=9o>0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3tym47>54z\e<>;b=3;:m63kf;32<>;b;3;:46s|e683>1}Ym>16i84>189>a4<69116i>4>1`9~wae=83>pRim4=d7954><5ml1=<74=d3954?52z\2e==:m:0:=n5rs0cb>5<5sW;jm63j3;32f>{t9hn1<7ec9>a1<6911vih50;0x9a`=9lh01h:510;8yvc72909w0k>:0ga?8c328;j7p}j2;296~;b;3;nn63j4;32f>{zjo=1<7758;33!56?3l<7djl:188m4g?2900e5;cd5>5<3290;w)=>7;72?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wiil4?:583>5}#;8=19?5G35d8m47?2900e1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66smed83>6<729q/?<954d9K71`5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::ab7<72:0;6=u+30590`=O;=l0e2900c1983>>o6900;66g>1`83>>i6mk0;66smf483>=<729q/?<951g58L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3`;:i7>5;n3ff?6=3tyoo7>53z\gg>;a>3;:m63i2;32=>{t9h21<7:t^0c;?8`128;370kl:03a?8`328;27p}>ab83>1}Y9hi01k8510;89`g=98k01k:510c8yvc029047>34oh647?34l;647?34l?6<5li1=<64}r3b=?6=:rT:m452eb825f=z{8ki6=4={_3bf>;bk3;:m6s|f983>6}Yn116ih4>189>b5<6911vh650;0xZ`><5o?1=hl4}rg:>5<5s4l=647?3tynm7>52z?fe?7bj27m97?>9:pag<72;q6in4>ec9>b0<69h1vhj50;0x9`c=9lh01k;510`8yvca2909w0h?:0ga?8`228;h7p}i1;296~;a:3;nn63i5;32`>{tn:0;6?u2f582ag=:n<0:=h5r}c330?6=03<1hv*<168241=n9h21<75f1`c94?=nn10;66g>ab83>>ob?3:17djl:188m4gc2900ck750;9a555=83?1<7>t$234>03<@:>m7d?>8;29?l7613:17d?>a;29?l76j3:17b?jb;29?xdaj3:197>50z&052<2<2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zjon1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3thmj7>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn<>>:186>5<7s-9:;7;9;I17b>o6910;66g>1883>>o69h0;66g>1c83>>i6mk0;66sm11094?0=83:p(>?8:0d6?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::m2ag<722wx=l650;6xZ4g?34;;?7?>9:?ef?76027:<<4>1`9~w4gf2908wS?na:?246<69h16==?510`8yv`?290>wSh7;<337?76027mh7?>8:?eb?76027:<<4>189~w4gd2908wS?nc:?246<69k16jo4>1c9~w`1=839pRh94=g`954?<5on1=<74}rf`>5<4sWnh70hm:03b?8`a28;27p}>ae83>7}Y9hn01<>>:03;?xua13:1>vPi9:?247<6mk1vko50;0x946428oi70??2;32<>{tnk0;6?u2fc82ag=:9981=<74}rd`>5<5s4lo6bc<6mk16==<510`8yv7783:1>v3>0082ag=:9981=2900e1<7>t$234>04<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl=0683>1<729q/?<95509K71`5<5<54;294~"49>0>>6F<4g9j54>=831b=<750;9j54g=831d=hl50;9~f76e290?6=4?{%123?363A9?j6g>1983>>o6900;66g>1`83>>i6mk0;66sm21g94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|qgg?6=kr7oo7<>a:?f2?bd34l<6im4=027>ae<58lm64>199>653=98201?>8:03;?84713;:m63=0c825<=::9o1=<64}rg4>5`1<5o=1i:521169a2=:9ol1=<74=322>47>348;?7?>9:?140<69h16>=9510;8976>28;270;58l0:=45rsg:94?d|5o21>0m463>058e<>;6no0:=552213954><5;:861`9>65?=98201?>m:03b?xu6i10;69uQ1`:89`0=9h201k951`:8946328k37p}>a883>7}Y9h301k951`;8yv7fi3:18vP>a`9>a3<6ih16j:4>a`9>552=9hk0q~?nb;296~X6ik16j:4>ac9~w4gd2908wS?nc:?e3?7fk27:<94>ab9~w4gc2908wS?nd:?f2?7fl27:<94>ae9~wpR4k4=ea9=g=:m>02n63i8;;a?xu6nl0;6?uQ1gg894`a28oi7p}=0183>7}Y:9:01?>>:0ga?xu58;0;6?uQ2108976428oi7p}=0583>7}Y:9>01?>::0ga?xu58?0;6?uQ2148976028oi7p}=0983>7}Y:9201?>6:0ga?xu58h0;6?uQ21c8976e28oi7p}=0e83>7}Y:9n01?>j:0ga?xucl3:1>v3kc;c3?8c12mn0q~k7:1818c02h:01k95e99~wc?=838p1k65a19>552=n01vq~7m:181[?e34;:=77j;|q2b`<72;qU=kk4=032>4`b3ty9<=4?:3y]656<58;:6?>?;|q147<72;qU>=<4=032>7653ty9<94?:3y]652<58;:6?>;;|q143<72;qU>=84=032>7613ty9<54?:3y]65><58;:6?>7;|q14d<72;qU>=o4=032>76f3ty9l;|q14a<72;qU>=j4=032>76c3ty:m54?:3y]5d><58;:64g>3ty:ml4?:3y]5dg<58;:64ge3ty:mn4?:3y]5de<58;:64gc3twi=k750;796?3|D:?:6=:79'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0aa?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<2480bc=za1o1<75f9283>>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd5d}#<981:6*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g695>"4nm09jn5a3g794>{#;8=1=i<4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:N014<6s-;997=if:j<`<722c2?7>5;h:b>5<:183!56?3;::6F<4g9l543=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2a0<72;q6?8>5107892<>;2wx=h850;0x92<59h1U>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:p64g=838pR??n;<5964g53z\1bf=:?3k;70=86;32<>{t;>?1<7;4??0:io5r}c5g>5<42808wA=:1;3xL4gb3tF89?4>{%636?05;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg42<3:1?7?53zN014<6sA;ji6sC34095~"38;0=7p*<1682`1=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twi>;750;195?5|D:?:6=:79~ 67028n>7do?:188k77f2900e4l50;9a3?6=;3:1N4X59h1vd79je5<722e9=l4?::k:f?6=3k=1<7=50;2x 6702m30D>:i;M165?7|,88>6>hi;|k;e?6=3`k;6=44o33b>5<4b03`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo=6a;297?4={I3ba>{K;<81=v*;0385?x"49>0:n;5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>t$234>4413A9?j6g>1683>>i6mk0;66s|9c83>7}Y1k165k4>169~w4c22909w0958`9>=c<6mk1v5<3290;w)=>7;71?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wi>;:50;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|27394?4|V;<:70<94;3ff>{t:ki1<7{t:{t:kn1<7{t:6;=50;0x970428oi70<94;32=>{zj;=96=46:48g!56?38<>6a=6`83>>o5jj0;66g=6683>>o5=k0;66g=bd83>>o5jo0;66g=c383>>o5jm0;66g=5683>>d5?80;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a626=83<1<7>t$234>4`23A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>i6mk0;66s|27c94?4|V;{t:ki1<7;5>j0:=55rs37a>5<5sW8>n63=70825<=z{;hn6=4={_0aa>;5?90:=45rs3`e>5<5sW8ij63=71825d=z{;i96=4={_0`6>;5?90:=o5rs3`g>5<5sW8ih63=6g825==z{;?<6=4={_063>;5>o0:=45rs34a>5<5s48<=7?jb:?12f<6901v199~w70b2909w0<9f;3ff>;5?90:=n5r}c07f?6=k3=1=?u+305961d:6=44i3``>5<5<5<5<5<5<j6=4;:183!56?3?97E=;f:k25=<722c:=44?::k25d<722e:io4?::a615=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e:=>1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th98;4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<N4290<6=4?{%123?7a>2B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=n98n1<75`1d`94?=z{;>:6=4={_075>;5<00:io5rs3``>5<5sW8io63=45825==z{;<<6=4<{_053>;5n7>52z\11g=::=k1=<74}r06`?6=:rT99i5225c954g52z\1f`=::=31=<74}r0ab?6=:rT9nk5225;954g52z\1g5=::=31=52z\1fa=::=21=<64}r063?6=;rT99:52254954><5;><68h4=365>47>3ty98?4?:3y>61g=9lh01?:<:03:?xu6m<0;6?u225195`d<5;>?69:51d`8972>28;37p}=4483>7}::=<1=hl4=364>47>3ty:i:4?:3y>611=9lh01?:7:03:?xu6m10;6?u225:95`d<5;>26=83>1?78t$234>71?3f89;7>5;h05=?6=3`9>6=44i3`94?=e:>=1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9;84?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<:5226495`d53z\12<=::>=1=<64=356>47?3ty897>52z\01>;5?>0:=45rs3`94?4|V;h01?9::03:?xu5?:0;6?u226595`d<5;==6:;51d`8971128;27psm26g94?2=;3?8:35f?j45j3:17d<99;29?l5d2900e?m50;9a62b=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5?k0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e:m51d`8yv4113:1?vP=689>62b=98201?9m:03;?xu4k3:1>vP:j51d`8971d28;37p}=7`83>7}::>h1=hl4=35`>47>3twi>5:50;697?0|,:;<6?6;;n01g?6=3`8=57>5;h1g>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1<4<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<52z\16f=::181=hl4}r05=?6=;rT9:452291954><5;2:6628;27p}=7g83>7}::191=hl4=3:1>47?3ty94=4?:3y>6=7=9lh01?6=:03:?x{e:1k1<7:53;4x 6702;2j7b<=d;29?l4113:17d=j:188m7c=831i>5750;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=8683>6<729q/?<954d9K71`5<2900cvP=2e9>6=>=9lh0q~<99;297~X5>016>57510:897>028;37p}189~w7c=838pR?k4=3:4>47>3ty9484?:3y>6=?=9lh01?67:03;?xu50?0;6?u229595`d<5;2361?78t$234>7?73f89i7>5;h05=?6=3`9m6=44i3d94?=e:1l1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th94i4?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<h5229g95`d53z\12<=::1l1=<64=3:g>47?3ty8j7>52z\0b>;50o0:=45rs3d94?4|V;l01?6k:03:?xu50k0;6?u229d95`d<5;2n65j51d`897>b28;27psm28494?2=;3?8:3;5?j45n3:17d<99;29?l272900e>>50;9a6<3=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd51:0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900e4:51d`8yv4113:1?vP=689>6<3=98201?7<:03;?xu383:1>vP;0:?1=0<6901v>>50;0xZ66<5;3864;51d`897?328;37p}=9383>7}::091=hl4=3;7>47>3twi>4m50;697?0|,:;<6?7l;n004?6=3`8=57>5;h62>5<?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`1=<<72:0;6=u+30590`=O;=l0e2900ci3:1?7>50z&052<6ml1C?9h4i03;>5<5<52z\175=::0k1=hl4}r05=?6=;rT9:45228`954><5;32628;27p}=9683>7}::0h1=hl4=3;b>47?3ty9554?:3y>6l?50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=9g83>6<729q/?<954d9K71`5<2900cvP=309>6d6=9lh0q~<99;297~X5>016>l?510:897?a28;37p};2;296~X3:279m<4>189~w64=838pR><4=3;e>47>3ty95i4?:3y>6d7=9lh01?o?:03;?xu51l0;6?u228d95`d<5;k;6=83>1?78t$234>7g?3f88>7>5;h05=?6=3`>86=44i2194?=e:h=1<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th9m84?:283>5}#;8=18h5G35d8m47?2900eed9K71`5<53z\12<=::h=1=<64=3c6>47?3ty??7>52z\77>;5i>0:=45rs2194?4|V:901?o::03:?xu5i:0;6?u22`595`d<5;k=6l;51d`897g128;27psm2`g94?2=;3?8:3cf?j44;3:17d<99;29?l512900e>:50;9a6db=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd5ik0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900elm51d`8yv4113:1?vP=689>6db=98201?om:03;?xu4>3:1>vP<6:?1ea<6901v>:50;0xZ62<5;ki6lj51d`897gd28;37p}=a`83>7}::hh1=hl4=3c`>47>3twi>nh50;194?6|,:;<6o6910;66g>1883>>i6mk0;66sm3e794?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi?h>50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e;ko1<7<50;2x 670288=7E=;f:k252<722e:io4?::a7f4=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm3ba94?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wi?i?50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{e:<91<7=50;2x 67028on7E=;f:k25=<722c:=44?::m2ag<722wi=kl50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl=5383>6<729q/?<951dg8L62a3`;:47>5;h32=?6=3f;nn7>5;|`0`1<72:0;6=u+30590c=O;=l0e2900c50z&052<202B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zj:ho6=4::183!56?3?37E=;f:k25=<722c:=44?::k25d<722c:=o4?::m2ag<722wi?n?50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl1<729q/?<95539K71`5<5<53;294~"49>0:ih5G35d8m47?2900e5<3290;w)=>7;73?M53n2c:=54?::k25<<722c:=l4?::m2ag<722wi>8850;794?6|,:;<6884H26e?l7603:17d?>9;29?l76i3:17d?>b;29?j7bj3:17pl=5983>6<729q/?<954d9K71`5<N45<7s-9:;7?i1:J00c=n9821<75f10;94?=n98k1<75`1d`94?=zj;?h6=4;:183!56?3?:7E=;f:k25=<722c:=44?::k25d<722e:io4?::a60c=83>1<7>t$234>06<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl=6183>6<729q/?<954e9K71`5<a348in77m;<3e=??e348?o77m;<5g>;64l4=3g5>n6189>60g=98301?;j:03b?84183;:56s|3ef94?4|V:no70=j0;3ff>{t;1i1<78t^2:`?85c<3;:46347?349hn7?>9:p7a4=838pR>j=;<1g1?7bj2wx?n750;0xZ6e>349ho7?jb:p77n;<1:e?46i2wx>h950;1xZ7c0348in7?n9:?113<69k1v:l50;`xZ2d<5;hi63a1`9>60>=98201?;n:03b?842k3;:m63=5d825==::?:1=<64}r1ab?6=:rT8nk523b095`d52z\0e`=:;kn1=189~w6b12909wS=k6:?0`c<69h1v?=::18b[44=279no4>a`9>6f`=9820147?348>o7?>9:?11`<6901v>j?:181[5c8278h<4>ec9~w7d22909wS1v?m;:181[4d<279ok4>ec9~w6de2909wS=mb:?0f`<6mk1v:j50;1x97de28ki709k:33b?842>3;:56s|22d94?2|5;?861c9>7fd=9820q~<;d;296~;5=;0:=55225g95`d52z?117<69016>8>51d`8yv4b>3:1?vP=d79>6`0=:8k01>mj:03:?xu4k90;6?u23b09541<5:i:65105896ba28oi7p}=4183>7}::=:1>47?3ty98n4?:3y>61e=:8k01?;<:03:?xu5jj0;6;u22c`95cc<5;<=6?ll;<046?4ek2798o4=bb9>5cd=98201?:j:03;?xu5jm0;68u22c`9656<5;<=6?lk;<046?4el2798o4=be9>606=9820q~i6?lj;|q110<72;q6>8851d`8970?28;37p}=bg83>6}::kh1>=:4=351>7da348?n7;63=4c8112=::<21=hl4=34;>47>3ty9o=4?:3y>6gd=:9<01?:m:3a3?xu5=00;6?u224c95`d<5;<36ol521:8972e2;i:7p}=5c83>1}::?<1>8l4=351>73e348?n7<:b:?11f<6mk1v?m=:18184ej38;m63=7381g7=z{;?o6=4<{<052?42l2798o4=5e9>60c=9lh0q~?i9;291~;5jk0:mi521g;964g<5;>n6199~w73a2908w0<96;06b>;552z?1fg<58m16>h85a19~w6dd2909w0=me;323>;4jm0:io5rs2ab>5<5s49hn7?jb:?0g`<6911v?;>:181843k33h70<:2;3ff>{t;0h1<7d6<5:3h6;7520c8971?2;<270<8e;05=>;50=09:45229c963?<5;3;6?86;<0:2?4112795n4=689>6d4=:?301?o7:34:?84fm38=563<9b8252=z{;7<9a:p5cg=838p11}::?<1>;94=351>700348?n7<97:?12=<6mk1v>mk:18185dk3;:;63:6=4={<074?g7348?n7<;1:p602=838p1?;;:33b?84dn3;:56s|27394?4|5;??6l>4=345>7063ty8h>4?:3y>7a3=98=01>j;:0ga?xu5;:0;6?uQ221897gb2;987)h5nh097p}=3383>7}Y::801?o7:311?!4aj38956`=f`80?xu5;80;6?uQ223897g52;9:7)h5nh0?7p}=3183>7}Y:::01?7l:313?!4aj38956`=f`86?xu5:o0;6?uQ23d897?12;8m7)h5nh0=7p}=2d83>7}Y:;o01?7?:30f?!4aj38956`=f`84?xu5:m0;6?uQ23f897>f2;8o7)h5nh037p}=2b83>7}Y:;i01?6;:30`?!4aj38956`=f`8:?xu5:k0;6?uQ23`8971b2;8i7)h5nh0j7p}=2683>7}Y:;=01?97:304?!4aj38956`=f`8a?xu403:1>vP<8:\16==#:oh1?:5a2gc94>{t;?0;6?uQ379>6dc=;?1/>kl5369m6cg=92wx?94?:2y]71=Y:;k01?oj:268 7`e2:=0b?hn:39~w65=838pR>=4=3c;>65<,;li6>94n3db>6=z{:81<7?50;0xZ67<5;3h6>?4$3da>617`<,;li6>94n3db>2=z{;o1<7f2;o0(?hm:258j7`f211v?j50;0xZ7b<5;2?6?j4$3da>617d<,;li6>94n3db>g=z{=91<7614=3;5>16<,;li6>94n3db>c=z{:l1<7;|q0`?6=:rT8h63=8580`>"5nk08;6`=f`826>{t;j0;6?uQ3b9>62c=;j1/>kl5369m6cg=9:1v>;50;0xZ63<5;=36>;4$3da>61:4<5zN014<6sA;ji6sC34096~h38:0?46*;0386?x"49>0:845f8g83>>o6;:0;66g>6`83>>o6:>0;66g<8b83>>i0m3:17d9m:188k40d2900e>oj:188m42f2900c<96:188m6ca2900e>j9:188k43a2900c?m;:188k6g22900c<7l:188k12=831d8n4?::`151<72k0:6ouC34395~N6il1v@>;=:0y'054=<2w/?<951018m=<50;9l652=831d>=850;9j5d>=831b=l750;9j5dg=831b=ll50;9j5de=831i=a983>>o6i00;66g>a`83>>o6ik0;66g>ab83>>o>m3:17b?ie;29?j4783:17bof83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8H63628q/=?;53gd8yl>f2900el>50;9l64g=831v4l50;0xZ03m6s|1d794?4|5>09=l5Q20c8yv7b>3:1>vPn0:?4>d653;397~J4=80:wE?ne:'741=lk1bm=4?::m15d<722c2n7>5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psme783>1<52?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`gb?6=;3:12900c47?3ty:mn4?:3y]5de<5l:1=<74}rg4>5<5sWo<70ji:03:?xucl3:1>vPkd:?gb?7bj2wxhh4?:3y>a5<6mk16hk4>199~yg`029036;4k{%123?`03`;j47>5;hf`>5<>da;3:1?7>50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zjlk1<7=50;2x 6702=o0D>:i;h325;n3ff?6=3thno7>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vnhk50;694?6|,:;<68?4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xda83:197>50z&052<2>2B88k5f10:94?=n9831<75f10c94?=n98h1<75`1d`94?=zjo81<7850;2x 67028l>7E=;f:k25=<722c:=44?::k25d<722c:=o4?::k25f<722e:io4?::p5d>=838pR47>3tyoo7>55z\gg>;a;3;:463ja;32<>;bm3;:563i0;32=>{tm>0;69uQe69>ad<69016in4>189>a`<6911v8:?fa?76i2wx=l750;0xZ4g>34l;652z\2eg=:n90:=55rsd:94?4|Vl201k<51d`8yvc>2909w0h<:0ga?8`528;37p}ja;296~;bi3;nn63i2;32=>{tmk0;6?u2eb82ag=:n;0:=l5rsdf94?4|5lo1=hl4=g0954d4ce34l96t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd5880;6>4?:1y'741=5<5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn?>::180>5<7s-9:;7:i;I17b>o6910;66g>1883>>i6mk0;66sm21594?5=83:p(>?8:0gf?M53n2c:=54?::k25<<722e:io4?::p`f<721q6hn4=1`9>a3189>655=98301?>::03:?847?3;:56s|e683>=}:m>09=l52e78f3>;a?3o<70?if;32=>;5880:=552211954><5;:>652z\2ed=:n>0:ml5rs0ca>5<5sW;jn63i7;3bf>{t9hi1<7=t^0c`?8c128kh70h8:0c`?xu>m3:1?vP6e:?gg??e34o<64l4}r3ea?6=:rT:jh521gd95`d52z\145=::9;1=hl4}r036?6=:rT952z\141=::9?1=hl4}r032?6=:rT9<;5221595`dd6<5l<1hi5rsd:94?4|5l=1m=52f68f<>{z{0h1<7vP=019>547=:9:0q~vP=059>547=:9>0q~vP>a99>547=9h20q~?n9;296~X6i016=vP>a`9>547=9hk0q~?nb;296~X6ik16=vP>ab9>547=9hi0qpl>3383>6<62:qG?8?51zJ2e`=zD:?96{#;8=1=o94i`294?=h:8k1<75f9c83>>d029086=4?{%123?b>3A9?j6B<5082!75=39mj6sf8`83>>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f4>?290>6?4:{M165?7|@8kn7pB<5382!27:3>0q)=>7;3a<>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg7c13:187<54zN014<6sA;ji6sC3409<~"38;0?7):?1;14b>"4n00j<6*"4nm09jn5a3g795>{#;8=1=o74i8`94?=ni90;66a=1`83>>o5nj0;66l8:180>4<4sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>{t9l?1<74}|`02<<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j27<64l4}r02e?6=:rT9=l527;02e>{t:oi1<70j<63<6882ag=zuk336=4;:080I5293;pD;>7:4$522>61a3-9m57o?;%1ef?46i2.8j:46b:l0b6<63-9mh7b`9j=g<722cj<7>5;n02e?6=3`8mo7>5;c594?5=939p@>;>:0yK5dc5;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yv?e2909wS7m;<59=g=z{;;j6=4={_02e>;02;;j7p}=fb83>7}Y:oi01:4n0:~f1e=83?1>7;tL272>4}O9ho0qA=:2;cx 1652=1/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`?20i0b>h;:09'7cc=;8<0b>h9:09~ 67028hi7d7m:188m5<22;0>wA=:1;3xL4gb3t.8=:4>b59je5<722e9=l4?::k:f?6=3`3h6=44i8194?=e?3:197>50z&052>o>;3:17d6n:188md6=831d>2B88k5`10794?=z{0h1<7e483>7}:;<:1=<;4=68:7>{t9l<1<74}|`03=<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu>j3:1>vP6b:?4>3:1?vP<179>3?g7349<47?>8:p721=838p1:46c:?03=<6mk1vqo?96;291?4==rF89<4>{I3ba>{K;<81=v*;0387?x"49>0:n55fa183>>i59h0;66g6b;29?l?d2900e4=50;9a3?6==3:1N45<6290;w)=>7;322>N4{t1j0;6?uQ9b9>3?>b3ty:i84?:3y>706=98?01:463:p5`0=838p1:4=1`9]64g52z\b4>;02h:0qpl>a283>0<52{#;8=1=o64i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm17a94?5=939p@>;>:0yK5dc>7?t$521>1=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f41>290>6?4:{M165?7|@8kn7pB<5382!27:3>0q)=>7;3a<>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg2329086<4<{M165?7|@8kn7pB<5382!27:3>0q)=>7;3g7>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk;>j7>55;091~J4=80:wE?ne:O704=9r.?5;h;`>5<f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d60}K;<;1=vF>ad9~H63528q/8=<54:'741=9k20el>50;9l64g=831b5o4?::k:g?6=3`386=44b683>0<729q/?<95d`9K71`>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi=k750;796?3|D:?:6=:59'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0``?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<2480bc=za1o1<75f9283>>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd60m0;684<:6yO707=9rB:mh5rL271>d}#<98186*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g695>"4nm09jn5a3g794>{#;8=1=oj4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg5113:1?7>50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:==6=4<:183!56?3;nj6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|9b83>7}Y1j16?:8510;8yvg72909wSo?;<15=?7612wx><5:==6k278;;4>ec9~yg1b290>6>48{M165?7|@8kn7pB<538b!27:3>0(9>>:25e?!5a13k;7)=ib;02e>"4n>02n6`50;9l64g=831b>km50;9a3?6==3819vB<5082M7fm2w/?<951c68md6=831d>5;h;0>5<0;684?:1y'741=lh1C?9h4i9g94?=n1:0;66g7a;29?lg72900c??n:188yg5283:1=7>50z&052<69?1C?9h4o036>5<03i6s|1d794?4|5:?;6e683>7}Yi916;7o?;|a73?=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd4??0;6>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:pe5<72;qUm=5237;954?52z\15d=:?38:m6s|2ga94?5|V;lh70=99;32<>;4??0:=55rs24;>5<5s4=1m=5237;95`d52z?4>4103f;=j7>5;h02h6=44i04g>5<6=44i335>5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a527=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{e9>81<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th:;94?:283>5}#;8=18h5G35d8m47?2900ef09K71`5<5<52z\22c=:9>?1=hl4}r02h6=4<{_6`?870>3;:463>70825==z{8;6??0:=45rs336>5<5sW8:963>74825<=z{;;=6=4={_022>;6?=0:=45rs011>5<5sW;8>63>75825==z{8=;6=4={<342?7bj27:;<4>189~w4c22909w0?81;3ff>;6?;0:=45rs0g5>5<5s4;<>7?jb:?230<6911v<9<:181870<3;nn63>74825d=zuk;3=7>54;192~"49>0:4<5`16a94?=n8983>>o4mo0;66l>8183>6<729q/?<954e9K71`5<N4;6?o0:io5rs5a94?5|V=i01<6?:03;?870m3;:46s|19:94?4|V82370?70;32=>{t;ll1<7{zj8<>6=4;:285!56?3;=96a>6183>>o3k3:17d?96;29?l5fm3:17o?94;297?6=8r.8=:4;d:J00c=n9821<75f10;94?=h9lh1<75rb041>5<4290;w)=>7;6e?M53n2c:=54?::k25<<722e:io4?::a535=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{t9?:1<76}Y6783>7}Y9?<01<8;:03:?xu4il0;6?uQ3`g8940528;27p}>6083>7}:9?>1=hl4=040>47?3ty::?4?:3y>534=9lh01<8<:03:?x{e9h81<7:53;4x 67028k97b?6d;29?l2d2900e:180>5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66sm18d94?5=83:p(>?8:5d8L62a3`;:47>5;h32=?6=3f;nn7>5;|`2e5<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xu61m0;6?uQ18f894g728oi7p};c;297~X3k27:m<4>199>5<`=9820q~?n3;296~X6i:16=l?510;8yv5c>3:1>vP5<`=9830q~?6e;296~;6i80:io521`2954>52z?2=c<6mk16=l>510;8yxd5ko0;694?:1y'741=9o80D>:i;h325;h32e?6=3f;nn7>5;|`0e3<72=0;6=u+305914=O;=l0e2900e:i;h325;n3ff?6=3th:jo4?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a5=e=83>1<7>t$234>4`63A9?j6g>1983>>o6900;66g>1`83>>i6mk0;66sm17g94?2=83:p(>?8:438L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3th:484?:583>5}#;8=1=k=4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd6?k0;6>4?:1y'741=5<5<53;294~"49>0:ih5G35d8m47?2900eed9K71`5<2900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900c93:1>7>50z&052<6:?1C?9h4i034>5<5<69rT3j63=158:f>;6;;02n63>898:f>;6l002n6368;;a?82d20h01<89:8`894g420h01<8l:8`8941>20h019:59c9>50`=1k16=4m59c9>5c?=1k16=5j59c9>3`<>j2wx=>=50;1xZ45434=n6l>4=0;2>4703ty::l4?:3y]53g<5;in6d652z\05<5sW=n709j:33b?xu0j3:14vP8b:?151<6i016=k752ga894>c2;lh709j:3d`?87?i3;:m63>6d825d=:91?1=<64}r35g?6=:rT::n5217a964g53z\0e`=:9??1?lk4=04;>4703ty:8l4?:9y]51g<5;;?6189>5=g=98i0184825<=z{8=26=4={_34=>;6?009=l5rs2ge>5<3sW9nj63>898b4>;60808ik523`4954g53z\0`3=:9h81?i84=0c6>4703ty:9k4?:3y]50`<58?m6??n;|q1g1<72;qU>n:4=3ae>4ce3ty8m84?:3y]7d3<5:k=677f3ty?87>52z\70>;3<38:m6s|4b83>f}Y<:51`:891e=:8k01<98:5a894>62=i01<8::5a894g52=i01<6n:03;?8?f28;270?7f;32=>;5kl0:=55216`954>52z?2ec9~w4?c2909w0?6c;c3?87f:3;2h6s|19;94?5|582364m4=0:b>4ce34;on7?>7:p52g=838p1<9m:0ga?87??3;:46s|12094?2|5;;?6339>5=`=9820q~?9d;296~;6?>0::i5217g95`d7`d343j6<:51``894`>2;;j70?7a;32=>;60o0:=l5217g954><582>6a:?7g??d3ty:hl4?:3y>5a?=:oi01<:5214894>028;27p}>8d83>6}:91n15n527d8:g>;60o0:io5rs044>5<5s4;=:7o?;<35a28;i70?63;3ff>{t9181<7q6><:51gg8912=i916=8h59b9>5<:5212894102;;=70?7c;32=>{t:8=1<7=t=337>76534;3o7?>a:?2=6<6901v??7:187846<38;863>76815==:9on1=<64=0;0>47?3ty:454?:3y>5=>=:8k01<6>:0:;?xu6nh0;6?u21g;9=f=:9oh1=hl4}r3g=?6=0f<49?16=;859b9>5d5=1j1v<89:181871>38:m63>648223=z{8<;6=4={<36b?g734;=97?90:p52e=838p1<96:`2894>628=h7p}>6g83>7}:9?i1m=52165953`52z?2<0<6mk16=:l510;8yv4dl3:1?v3=cg825d=::jo1=hl4=0dg>47>3ty:4;4?:3y>5=1=9lh01<6<:034?xu6i=0;6?u21`19e5=:9h?1=hl4}r3b7?6=:r7:m>4=1`9>5d4=9h90q~?7d;296~;60m09=l522bd954?{I3ba>{K;<81>v`;0286<>"38;0>7p*<16820==]:o31=v?8:|m276<722c8i44?::k0=a<722c:m:4?::m262<722e84n4?::kaa?6=3`9hj7>5;h35g?6=3f92?7>5;h:`>5<>d4=90;6<4?:1y'741=98<0D>:i;n321?6=3th:594?:g82>c}K;<;1=vF>ad9~H63528q/8=<53:'741=98>0e4l50;9l5cc=831d>=>50;9l654=831d>=:50;9l650=831d>=650;9l65g=831d>=m50;9j5d>=831b=l750;9j5dg=831b=ll50;9j5de=831b=lj50;9a547=83l1j7?9{M165?7|@8kn7p*<1682=1=n9h21<75f1`;94?=n9hk1<75f1``94?=n9hi1<75f1`f94?=n1l0;66a>fd83>>i5890;66a=0383>>i58=0;66a=0783>>i5810;66a=0`83>>i58j0;66lkc;297?7=;rF89<4>{I3ba>{#;8=1ho5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|aa2<72:0:6>uC34395~N6il1v(>?8:e`8md6=831d>5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zjo21<7=51;1xH63628qC=lk4}%123?be3`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo??5;297?7=;rF89<4>{I3ba>{#;8=1ho5fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|aa3<72<086:u+3059a3=nm>0;66g>0483>>ock3:17dh7:188kab=831ii?4?:283>5}#;8=18i5G35d8m47?2900e5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sme083>1<729q/?<951g38L62a3`;:47>5;h32=?6=3`;:m7>5;n3ff?6=3tyn;7>52z\f3>;b93;:46s|11794?5|V8:>70k=:03;?8ba28;37p}kc;296~Xck27n>7?>9:pb=<72;qUj552dg825<=z{mn1<7:03:?xucn3:1>v3kf;3ff>;b93;:m6srbg594?3=;3=p(>?8:g58m`1=831bhn4?::k240<722cm47>5;ng;>5<:i;h325;n3ff?6=3thnm7>53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vnhm50;694?6|,:;<6;I17b>o6910;66g>1883>>o69h0;66a>ec83>>{tm>0;6?uQe69>aa<6901vim50;1xZae<5ln1=<64=dc954?52z\240=:mh0:=55rsg:94?4|Vo201hm510c8yvc?2909wSk7;4ce3tyn57>52z?f`?7bj27no7?>8:pad<72;q6il4>ec9>af<6901vqo??4;29059j5dg=831b=ll50;9j5de=831b=lj50;9jb=<722c:m44?::k240<722em57>5;cdf>5<1290;w)=>7;7a?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::m2ag<722wijo4?:283>5}#;8=18h5G35d8m47?2900e5<7s-9:;7?i1:J00c=n9821<75f10;94?=n98k1<75`1d`94?=z{8kj6=4={_3be>;am3;:46s|1``94?4|V8ki70hj:03:?xu6ij0;6?uQ1`a89cc=98k0q~?nd;296~X6im16jh4>1c9~wc>=839pRk64=gg954e<5oh1=<74}r3b=?6=:rT:m452fc825==z{8:>6=4={_331>;al3;:m6s|f883>7}Yn016ji4>ec9~wcg=838p1kk51d`89cb=9820q~hm:1818`e28oi70hk:03:?x{e99l1<7955;`x 67028:m7d??5;29?l`?2900eh950;9j`f<722c:m54?::k2e<<722e:<;4?::`24`<72:0;6=u+30590`=O;=l0e2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj8:j6=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wi==m50;694?6|,:;<68<4H26e?l7603:17d?>9;29?l76i3:17b?jb;29?xd68m0;684?:1y'741=9o>0D>:i;h325;h32e?6=3`;:n7>5;n3ff?6=3ty:<84?:3y]553<58:n60d825==:9921=<74}rg4>5<4sWo<70??8;32<>;68h0:=45rsea94?5|Vmi01<>n:03;?877k3;:46s|1`:94?4|V8k370??c;32e>{t9h31<7{t99=1<74ce34;;h7?>8:p55>=838p1<>7:0ga?877l3;:56s|11;94?4|58:j6{zj8lm6=4<:183!56?3>m7E=;f:k25=<722c:=44?::m2ag<722wi>=?50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl=0283>6<729q/?<954d9K71`5<N41983>>o6900;66a>ec83>>{e:931<7=50;2x 6702=o0D>:i;h325;n3ff?6=3th95}#;8=18h5G35d8m47?2900e5c`=98301?>::03;?847?3;:56s|e683>2}:m>09=l52e78f3>;a?3o<70??f;g4?847;3;:463=04825<=::9h1=<74}rd;>5<>s4l36??n;c><5o=1j5521169b==:99l1j552213954><5;:86199~w4622902w0??5;02e>;b>3;;963i7;331>;68=0:<85211d9553<58lm6199>65?=9820q~?n8;296~X6i116==h51`:8yv7f13:1?vP>a89>552=9h301<>i:0c:?xu6ih0;6?uQ1`c8946328kj7p}>ac83>7}Y9hh01<>;:0ca?xu6ij0;6?uQ1`a8946328kh7p}>ae83>7}Y9hn01<>;:0cg?xu>m3:19vP6e:?gg??e34o<64l4=g:9=g=:99?15o5rs0df>5<5sW;mi63>fg82ag=z{;:;6=4={_034>;5880:io5rs321>5<5sW8;>63=0282ag=z{;:?6=4={_030>;58<0:io5rs325>5<5sW8;:63=0682ag=z{;:36=4={_03<>;5800:io5rs32b>5<5sW8;m63=0c82ag=z{mn1<77}:m>0j<63i7;g;?xua13:1>v3i8;c3?877<3l27p}>0783>7}:99?1m=5211d955052z\:f>;69802i6s|1gg94?4|V8ln70?>1;3ea>{t:9:1<71;036>{t:9>1<71;032>{t:921<71;03e>{t:9i1<71;3b<>{t9h31<71;3be>{t9hh1<71;3bg>{t9hn1<75<42;0?wA=:1;3xL4gb3tF89?4>{%636?55;h;a>5<0;6>4?:1y'741=l01C?9h4L272>4}#9;?1?kh4}h:b>5<6=4={<595<5s4=1>0;6?uQa19>3?g73twimh4?:282>6}K;<;1=vF>ad9~H63528q/8=<53:'741=9m90el>50;9l64g=831b5o4?::`4>5<4290;w)=>7;f:?M53n2c3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psm33494?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f=0=8391=7=tL272>4}O9ho0qA=:2;3x 1652:1v(>?8:0f0?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj;h96=4<:080I5293;pD;>7=4}%123?7c;2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqook:180>7<3sE9>=7?tH0cf?xJ4=;0:w):?2;18y!56?3;i:6gn0;29?j46i3:17d7m:188f2<72:0;6=u+3059`<=O;=l0e5o50;9je5<722e9=l4?::a=c<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17p}6b;296~X>j272j7?>7:p5`3=838p1:47a:?:b?7bj2wx=h850;0x92<59h1U>4=:5yO707=9rB:mh5rL271>4}#<981?6s+30595g05<7s-9:;7j6;I17b>o?i3:17do?:188k77f2900qo7i:181>5<7s-9:;7?=6:J00c=n98=1<75`1d`94?=z{0h1<73:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb9794?5=:3>p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xd>n3:1>7>50z&052<6:?1C?9h4i034>5<5<5sW3i707i:034?xu6m<0;6?u27;:b?8?a28oi7p}>e783>7}:?38:m6P=1`9~w4c02909wSo?;<59e5=zuk8i=7>53;090~J4=80:wE?ne:O704=9r.?5;c594?5=83:p(>?8:e;8L62a3`2j6=44i`294?=h:8k1<75rb8d94?4=83:p(>?8:005?M53n2c:=:4?::m2ag<722wx5o4?:3y]=g=:1o0:=:5rs0g6>5<5s4=14l529g82ag=z{8o=6=4={<5964ge683>7}Yi916;7o?;|a`3<72:0969uC34395~N6il1v@>;=:0y'054=;2w/?<951c48md6=831d>5<52z?4>=g<50l1=hl4}r3f2?6=:r7<6??n;_02e>{t9l=1<7=:29~ 67028n87do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srbcd94?>=:3np@>;>:0yK5dc>76t$521>6=#<9;1?:j4$2:3>1633-9mn7<>a:&0b2<>j2d8j>4>;%1e`?4ak2d8j84>;|&052<6j;1Q444>{0c9y_4a138p=n4>e;j6cb=831b5o4?::k1bf<722e9>=4?:%027?46n2d9=?4?;:m15`<72-8:?7<>f:l157<632e9=i4?:%027?46n2d9=?4=;:m15f<72-8:?7<>f:l157<432e9=o4?:%027?46n2d9=?4;;:`4>5>i5:90;6)<>3;02b>h59;0;76a=1d83>!46;38:j6`=1382?>i59m0;6)<>3;02b>h59;0976a=1b83>!46;38:j6`=1380?>i59k0;6)<>3;02b>h59;0?76gn6;29 7742h?0b??=:198md2=83.9=>4n5:l157<632cj?7>5$330>d3of93:1(??<:`78j7752=10n:4?:480>2}K;<;1=vF>ad9~H63520q/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`c2;lh7c=i5;38 6`b2:;=7c=i6;38y!56?3;h=6g6b;29?lg72900c??n:188m6712900e?hl:188f2<72:0:6>uC34395~N6il1v(>?8:0`7?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj:<26=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wi?:l50;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|qb4?6=:rTj<63<7c825<=z{;;j6=4={_02e>;02;;j7p}<1783>7}Y;8<01>9m:03;?xu5nj0;6?uQ2ga8960>28;37p}<7`83>7}:;?31=<74=25a>4ce3ty8:54?:3y>3?g7349=57?jb:~f=<72=0968uC34395~N6il1v@>;=:6y'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>hk:3d`?k5a=3;0q)=>7;3a=>o>j3:17do?:188k77f2900e?hl:188f2<72:0:6>uC34395~N6il1v(>?8:0`7?lg72900c??n:188m53;294~"49>0o56F<4g9j5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zj:<26=4<:183!56?3>n7E=;f:k25=<722c:=44?::m2ag<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf8278:44>189~w77f2909wS<>a:?4>77f3ty9jn4?:3y]6ce<5:<260}K;<;1=vF>ad9~H6352>q/8=?536d8 6`>2h:0(>hm:33b?!5a?33i7c=i3;38 6`c2;lh7c=i5;38y!56?3;i56g6b;29?lg72900c??n:188m7`d2900n:4?:282>6}K;<;1=vF>ad9~ 67028h?7do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srb24:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xuf83:1>vPn0:?02<<6901v??n:181[46i27<6??n;|q1bf<72;qU>km4=24:>47?3ty8:54?:3y>3?g7349=57?jb:~f44=83>1>7;tL272>4}O9ho0qA=:2;5x 1662:=m7)=i9;c3?!5aj38:m6*h4n:0:7)=id;0eg>h4n<0:7p*<1682f<=n1k0;66gn0;29?j46i3:17d4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wx5o4?:3y]=g=:?32j7p}>e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk9=57>53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831v4l50;0xZ02n6s|a183>7}Yi916?;7510;8yv46i3:1>vP=1`9>3?46i2wx>km50;0xZ7`d349=57?>8:p73>=838p1:4n0:?02<<6mk1vqo?<:187>7<2sE9>=7?tH0cf?xJ4=;0"4n00j<6*"4nm09jn5a3g795>{#;8=1=o74i8`94?=ni90;66a=1`83>>o5nj0;66l8:180>4<4sE9>=7?tH0cf?x"49>0:n95fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7d6n:188md6=831d>{t9l?1<74}|`02<<72:0;6=u+30590`=O;=l0e2900c28;27p}=1`83>7}Y:8k01:4=1`9~w7`d2909wS87:18181=i916?;751d`8yxd5:?0;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3ty9ji4?:2y]6cb<5>08=;52234954?3;;a?xu5nj0;6>uQ2ga892<5nj16>?8510:8yv45=3:19v37:3d`?8b=:oi01<<52ga8945=:oi01?<9:0ga?xu5:90;6?uQ2328945=:8k0(?hm:33e?k4ai3:0q~<>e;296~X59l16=?4=1`9'6cd=:8l0b?hn:09~w77c2909wS<>d:?g>77f3-8mn7<>f:l1bd<53ty9=n4?:3y]64e<5109=l5+2g`964`5rs33a>5<5sW8:n638:33b?!4aj38:j6`=f`87?xuf>3:1>vPn6:?27?g73-8mn7o:;o0ee?6h5nh097p}n2;296~Xf:2736l>4$3da>d35rs`394?4|Vh;01:4n0:&1bg4}O9ho0qA=:2;7x 1662:=27)=ia;`0?!27>320(>hl:3ga?!27=3=0q)=>7;3a4>\?138p=o4>9;Y6c?=:r;o6<85}n0e5?6=,;;86?h?;o026?6<3f8nj7>5$330>7`73g8:>7?4;n0fa?6=,;;86?h?;o026?4<3f8nh7>5$330>7`73g8:>7=4;n0fg?6=,;;86?h?;o026?2<3`h26=4+2019f==i:881<65fb683>!46;3h37c<>2;38?ld1290/><=5b99m644=:21bn84?:%027?d?3g8:>7=4;h`7>5<#:891n55a20090>=e9mo1<7=51;1xH63628qC=lk4}%123?7c02ci=7>5;h`1>5<5<42:0>w)=>7;16f>oe93:17dl=:188k7ce2900n>;7:180>5<7s-9:;7:j;I17b>o6910;66g>1883>>i6mk0;66sm34;94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01d<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xue93:1?vPm1:?01=<69016?87510:8yvd52908wSl=;<16189~w7ce2909wS;8:18185203;nn63<5`825==z{:?36=4={<16=?7bj2789l4>189~yvd62909wSl>;<16f?d63tyi>7>52z\a6>;4=k0i>6s|2d`94?4|V;oi70=:b;0ff>{zj8nm6=4<:080I5293;pD5;c16f?6=;3919v*<16801g=nj80;66gm2;29?j4bj3:17o=:8;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rb27:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70g=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{tj80;6>uQb09>70>=98301>;6:03;?xue:3:1?vPm2:?01=<69116?87510;8yv4bj3:1>vP=ec9>70g=9lh0q~=:7;296~;4=10:io5234c954>47>52z?01<<6mk16?8o510;8yxue93:1>vPm1:?01g5<5sW8nn63<5c81ag=zuk;n<7>53;397~J4=80:wE?ne:'741=9m20eo?50;9jf7<722e9io4?::`01g<72:0868u+305970d>i5mk0;66l<5983>6<729q/?<954d9K71`5<N4{tj;0;6>uQb39>70>=98201>;6:03:?xu5mk0;6?uQ2d`8963f28oi7p}<5683>7}:;<21=hl4=27b>47?3ty8954?:3y>70?=9lh01>;n:03:?x{tj80;6?uQb09>70d=j81vo<50;0xZg4<5:?i6o<4}r0ff?6=:rT9io5234`96`d6}K;<;1=vF>ad9~ 67028n37dl>:188mg4=831d>hl50;9a70d=8391?7;t$234>63e3`h:6=44ic094?=h:lh1<75m34:94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01<<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<{t:lh1<728oi70=:a;32=>{z{k;1<7n7l=;|q1ag<72;qU>hl4=27a>7ce3twi?;750;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl<6c83>6<729q/?<954e9K71`5<N42B88k5f10594?=h9lh1<75rs24;>5<4s4;oj7l>;<15=?7bj278:o4>189~w60f2908w0?j0;`2?851j3;nn63<6e825<=z{:;<3f5?4bj2.9jo4=f19m6cg=82wx>hh50;0xZ7ca34;n<7ko51:p6`c=838pR?kj;<3gb?4bj2.9jo4=f19m6cg=:2wx>hj50;0xZ7cc34;oi7ko53:p6`e=838pR?kl;<0e2?7bj2.9jo4=f19m6cg=<2wxn44?:3y]f<=:9l;1n?5+2g`9f==i:ok1<6s|b683>6}Yj>16=h>5b39>73b=9820(?hm:c:8j7`f281vo850;1xZg0<58nm6o<4=24a>47?3-8mn7l7;o0ee?46=4<{_`6?87cm3h970=99;32<>"5nk0i46`=f`80?xue<3:18vPm4:?2``189>6c0=98=0(?hm:c:8j7`f2=1vq~3-8mn7<>f:l1bd<73ty9=h4?:2y]64c<5>09=h521ef9f2=#:oh1>4=z{;;o6=4<{_02`>;02;;o70?kd;`5?!4aj38:j6`=f`81?xu59j0;6>uQ20a892<59j16=ij5b49'6cd=:8l0b?hn:29~w77e2908wS<>b:?4>77e34;oh7l;;%0ef?46n2d9jl4;;|q026<72;q6;7o9;<3g`?4a92.9jo4<639m6cg=82wx?;?50;0x925ab=:lo0(?hm:241?k4ai380q~=:f;296~;02h8016`=f`80?xu4=l0;6?u27;c2?87cl38no6*=fc8027=i:ok186srbe294?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg5b93:197=56zN014<6sA;ji6sC3409e~"38;087):?1;14b>"4n00j<6*"4n102o6`0:o>5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f60>29096=4?{%123?75>2B88k5f10594?=h9lh1<75rb255>5<4290;w)=>7;3fb>N4{t1j0;6?uQ9b9>720=9820q~<>a;296~X59h16;7<>a:p6ce=839pR?hl;<15=?76?278;;4>189~w60?2909w095a19>73?=9lh0q~=85;296~;020i01>99:0ga?x{e9;=1<7=51;1xH63628qC=lk4}M166?7|,=:96>5r$234>4b43`k;6=44o33b>5<j27<65o4}r3f1?6=:r7<6??n;_02e>{t9l<1<7=:29~ 67028n87do?:188k77f2900e4l50;9a3?6=;3:1N45;|q:f?6=:rT2n638:9c8yv7b=3:1>v38:33b?[46i2wx=h850;0xZd6<5>0j<6srb010>5<42808wA=:1;3xL4gb3tF89?4>{%636?55;h;a>5<0;6>4?:1y'741=l01C?9h4i9c94?=ni90;66a=1`83>>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg5f:3:1?7<54zN014<6sA;ji6sC34095~"38;087p*<1682f3=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?l>f2900el>50;9l64g=831vn4h50;094?6|,:;<6<<9;I17b>o69>0;66a>ec83>>{t1k0;6?uQ9c9>=c<69>1vec9~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm3`594?5=939p@>;>:0yK5dc>7?t$521>6=z,:;<65<50z&052>of83:17b<>a;29?xu>j3:1>vP6b:?4>=g52z?4>77f3W8:m6s|1d494?4|Vh:01:4n0:~f6>d29086?4;{M165?7|@8kn7pB<5382!27:390q)=>7;3a2>of83:17b<>a;29?l?e2900n:4?:283>5}#;8=1h45G35d8m=g=831bm=4?::m15d<722wi5k4?:383>5}#;8=1=?84H26e?l76?3:17b?jb;29?xu>j3:1>vP6b:?:b?76?2wx=h;50;0x9252z\b4>;02h:0qpl6<52=qG?8?51zJ2e`=zD:?96{#;8=1=o84i`294?=h:8k1<75f9c83>>d029086=4?{%123?b>3A9?j6g7a;29?lg72900c??n:188yg?a29096=4?{%123?75>2B88k5f10594?=h9lh1<75rs8`94?4|V0h014h51058yv7b=3:1>v38:9c89<`=9lh0q~?j6;296~;02;;j7S<>a:p5`1=838pRl>4=68b4>{zj:n=6=4<:387I5293;pD;>7=4}%123?7e>2cj<7>5;n02e?6=3`3i6=44b683>6<729q/?<95d89K71`>i59h0;66sm9g83>7<729q/?<951348L62a3`;:;7>5;n3ff?6=3ty2n7>52z\:f>;>n3;:;6s|1d794?4|5>03m636f;3ff>{t9l<1<74}|`26c<720086ou+305957`5<5<5<5<5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a57e=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd6:m0;6:4?:1y'741=9o<0D>:i;h325;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3ff?6=3ty:>l4?:3y]57g<588o647?3ty:;54?:3y]52><588n647>3ty:5:4?:3y]5<1<588o647e3ty:544?:3y]547>3ty:>54?:3y]57><588h62b83>7}:9;i1=hl4=00g>47c3twi8o4?:981><}#;8=18o5`4683>>o61<0;66g>7983>>o61?0;66g>9683>>o6110;66g>9883>>o61h0;66l;a;297?6=8r.8=:4;d:J00c=n9821<75f10;94?=h9lh1<75rb5;94?1=83:p(>?8:0d5?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::k25a<722e:io4?::p02<72;qU8:524882ag=z{83>6=4={_3:1>;3i3;:46s|16:94?4|V8=370:n:03:?xu61?0;6?uQ184891?=9830q~?67;296~X61>16844>1`9~w4??2909wS?68:?7=?76j2wx=4750;0xZ4?>34>2636=4={<6b>4ce34>264?::k2=2<722c:554?::k2=<<722c:5l4?::k26=<722c8594?::k203<722h:?;4?:283>5}#;8=18i5G35d8m47?2900e5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a56d=8391<7>t$234>1b<@:>m7d?>8;29?l7613:17b?jb;29?xd6;m0;6>4?:1y'741=5<5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn<:?:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rb062>5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a515=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd6<=0;6:4?:1y'741=9o<0D>:i;h325;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3ff?6=3ty:?94?:3y]562<58>?647?3ty:?>4?:6y]565<589=6189>56d=98301<=i:03:?873;3;:56s|18594?4|V83<70?<7;32<>{t9021<7{t90k1<7;6;o0:=55rs2;7>5<5sW92863>3e825==z{8>=6=4={_372>;6<:0:=55rs016>5<5s4;8:7?jb:?201<6911v<=8:181874?3;nn63>45825<=z{8936=4={<30=?7bj27:894>1`9~w45f2909w0?;6<=0:=o5rs01`>5<5s4;8h7?jb:?205<6911v<=j:181874n3;nn63>41825<=z{8o>6=4={<374?7bj27:8<4>189~w4c12909w0?;1;3ff>;6<=0:=n5rs061>5<5s4;??7?jb:?201<69m1vqo5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a<1<72:0;6=u+30590a=O;=l0e2900c1983>>o6900;66a>ec83>>{ek>0;6>4?:1y'741=5<5<n7E=;f:k25=<722c:=44?::m2ag<722wih?4?:283>5}#;8=18h5G35d8m47?2900e=831b=<750;9l5`d=831vnnl50;494?6|,:;<68m4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17b?jb;29?xddl3:1:7>50z&052<212B88k5f10:94?=n9831<75f10c94?=n98h1<75f10a94?=h9lh1<75rbe694?0=83:p(>?8:4`8L62a3`;:47>5;h32=?6=3`;:m7>5;h32f?6=3`;:o7>5;n3ff?6=3th8i;4?:783>5}#;8=1945G35d8m47?2900e=831b=<750;9j54g=831b=5<7s-9:;7:k;I17b>o6910;66g>1883>>i6mk0;66sm15594?1=83:p(>?8:0d5?M53n2c:=54?::k25<<722c:=l4?::k25g<722c:=n4?::k25a<722e:io4?::a7db=83=1<7>t$234>4`13A9?j6g>1983>>o6900;66g>1`83>>o69k0;66g>1b83>>o69m0;66a>ec83>>{e;h31<7=50;2x 6702=n0D>:i;h325;n3ff?6=3th85?4?:783>5}#;8=1=k;4H26e?l7603:17d?>9;29?l76i3:17d?>b;29?l76k3:17b?jb;29?xd4j10;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3th8n?4?:283>5}#;8=18h5G35d8m47?2900ef59K71`5<5<N41983>>o6900;66a>ec83>>{ej90;6?4?:1y'741=9;<0D>:i;h323?6=3f;nn7>5;|`06=<72;0;6=u+3059570<@:>m7d?>7;29?j7bj3:17pl78;296?6=8r.8=:4>279K71`5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<279K71`5<52;294~"49>0:>;5G35d8m4702900c7>50z&052<6:?1C?9h4i034>5<52121964g<58>>6<=<;|q0a<<72;qU?h74=20;>4703ty85i4?:3y]7<5:h9677f349n87?>9:p7=e=838pR>6l;<1;g?46i2wxnh4?:3y]f`=:l?0j<6s|3bd94?4|V:im70{t9?i1<77}Y;0901>;?:036?xu?k3:18vP7c:?2=1<6i016h;46b:?26<<6911v5l50;34[>e34;2877m;<34;?=33i70`5<>j278i<46b:?262<>j27?877m;<307??e349j>77m;<1b3??e3493o77m;<1ba??e349o:77m;|q0e`<72;qU?lk4=2cf>77f3ty::44?:3y]53?<5:h?677f3ty:m;4?:3y]5d0<5:nj66?33423677f3ty8m:4?:3y]7d1<5:k<6??n;|q70?6=:rT?863;4;02e>{t;l;1<7=t^2g2?85b938:m63k2;32<>{tl:0;6?u2d3825<=:l=0:io5rs2c`>5<5s49jh7?jb:?0e<<6901vll50;1x94?328kj70ol:0ga?87493;:46s|3e;94?4|5:nj6`3<59h16h:4n0:?`=?7602wx??;50;0x96422;;j70==4;32=>{t9::1<74ce34;957?>9:p3`<72:q6=4:51`a89=2=9lh01<=>:03b?xuc93:1>v3k0;c3?8b528oi7p}<2783>7}:;;<1>47?3tyjj7>53z?ba?g734ko6l>4=c295`d7`d34i<6189>gc<6mk1v>7>:18185>:3;nn63<918252=z{1?1<71=<74}r1f7?6=:r78i<4=fb9>7`2=9lh0q~j8:1818b02;;j70m6:03:?xu4j80;6?u23c095`d<5:hj6{t0?0;6?u287815d=:0=0:=55rs2c0>5<5s49j>7o?;<1b0?7bj2wxh=4?:2y>5<2=9hh01i>520c8945628;27p}>7983>1}:90>1=l64=05;>77f34;9j7?88:?7f?7002wxmh4?:3y>e`<59h16mn4>199~w4532909w0?<3;c3?873=3;886s|2c394?4|5;h:6??n;<0a4?7612wx?l650;0x96g>28oi70=nb;323>{t;;=1<7=t=205>d6<5:8>6l>4=20;>4ce3ty:>l4?:3y>571=i916=?h513c8yv4e:3:1>v3=b3815d=::k:1=<64}r117?6=:r78>94>ec9>7`2=98k0q~:8:1818232h:019l5469~wfg=839p1nl51d`89f`=98201>k7:034?xudk3:1>v3ld;3ff>;dn3;:56s|18794?3|583?6=27?n7?65:?200<61<16=99510:8yv7>>3:1;v3>958145=:9;l1=484=5`95<0<58><6199>7g>=9820q~?67;29<~;61=094?034;?;7?>a:?0ea<69016?4<510;896d?28;27p}>9983>=}:90>1>=:4=00e>4??34>i6<77;<371?7>027:8:4>1c9>7db=98k01>7=:03b?85ei3;:56s|18;94??|583?6?>9;<31b?7>127?n7?69:?200<61016?l:510:8942028;h70=nd;32f>;41;0:=o523cc954g59z?2=1<58116=?h518c891d=90k01<:::0;b?85f<3;:563>46825a=:;hn1=47d349im7?>b:p54=2f`>4ce3ty9n>4?:2y>6g4=i916>o?5a19>6g2=9lh0q~=7f;296~;40j0j<63<9182ag=z{1=1<7=t=949e5=:0<0j<6378;3ff>{t;l=1<76}:;kk1=hl4=2`7>47>349om7?>9:p7g3=838p1>oj:`2896d128oi7p}=ag83>6}:90>1=lj4=3`3>4ce34;8=7?>b:p57>=839p1<2882ag=z{8>=6=4={<371?73>27:8:4>ec9~w6gf2909w0=n7;c3?85fj3;nn6s|3d794?4|5:o?6fc<5:916oo4>1b9>ga<69j16h94>1b9>7`0=9820(?hm:b68j7`f291vn=50;7x9g`=:8o01nl510`89fb=98201i:510`896c128;27)3;:o6*=fc8`0>h5nh097p}l1;291~;en38:o63lb;32=>;dl3;:m63k4;32=>;4m?0:=l5+2g`9g1=i:ok1?6s|c183>0}:jo09=o52cc825==:km0:=o52d5825==:;l<1=f2;=:3ym055=>:1/8=<55:'741=1=1Q>k751z0;>xi5m00;66g=d783>>i5m>0;66gk8;29?j5603:17b=>d;29?l>c2900n?j8:18:>7<69rF89<4>{I3ba>{K;<81:v*;0383?!279394d43S2265<5<#:891>?=4n331>4=5<#:891>?=4n331>6=5<#:891>?=4n331>0=5<#:891>?=4n331>2=0;6;=7=8f:&0b<0V5752z06>75=uS8m57!46;389?6`=1383?>i5:;0;6)<>3;017>h59;0:76a=2083>!46;389?6`=1381?>i5:90;6)<>3;017>h59;0876a=1d83>!46;389?6`=1387?>i59m0;6)<>3;017>h59;0>76a=1b83>!46;389?6`=1385?>i59k0;6)<>3;017>h59;0<76gna;29 7742h30b??=:198md>=83.9=>4n9:l157<632cj;7>5$330>d?of<3:1(??<:`;8j7752=10el=50;&1563=h59;0<76l8:180>4<4sE9>=7?tH0cf?x"49>0:o85fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a5;n02e?6=3ty2n7>52z\:f>;021k0q~?j5;296~;02;;j7S<>a:p5`0=838pRl>4=68b4>{zjm0;6>4>:2yO707=9rB:mh5r$234>4e03`k;6=44o33b>5<2480bc=za1k1<75fa183>>i59h0;66s|9c83>7}Y1k16;76n;|q2a0<72;q6;7<>a:\15d=z{8o=6=4={_c3?81=i91vqo?=:180>4<4sE9>=7?tH0cf?x"49>0:o55fa183>>i59h0;66g6b;29?g1=8391<7>t$234>a?<@:>m7A=:1;3x 4422:lm7pg7a;29?lg72900c??n:188yv?e2909wS7m;<596=4={<5964ge783>7}Yi916;7o?;|a56<72:0:6>uC34395~N6il1v(>?8:0a:?lg72900c??n:188m53;294~"49>0o56F<4g9O707=9r.:>84e483>7}:?38:m6P=1`9~w4c12909wSo?;<59e5=zuk;?6=4<:080I5293;pD5;c594?5=83:p(>?8:e;8L62a3E9>=7?t$006>6`a3tc3m7>5;hc3>5<5<5sW3i70958`9~w4c22909w09520c8Z77f3ty:i;4?:3y]e5=:?3k;7psm1g83>6<62:qG?8?51zJ2e`=z,:;<65<50z&052>{t1k0;6?uQ9c9>3?>f3ty:i84?:3y>3?46i2T9=l5rs0g5>5<5sWk;7095a19~yg4729086<4<{M165?7|@8kn7p*<1682ga=ni90;66a=1`83>>o>j3:17o950;194?6|,:;<6i74H26e?I5293;p(<<::2de?xo?i3:17do?:188k77f2900q~7m:181[?e34=14l5rs0g6>5<5s4=1>3?g73twx5o4?:8y]=g=:?33i70659c9>`??e34;964l4=019=g=:9=02n63>f;;a?84720h0q~<=4;296~X5:=16>=4=1`9'6cd=:;90b?hn:19~w7452909wS<=2:?2b?46i2.9jo4=229m6cg=92wx>??50;0xZ74634;?6??n;%0ef?45;2d9jl4=;|q165<72;qU>?>4=01964g<,;li6?<<;o0ee?552z\15`=:9;09=l5+2g`96755<5sW8:h63k:33b?!4aj389?6`=f`86?xu59j0;6?uQ20a89=<59h1/>kl52318j7`f2?1v??m:181[46j27<6??n;%0ef?45;2d9jl48;|qbe?6=:rTjm63=0;c3?!4aj3k27cd6<,;li6l74n3db>4=z{h=1<7kl5a89m6cg=:2wxm;4?:3y]e3=:9:0j<6*=fc8b=>h5nh087p}n4;296~Xf<27:>7o?;%0ef?g>3g8mm7:4}rc0>5<5sWk870j5a19'6cd=i01e>ko55:pe7<72;qUm?528;c3?!4aj3k27c;<59e5=#:oh1m45a2gc93>{zj8no6=4>0;g953}K;<;1=vF>ad9~H63529:99'7ce=:lh0(9>::69~ 67028h:7W66:3y12?432tP9j44={3;9676=4+20196c25<#:891>k:4n331>4=5<#:891>k:4n331>6=5<#:891>k:4n331>0=5<#:891>k:4n331>2=h59;0;76gmb;29 7742ki0b??=:098mgg=83.9=>4mc:l157<532ci57>5$330>ge54ic594?"59:0io6`=1387?>oe>3:1(??<:ca8j7752<10eo;50;&1562=5;c16f?6=;3919v*<16801g=nj80;66gm2;29?j4bj3:17o=:8;297?6=8r.8=:4;e:J00c=n9821<75f10;94?=h9lh1<75rb27:>5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70g=8391<7>t$234>4cb3A9?j6g>1983>>o6900;66a>ec83>>{tj80;6>uQb09>70>=98301>;6:03;?xue:3:1?vPm2:?01=<69116?87510;8yv4bj3:1>vP=ec9>70g=9lh0q~=:7;296~;4=10:io5234c954>47>52z?01<<6mk16?8o510;8yxue93:1>vPm1:?01g5<5sW8nn63<5c81ag=zuk;oj7>53;397~J4=80:wE?ne:'741=9m20eo?50;9jf7<722e9io4?::`01g<72:0868u+305970d>i5mk0;66l<5983>6<729q/?<954d9K71`5<N4{tj;0;6>uQb39>70>=98201>;6:03:?xu5mk0;6?uQ2d`8963f28oi7p}<5683>7}:;<21=hl4=27b>47?3ty8954?:3y>70?=9lh01>;n:03:?x{tj80;6?uQb09>70d=j81vo<50;0xZg4<5:?i6o<4}r0ff?6=:rT9io5234`96`d6}K;<;1=vF>ad9~ 67028n37dl>:188mg4=831d>hl50;9a70d=8391?7;t$234>63e3`h:6=44ic094?=h:lh1<75m34:94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`01<<72:0;6=u+30590`=O;=l0e2900c50z&052<6ml1C?9h4i03;>5<5<{t:lh1<728oi70=:a;32=>{z{k;1<7n7l=;|q1ag<72;qU>hl4=27a>7ce3twi=h?50;195?5|D:?:64:{%123?52j2ci=7>5;h`1>5<5<4290;w)=>7;6f?M53n2c:=54?::k25<<722e:io4?::a70?=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=h0;6>4?:1y'741=9lo0D>:i;h325;n3ff?6=3tyi=7>53z\a5>;4=10:=45234;954>;4=h0:io5rs274>5<5s49>47?jb:?01d<6911v>;7:18185213;nn63<5`825<=zuzh:6=4={_`2?852j3h:7p}m2;296~Xe:2789o4m2:p6`d=838pR?km;<16f?4bj2wvn4<4sE9>=7?tH0cf?x"49>0:h55fb083>>oe:3:17b5;c16N429086=4?{%123?2b3A9?j6g>1983>>o6900;66a>ec83>>{e;47?3tyi>7>53z\a6>;4=10:=55234;954?52z\1ag=:;ec9>70g=9820q~=:8;296~;4=00:io5234c954?52z\a5>;4=k0i=6s|b383>7}Yj;16?8l5b39~w7ce2909wS{I3ba>{#;8=1=i64ic394?=nj;0;66a=ec83>>d4=k0;6>4<:4y'741=;2900c50z&052<3m2B88k5f10:94?=n9831<75`1d`94?=zj:?j6=4<:183!56?3;ni6F<4g9j54>=831b=<750;9l5`d=831vo?50;1xZg7<5:?3647>3ty9io4?:3y]6`d<5:?j67}:;<31=hl4=27b>47>3twxn<4?:3y]f4=:;;m:c08yv4bj3:1>vP=ec9>70d=:lh0qpl>e583>6<62:qG?8?51zJ2e`=z,:;<65<:188mg4=831d>hl50;9a70>=8391<7>t$234>1c<@:>m7d?>8;29?l7613:17b?jb;29?xd4=00;6>4?:1y'741=5<5<m7>53;294~"49>0:ih5G35d8m47?2900e:180[d6349>47?>9:?01<<6911vo<50;1xZg4<5:?36hl50;0xZ7ce349>m7?jb:p701=838p1>;7:0ga?852i3;:46s|34:94?4|5:?265<5sWh970=:b;`1?xu5mk0;6?uQ2d`8963e2;oi7psm37;94?5=83:p(>?8:5f8L62a3`;:47>5;h32=?6=3f;nn7>5;|`02g<72:0;6=u+30590a=O;=l0e2900c50z&052<3l2B88k5f10:94?=n9831<75`1d`94?=zj:o7E=;f:k25=<722c:=44?::m2ag<722wi?:?50;194?6|,:;<69j4H26e?l7603:17d?>9;29?j7bj3:17pl<7283>6<729q/?<954e9K71`5<e08a5>;4>m0:io5237d954?53z?2a7ec9>727=9830q~=80;297~;6m:0i=63<7082ag=:;>91=<74}r146?6=:r7:i94m1:?036<6mk1v?h::181[4a=27:i94=ec9'6cd=:o>0b?hn:19~w7`42909wSkl52g68j7`f281v?h=:181[4a:27:i?4=ec9'6cd=:o>0b?hn:39~w7`62909wSkl52g68j7`f2:1v?ki:181[4bn27:i=4=ec9'6cd=:o>0b?hn:59~w7cb2909wSkl52g68j7`f2<1v?kk:181[4bl27:hh4=ec9'6cd=:o>0b?hn:79~w7cd2909wSkl52g68j7`f2>1voj50;0xZgb<58o?6o<4$3da>ge7l=;<145?7602.9jo4mc:l1bd<53tyi57>53z\a=>;6m80i>63<6g825==#:oh1nn5a2gc97>{tj>0;6>uQb69>5`6=j;16?;j510:8 7`e2ki0b?hn:59~wg0=839pRo84=0fe>g4<5:5<4sWh>70?ke;`1?85113;:46*=fc8ag>h5nh0=7p}m4;290~Xe<27:hh4m1:?02<<69016>k851058 7`e2ki0b?hn:69~yv?e2909wS7m;<59=g=z{;8?6=4<{_010>;02;8?70?kd;`g?!4aj389?6`=f`83?xu5:;0;6>uQ230892<5:;16=ij5bc9'6cd=:;90b?hn:09~w7462908wS<=1:?4>74634;oh7ln;%0ef?45;2d9jl4=;|q165<72:qU>?>4=68165=:9mn1n45+2g`96755rs33f>5<4sW8:i638:33f?87cl3h<7)h5nh0?7p}=1e83>6}Y:8n01:4=1e9>5ab=j?1/>kl52318j7`f2<1v??l:180[46k27<6??l;<3g`?d23-8mn7<=3:l1bd<13ty9=o4?:2y]64d<5>09=o521ef9f1=#:oh1>?=4n3db>2=z{:<<6=4={<59ed=:9mn1>k;4$3da>6013g8mm7>4}r151?6=:r7<6l64=0fg>7`43-8mn7=96:l1bd<63ty8:94?:3y>3?g034;oh7?1e>ko52:p735=838p1:4n6:?2`a<5n81/>kl53748j7`f2:1v>8>:18181=i=16=ij52dd8 7`e2:<=7cv38:`1894bc2;on7)h5nh0>7p}<5g83>7}:?3k970?kd;0f`>"5nk08:;5a2gc92>{t;;6lm09in5+2g`9730;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6kl1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7A=:1;3x 4422:lm7pg7e;29?l?42900e5o50;9je5<722e9=l4?::a706=83;1<7>t$234>4713A9?j6a>1483>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?j5;296~;4=90:=8527;;0?xu6m?0;6?u27;02e>X59h1v2900c7}Y:8k01:4=1`9~w7`d2908wSd6<5:==6?7:186>7<2sE9>=7?tH0cf?xJ4=;0jw):?2;28 1662:=m7)=i9;c3?!5aj38:m6*h4n:0:7)=i8;;`?k5a<3:0(>hk:3d`?k5a=3:0q)=>7;3`b>o>j3:17d7l:188md6=831d>55;091~J4=80:wE?ne:'741=9k>0el>50;9l64g=831b5o4?::k:g?6=3`386=44b683>0<729q/?<95d`9K71`>o?i3:17do?:188k77f2900qo=:0;295?6=8r.8=:4>179K71`6=44}r;a>5<5sW3i70958`9~w{t9l?1<747234=15>5rs0g5>5<5s4=1>0;6?uQa19>3?g73twi?:850;194?6|,:;<6o6910;66g>1883>>i6mk0;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<77825<=z{;;j6=4={_02e>;02;;j7p}=fb83>6}Y:oi01:4n0:?033<6911v>9::18181=1j16?:851d`8yxd49l0;684=:4yO707=9rB:mh5rL271>d}#<981<6*;00803c=#;o31m=5+3g`964g<,:l<64l4n2d0>4=#;o215n5a3g694>"4nm09jn5a3g794>{#;8=1=nh4i8`94?=n1j0;66gn0;29?j46i3:17d7;tL272>4}O9ho0q)=>7;3a0>of83:17b<>a;29?l?e2900e4m50;9j=6<722h<6=4::183!56?3nj7E=;f:k;a?6=3`386=44i9c94?=ni90;66a=1`83>>{e;<:1<7?50;2x 67028;=7E=;f:m250<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3f1?6=:r789=4>149>3??43ty:i;4?:3y>3?46i2T9=l5rs0g4>5<5sWk;7095a19~yg50>3:1?7>50z&052<6n91C?9h4i03;>5<5<;02h:01>99:03;?xu4?<0;6?u27;;`?850>3;nn6srb23g>5<22;0>wA=:1;3xL4gb3tF89?4n{%636?6<,=::6>9i;%1e=?g73-9mn7<>a:&0b2<>j2d8j>4>;%1e7`d3g9m97>4}%123?7el2c2n7>5;h;`>5<{I3ba>{#;8=1=o:4i`294?=h:8k1<75f9c83>>o>k3:17d7<:188f2<72<0;6=u+3059`d=O;=l0e5k50;9j=6<722c3m7>5;hc3>5<7095929~w4c12909w09520c8Z77f3ty:i:4?:3y]e5=:?3k;7psm36494?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?033<6901v??n:181[46i27<6??n;|q1bf<72:qU>km4=68b4>;4??0:=55rs256>5<5s4=15n5236495`d5}#;8=1=?84H26e?l76?3:17b?jb;29?xd5mh0;684?:1y'741==<1C?9h4i03;>5<5<5<53;294~"49>0?h6F<4g9j54>=831b=<750;9l5`d=831vn?k<:18:>5<7s-9:;7?ja:J00c=n9821<75f10;94?=n98k1<75f10`94?=n98i1<75f10f94?=n98o1<75f10d94?=h9lh1<75rb23b>57;3f=>N45<7s-9:;7?=6:J00c=n98=1<75`1d`94?=zj:;h6=4=:183!56?3;9:6F<4g9j541=831d=hl50;9~w7c>2909wS279i<46c:p6`1=838pR?k8;<0f5<5sW9:463<19815d=z{:;o6=4={_12`>;49m09=l5rs9f94?2|V1n01>?7:3d`?856m38mo63<1e81bf=z{:;n6=4={<12a?46i278>=4>189~w7c62908w0;5m10:=:522dc954>53z?1a4<5nj16?6`3=9lh0q~=>b;296~;49102o63<1b82ag=z{;o96=4<{<114?760279i>4>ec9>6`3=98=0q~=>f;296~;49m02o63<2182ag=z{:;26=4={<12e?7bj278=n4>169~w7c72908w0;5mh0:=4522d1954`<,;li6?ji;o0ee?654z?1`2<5:;16>ho510c897c428;n70=>a;32a>"5nk09hk5a2gc95>{t:mn1<7:t=3f4>746348nm7?>b:?1a6<69m16?47d3-8mn76a1=:8o01?k<:03a?856i3;:n6*=fc81`c=i:ok186s|2ec94?5|5;n<6??k;<0f7?76i278=l4>1`9'6cd=:ml0b?hn:49~w7b>2908w0;5m:0:=45230c954?<,;li6?ji;o0ee?053z?1`2<59k16>h=510:8967f28;37)h5nh0<7psm39394?e=9=0:ovB<5082M7fm2wG?8<52zl746<1j2.?5;h5e>5<1<75f13294?=e=l0;6>4=:5yO707=9rB:mh5rL271>4}#<981>6s+30595a65<7s-9:;7j6;I17b>J4=80:w)?=5;1eb>{n0h0;66gn0;29?j46i3:17pl6f;296?6=8r.8=:4>279K71`5<e483>7}:?32j707i:0ga?xu6m?0;6?u27;02e>X59h1v;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6jm1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb255>5<4290;w)=>7;3fb>N4{t1j0;6?uQ9b9>720=9830q~<>a;296~X59h16;7<>a:p6ce=839pR?hl;<59e5=:;><1=<64}r141?6=:r7<64m4=255>4ce3twi>n650;796?3|D:?:6=:39'057=;>l0(>h6:`28 6`e2;;j7)=i7;;a?k5a;3;0(>h7:8a8j6`3281/?kj52ga8j6`2291v(>?8:0`g?l?e2900e4m50;9je5<722e9=l4?::k1bf<722h<6=4::386I5293;pD5;h;`>5<f2900el>50;9l64g=831vn>;?:182>5<7s-9:;7?>6:J00c=h98?1<75rs8`94?4|V0h01:47a:p=f<72;qU5n527;:f?xu6m<0;6?u23429543<5>02?6s|1d494?4|5>09=l5Q20c8yv7b?3:1>vPn0:?4>d65}#;8=1=hh4H26e?l7603:17d?>9;29?j7bj3:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=86;32=>{t:8k1<7k278;;4>ec9~yg4di3:197<55zN014<6sA;ji6sC3409e~"38;097):?1;14b>"4n00j<6*"4n102o6`0:ni5f9c83>>o>k3:17do?:188k77f2900e?hl:188f2<72<0968uC34395~N6il1v(>?8:0`7?lg72900c??n:188m:i;h:f>5<>of83:17b<>a;29?xd4=90;6<4?:1y'741=98<0D>:i;n321?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0g6>5<5s49><7?>5:?4><552z?4>77f3W8:m6s|1d594?4|Vh:01:4n0:~f61129086=4?{%123?7bn2B88k5f10:94?=n9831<75`1d`94?=z{0h1<7j2wx5n4?:3y]=f=:;><1=<74}r02e?6=:rT9=l527;02e>{t:oi1<7=t^3d`?81=i916?:8510:8yv50=3:1>v38:8a8961128oi7psm8183>0<52"38808;k5+3g;9e5=#;oh1>1=6*>of83:17b<>a;29?l4ak3:17o950;796?3|D:?:64?::`4>5<2290;w)=>7;fb?M53n2c3i7>5;h;0>5<>i59h0;66sm34294?7=83:p(>?8:035?M53n2e:=84?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?015<69<16;77<;|q2a3<72;q6;7<>a:\15d=z{8o<6=4={_c3?81=i91vqo=86;297?6=8r.8=:4>eg9K71`5<5<5sW3i70959c9~w47>3ty9=l4?:3y]64g<5>09=l5rs3d`>5<4sW8mo638:`28961128;37p}<7483>7}:?33h70=86;3ff>{zj181<7;52;7xH63628qC=lk4}M166?g|,=:96?5+413972`<,:l26l>4$2da>77f3-9m;77m;o1e7?7<,:l364m4n2d7>4=#;on1>km4n2d6>5=z,:;<65<>i59h0;66g=fb83>>d0290>6?4:{M165?7|@8kn7p*<1682f1=ni90;66a=1`83>>o>j3:17d7l:188m<5=831i;7>55;294~"49>0om6F<4g9j<`<722c2?7>5;h:b>5<:183!56?3;::6F<4g9l543=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2a0<72;q6?8>5107892<>;2wx=h850;0x92<59h1U>4?:1y'741=9ll0D>:i;h325;n3ff?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349<:7?>9:p64g=838pR??n;<5964g53z\1bf=:?3k;70=86;32<>{t;>?1<7;4??0:io5r}c1:`?6==391;vB<5082M7fm2wG?8<5az&747<53->;=7=8f:&0b<k2d8j94>;%1ea?56>2d8j;4?;|&052<6l81b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb255>5<4290;w)=>7;3fb>N4j2wx5n4?:3y]=f=:;>21=<74}rc3>5<5sWk;70=86;32=>{t:8k1<76;297~X49?16?:8510:8961?28;37p}<7683>7}:?33h70=88;3ff>{t;>?1<7;4??0:io5r}c117?6==391;vB<5082M7fm2wG?8<5az&747<53->;=7=8f:&0b<k2d8j94>;%1e`?4ak2d8j84?;|&052<6jm1b5o4?::k:g?6=3`k;6=44o33b>5<0<525<t$234>ag<@:>m7d6j:188m<5=831b4l4?::kb4?6=3f8:m7>5;|`015<7280;6=u+3059540<@:>m7b?>5;29?xu>j3:1>vP6b:?4>=g3:1>v38:33b?[46i2wx=h950;0xZd6<5>0j<6srb24:>5<4290;w)=>7;6g?M53n2c:=54?::k25<<722e:io4?::a720=8391<7>t$234>4ca3A9?j6g>1983>>o6900;66a>ec83>>{t1k0;6?uQ9c9>3??e3ty2o7>52z\:g>;4??0:=45rs`294?4|Vh:01>86:03:?xu59h0;6?uQ20c892<59h1v?hl:180[4ak278:44>199>720=9820q~=98;296~;02h:01>86:0ga?xu4?<0;6?u27;;`?850>3;nn6srb6a94?5=83:p(>?8:0ge?M53n2c:=54?::k25<<722e:io4?::a6f`=83>1<7>t$234>06<@:>m7d?>8;29?l7613:17d?>a;29?j7bj3:17pl74;291?6=8r.8=:4>f59K71`5<5<279K71`5<53;294~"49>0?i6F<4g9j54>=831b=<750;9l5`d=831vn?j>:180>5<7s-9:;7?je:J00c=n9821<75f10;94?=h9lh1<75rb3f0>5<4290;w)=>7;3fa>N4n7E=;f:k25=<722c:=44?::m2ag<722wi?hj50;194?6|,:;<69k4H26e?l7603:17d?>9;29?j7bj3:17pl90;296?6=8r.8=:4>279K71`5<52z\0a<=:;lo1=hl4}r1:`?6=:rT85i5238f964g55z\0<5:oo64703ty59z\4f>;5k?09jn522b:96ce<5;ij6?hl;<:3>7`d34296?hl;<1:`?56>278>>4=fb9>3f<6mk1vi650;;xZa><5l33i70==3;;a?xu0n3:1?vP8f:?;4??d342?6328;j7p}=c483>6}Y:j?01?m9:8a897b628;37p}=c683>6}Y:j=01?m7:8a897b428;37p}=c883>6}Y:j301?mn:8a897b228;37p}<9583>7}Y;0>01>76:0ga?xu6:90;6>uQ132896?c2h:01><<:`28yv1b2908w06;:0ga?85f93;:463<99825<=z{:3<6=4={<1:=?76?278554>ec9~w0c=838p18k520c892e=9830q~7>52z?1gc<69016>i=51d`8yv4c<3:1>v3=cg825d=::m?1=hl4}r:3>5<5s42;6??n;<:7>47>3ty3>7>52z?;6?46i27387?>b:p775=838p1><<:33b?85bl3;:56s|2b494?4|5;i=6??n;<0g5?7612wx>n650;0x97e?2;;j70{t:jk1<777f348o97?>9:p7`e=838p1>kj:034?85bl3;nn6s|2b694?4|5;im61`7k:8a8964420i01>o>:0ga?x{e;1=1<7<50;2x 670288=7E=;f:k252<722e:io4?::a7=d=8381<7>t$234>4413A9?j6g>1683>>i6mk0;66sm37;94?5=83:p(>?8:5g8L62a3`;:47>5;h32=?6=3f;nn7>5;|`0<<<72:0;6=u+30595`c<@:>m7d?>8;29?l7613:17b?jb;29?xd4n;0;6>4?:1y'741=5<5<52;294~"49>0:>;5G35d8m4702900cd3ty85h4?:3y]77k;|q06>d349397=7c:?0<4<40j1v>kn:181[5bi2784<4vP056=;m80q~=l9;296~X4k0168=>53b;8yv5>>3:1>vP<979>7=2=;0>0q~=l7;296~X4k>168=>53c`8yv5>i3:1>vP<9`9>056=;0k0q~=lf;297~X4ko16?:k53bd896>32:im7p}<9g83>7}Y;0l01>6;:2;g?xu40l0;6?uQ39g896>32:2h7p}<9283>7}Y;0901>6;:2;0?xu4k10;6?uQ3b:891672:no7p}7}Y;lh01>6;:2g:?xu4l10;6?uQ3e:896>32:n=7p}7}Y;kl019>?:2`e?xu40?0;6?uQ394896>028oi7p}<8`83>7}Y;1k01>6m:0ga?xu4io0;6>uQ3`d891672:kn70=75;1ba>{t190;6?uQ919>7=2=0k1v4?50;4xZ<7<5:=n65h4=523>=`<5:2>65h4=25`>a><5:2:6i64}r1g4?6=:rT8h=5241297a66=4={_f6?85?<3hn7p}7}Y;h801>6;:2c1?xu4j90;6?uQ3c2896>32:kn7p}7}Y;l801>6;:2g2?xu4i<0;6?uQ3`7896>22:k>7p}7}Y;h=01>6;:2c4?xu4n90;69uQ3g28961b2:om70:?0;1fb>;40<08ik5rs2;6>5<5sW92963<8080=1=z{;o26=4={<634?4b1278;n4=e89~w7b12909w0:?0;0g2>;4?j09h;5rs010>5<5s49397?<3:?0<1<6;:1v<8n:181850m3;=m63<84822d=z{88<6=4={<1;1?75?278494>269~w7`02909w0=8e;0e3>;4>00:=55rs3df>5<5s4932=>01>66:03:?xu3>3:1>v3<84870>;4000:=55rs3g4>5<5s4>;<71v:l50;7x961b2>h019>?:6`896>22>h01>9l:9f896>62>h0q~=72;296~;40809o45239195`d52z?0<0<6>j16?5:517a8yv5603:1>v3<7b805==:;o81=<64}r12`?6=:r78;n4<1e9>73?=9830q~=i1;296~;4n;0:io52391954152z?745<5k=16?5?52b78yv73i3:1>v3<7d820d=:;1?1=9o4}r34=?6=:r78484>789>7=2=9h=0q~52z?0<2<69>16?5751d`8yv72n3:1>v3<84821c=:;1>1=;74}r001?6=:r78;h4=349>056=::?0q~=98;296~;40806=4={<14a?4e=27?<=4=b49~w4472909w0=8e;314>;4080:>=5rs9194?4|5:2>6:k4=2:2>=752z?0<0<61j16?5:51`48yv2d2909w0=75;6`?85?j3;:;6s|23c94?4|V;8j70:?0;01e>"5nk09>45a2gc94>{t:;21<7"5nk09>45a2gc96>{t::81<76*=fc816<=i:ok1?6s|22394?4|V;9:70:?0;005>"5nk09>45a2gc90>{t:::1<7"5nk09>45a2gc92>{t:;o1<7"5nk09>45a2gc9<>{t:;i1<7"5nk09>45a2gc9e>{t:;=1<77}Y?916?:k53c9'6cd=>o1e>ko50:p2`<72;qU:h5236g97<=#:oh1:k5a2gc95>{t>m0;6>uQ6e9>72c=;1168=>5399'6cd=>o1e>ko52:p2f<72:qU:n5236g973=:<9:1?;5+2g`92c=i:ok1?6s|6`83>6}Y>h16?:k5359>056=;=1/>kl56g9m6cg=<2wx:44?:2y]2<=:;>o1?>52412976=#:oh1:k5a2gc91>{t>10;6>uQ699>72c=;;168=>5339'6cd=>o1e>ko56:p22<72:qU::5236g974=:<9:1?<5+2g`92c=i:ok1;6s|6783>6}Y>?16?:k5319>056=;91/>kl56g9m6cg=02wx:84?:2y]20=:;>o1>k5241296c=#:oh1:k5a2gc9=>{t>=0;6>uQ659>72c=:l168=>52d9'6cd=>o1e>ko5a:p26<72:qU:>5236g96a=:<9:1>i5+2g`92c=i:ok1n6s|6383>6}Y>;16?:k52b9>056=:j1/>kl56g9m6cg=k2wx:<4?:2y]24=:;>o1>o5241296g=#:oh1:k5a2gc9`>{t?10;6>uQ799>72c=<:168=>5429'6cd=>o1e>ko5e:p32<72:qU;:5236g907=:<9:18?5+2g`92c=i:ok1j6s|7783>7}Y??168=>5409'6cd=>o1e>ko5119~w23=838pR:;4=523>16<,;li6;h4n3db>473:p37<72;qU;?5241297a=#:oh1:k5a2gc951=z{>;1<7;<7=:;%0ef?0a3g8mm7?9;|~yxFGKr;8j94k32gbfa?zHIHp + + + + + +]> + diff --git a/cpld/XC95144XL/MXSE.prj b/cpld/XC95144/MXSE.prj similarity index 100% rename from cpld/XC95144XL/MXSE.prj rename to cpld/XC95144/MXSE.prj diff --git a/cpld/XC95144/MXSE.rpt b/cpld/XC95144/MXSE.rpt new file mode 100644 index 0000000..86a906d --- /dev/null +++ b/cpld/XC95144/MXSE.rpt @@ -0,0 +1,1318 @@ + +cpldfit: version P.20131013 Xilinx Inc. + Fitter Report +Design Name: MXSE Date: 2- 7-2022, 0:19AM +Device Used: XC95144XL-10-TQ100 +Fitting Status: Successful + +************************* Mapped Resource Summary ************************** + +Macrocells Product Terms Function Block Registers Pins +Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot +105/144 ( 73%) 429 /720 ( 60%) 234/432 ( 54%) 80 /144 ( 56%) 67 /81 ( 83%) + +** Function Block Resources ** + +Function Mcells FB Inps Pterms IO +Block Used/Tot Used/Tot Used/Tot Used/Tot +FB1 18/18* 18/54 22/90 11/11* +FB2 3/18 2/54 2/90 8/10 +FB3 6/18 38/54 81/90 10/10* +FB4 11/18 36/54 81/90 10/10* +FB5 13/18 33/54 81/90 8/10 +FB6 18/18* 34/54 62/90 10/10* +FB7 18/18* 38/54 63/90 6/10 +FB8 18/18* 35/54 37/90 4/10 + ----- ----- ----- ----- + 105/144 234/432 429/720 67/81 + +* - Resource is exhausted + +** Global Control Resources ** + +Signal 'CLK2X_IOB' mapped onto global clock net GCK1. +Signal 'CLK_IOB' mapped onto global clock net GCK2. +Signal 'CLK_FSB' mapped onto global clock net GCK3. +Global output enable net(s) unused. +Global set/reset net(s) unused. + +** Pin Resources ** + +Signal Type Required Mapped | Pin Type Used Total +------------------------------------|------------------------------------ +Input : 32 32 | I/O : 61 73 +Output : 32 32 | GCK/IO : 3 3 +Bidirectional : 0 0 | GTS/IO : 3 4 +GCK : 3 3 | GSR/IO : 0 1 +GTS : 0 0 | +GSR : 0 0 | + ---- ---- + Total 67 67 + +** Power Data ** + +There are 105 macrocells in high performance mode (MCHP). +There are 0 macrocells in low power mode (MCLP). +End of Mapped Resource Summary + ************************** Errors and Warnings *************************** + +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'MXSE.ise'. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC + constraint 'P22'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC + constraint 'P23'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. + ************************* Summary of Mapped Logic ************************ + +** 32 Outputs ** + +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 22 33 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 1 0 FB4_2 87 I/O O STD FAST +nDoutOE 2 4 FB4_5 89 I/O O STD FAST RESET +nDinOE 2 6 FB4_6 90 I/O O STD FAST +nBERR_FSB 3 9 FB4_9 92 I/O O STD FAST +nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 2 9 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 2 4 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 2 3 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 1 1 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +RA<11> 1 1 FB8_2 63 I/O O STD FAST +nRAS 3 8 FB8_5 64 I/O O STD FAST +nRAMLWE 1 5 FB8_6 65 I/O O STD FAST +nRAMUWE 1 5 FB8_8 66 I/O O STD FAST + +** 73 Buried Nodes ** + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/VPArr 1 1 FB1_1 STD RESET +iobm/VPArf 1 1 FB1_2 STD RESET +iobm/RESrr 1 1 FB1_3 STD RESET +iobm/RESrf 1 1 FB1_4 STD RESET +iobm/IOREQr 1 1 FB1_5 STD RESET +iobm/Er2 1 1 FB1_6 STD RESET +iobm/DTACKrr 1 1 FB1_7 STD RESET +iobm/DTACKrf 1 1 FB1_8 STD RESET +iobm/BERRrr 1 1 FB1_9 STD RESET +iobm/BERRrf 1 1 FB1_10 STD RESET +fsb/ASrf 1 1 FB1_11 STD RESET +cnt/RefCnt<1> 1 1 FB1_12 STD RESET +RefAck 1 2 FB1_13 STD RESET +$OpTx$$OpTx$FX_DC$355_INV$439 1 2 FB1_14 STD +iobs/IOU1 2 2 FB1_15 STD RESET +iobs/IOL1 2 2 FB1_16 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB1_17 STD RESET +iobm/IOS_FSM_FFd1 2 4 FB1_18 STD RESET +iobs/IOACTr 1 1 FB2_16 STD RESET +iobm/Er 1 1 FB2_17 STD RESET +cnt/RefCnt<0> 0 0 FB2_18 STD RESET +fsb/VPA 25 31 FB3_2 STD RESET +fsb/Ready1r 7 17 FB3_5 STD RESET +fsb/Ready2r 9 22 FB3_14 STD RESET +iobs/Once 17 18 FB3_16 STD RESET +ram/RAMDIS1 18 15 FB4_3 STD RESET +ram/RAMReady 16 15 FB4_8 STD RESET +fsb/BERR0r 3 8 FB4_10 STD RESET +iobs/Load1 14 18 FB4_12 STD RESET +iobs/Clear1 1 3 FB4_14 STD RESET +ram/RASEL 20 15 FB4_16 STD RESET +iobs/PS_FSM_FFd2 14 19 FB5_4 STD RESET +iobs/IORW1 16 19 FB5_8 STD RESET +IOREQ 14 19 FB5_13 STD RESET +ram/Once 5 10 FB5_16 STD RESET +IORW0 18 20 FB5_18 STD RESET +iobm/ETACK 1 6 FB6_1 STD RESET +ALE0M 2 5 FB6_3 STD RESET +iobm/ES<3> 3 6 FB6_4 STD RESET +iobm/ES<1> 3 4 FB6_5 STD RESET + +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobm/ES<0> 3 7 FB6_6 STD RESET +iobm/IOS_FSM_FFd4 4 6 FB6_7 STD RESET +iobm/ES<4> 4 7 FB6_8 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB6_10 STD RESET +iobm/ES<2> 5 7 FB6_13 STD RESET +IOACT 6 13 FB6_16 STD RESET +IOBERR 9 14 FB6_18 STD RESET +ram/RS_FSM_FFd2 13 14 FB7_1 STD RESET +cnt/TimeoutBPre 3 11 FB7_3 STD RESET +cnt/RefCnt<4> 1 4 FB7_4 STD RESET +fsb/Ready0r 3 8 FB7_7 STD RESET +cs/nOverlay0 3 8 FB7_10 STD RESET +TimeoutB 3 12 FB7_12 STD RESET +cnt/RefCnt<5> 1 5 FB7_13 STD RESET +ram/RS_FSM_FFd1 5 10 FB7_14 STD RESET +ram/RAMDIS2 7 15 FB7_15 STD RESET +ram/RS_FSM_FFd3 11 14 FB7_16 STD RESET +cnt/RefCnt<7> 1 7 FB7_17 STD RESET +cnt/RefCnt<6> 1 6 FB7_18 STD RESET +ram/BACTr 1 2 FB8_1 STD RESET +cnt/RefCnt<3> 1 3 FB8_3 STD RESET +cnt/RefCnt<2> 1 2 FB8_4 STD RESET +ALE0S 1 2 FB8_7 STD RESET +iobs/PS_FSM_FFd1 2 3 FB8_9 STD RESET +fsb/BERR1r 2 4 FB8_10 STD RESET +cs/nOverlay1 2 3 FB8_11 STD RESET +cnt/RefDone 2 10 FB8_12 STD RESET +$OpTx$FX_DC$360 2 2 FB8_13 STD +TimeoutA 3 10 FB8_14 STD RESET +IOU0 3 5 FB8_15 STD RESET +IOL0 3 5 FB8_16 STD RESET +iobs/IOReady 4 8 FB8_17 STD RESET +BERR_IOBS 4 8 FB8_18 STD RESET + +** 35 Inputs ** + +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +CLK2X_IOB FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +CLK_IOB FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E_IOB FB3_6 25 I/O I +CLK_FSB FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nRES FB4_8 91 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I + +Legend: +Pin No. - ~ - User Assigned + ************************** Function Block Details ************************ +Legend: +Total Pt - Total product terms used by the macrocell signal +Imp Pt - Product terms imported from other macrocells +Exp Pt - Product terms exported to other macrocells + in direction shown +Unused Pt - Unused local product terms remaining in macrocell +Loc - Location where logic was mapped in device +Pin Type/Use - I - Input GCK - Global Clock + O - Output GTS - Global Output Enable + (b) - Buried macrocell GSR - Global Set/Reset +X - Signal used as input to the macrocell logic. +Pin No. - ~ - User Assigned + *********************************** FB1 *********************************** +Number of function block inputs used/remaining: 18/36 +Number of signals used by logic mapping into function block: 18 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/VPArr 1 0 0 4 FB1_1 (b) (b) +iobm/VPArf 1 0 0 4 FB1_2 11 I/O I +iobm/RESrr 1 0 0 4 FB1_3 12 I/O I +iobm/RESrf 1 0 0 4 FB1_4 (b) (b) +iobm/IOREQr 1 0 0 4 FB1_5 13 I/O I +iobm/Er2 1 0 0 4 FB1_6 14 I/O I +iobm/DTACKrr 1 0 0 4 FB1_7 (b) (b) +iobm/DTACKrf 1 0 0 4 FB1_8 15 I/O I +iobm/BERRrr 1 0 0 4 FB1_9 16 I/O I +iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) +fsb/ASrf 1 0 0 4 FB1_11 17 I/O I +cnt/RefCnt<1> 1 0 0 4 FB1_12 18 I/O I +RefAck 1 0 0 4 FB1_13 (b) (b) +$OpTx$$OpTx$FX_DC$355_INV$439 + 1 0 0 4 FB1_14 19 I/O I +iobs/IOU1 2 0 0 3 FB1_15 20 I/O I +iobs/IOL1 2 0 0 3 FB1_16 (b) (b) +iobm/IOS_FSM_FFd2 2 0 0 3 FB1_17 22 GCK/I/O GCK +iobm/IOS_FSM_FFd1 2 0 0 3 FB1_18 (b) (b) + +Signals Used by Logic in Function Block + 1: IOREQ 7: iobm/IOS_FSM_FFd3 13: nLDS_FSB + 2: cnt/RefCnt<0> 8: iobm/IOS_FSM_FFd4 14: nRES + 3: fsb/ASrf 9: iobs/Load1 15: nUDS_FSB + 4: iobm/Er 10: nAS_FSB 16: nVPA_IOB + 5: iobm/IOS_FSM_FFd1 11: nBERR_IOB 17: ram/RS_FSM_FFd1 + 6: iobm/IOS_FSM_FFd2 12: nDTACK_IOB 18: ram/RS_FSM_FFd2 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/VPArr ...............X........................ 1 +iobm/VPArf ...............X........................ 1 +iobm/RESrr .............X.......................... 1 +iobm/RESrf .............X.......................... 1 +iobm/IOREQr X....................................... 1 +iobm/Er2 ...X.................................... 1 +iobm/DTACKrr ...........X............................ 1 +iobm/DTACKrf ...........X............................ 1 +iobm/BERRrr ..........X............................. 1 +iobm/BERRrf ..........X............................. 1 +fsb/ASrf .........X.............................. 1 +cnt/RefCnt<1> .X...................................... 1 +RefAck ................XX...................... 2 +$OpTx$$OpTx$FX_DC$355_INV$439 + ..X......X.............................. 2 +iobs/IOU1 ........X.....X......................... 2 +iobs/IOL1 ........X...X........................... 2 +iobm/IOS_FSM_FFd2 ....XXXX................................ 4 +iobm/IOS_FSM_FFd1 ....XXXX................................ 4 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB2 *********************************** +Number of function block inputs used/remaining: 2/52 +Number of signals used by logic mapping into function block: 2 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB2_1 (b) +(unused) 0 0 0 5 FB2_2 99 GSR/I/O +(unused) 0 0 0 5 FB2_3 (b) +(unused) 0 0 0 5 FB2_4 (b) +(unused) 0 0 0 5 FB2_5 1 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I +(unused) 0 0 0 5 FB2_7 (b) +(unused) 0 0 0 5 FB2_8 3 GTS/I/O I +(unused) 0 0 0 5 FB2_9 4 GTS/I/O I +(unused) 0 0 0 5 FB2_10 (b) +(unused) 0 0 0 5 FB2_11 6 I/O I +(unused) 0 0 0 5 FB2_12 7 I/O I +(unused) 0 0 0 5 FB2_13 (b) +(unused) 0 0 0 5 FB2_14 8 I/O I +(unused) 0 0 0 5 FB2_15 9 I/O I +iobs/IOACTr 1 0 0 4 FB2_16 (b) (b) +iobm/Er 1 0 0 4 FB2_17 10 I/O I +cnt/RefCnt<0> 0 0 0 5 FB2_18 (b) (b) + +Signals Used by Logic in Function Block + 1: E_IOB 2: IOACT + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobs/IOACTr .X...................................... 1 +iobm/Er X....................................... 1 +cnt/RefCnt<0> ........................................ 0 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB3 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 \/5 0 FB3_1 (b) (b) +fsb/VPA 25 20<- 0 0 FB3_2 23 GCK/I/O GCK/I +(unused) 0 0 /\5 0 FB3_3 (b) (b) +(unused) 0 0 /\5 0 FB3_4 (b) (b) +fsb/Ready1r 7 2<- 0 0 FB3_5 24 I/O I +(unused) 0 0 /\2 3 FB3_6 25 I/O I +(unused) 0 0 \/5 0 FB3_7 (b) (b) +(unused) 0 0 \/5 0 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 22 17<- 0 0 FB3_9 28 I/O O +(unused) 0 0 /\5 0 FB3_10 (b) (b) +(unused) 0 0 /\2 3 FB3_11 29 I/O I +(unused) 0 0 \/2 3 FB3_12 30 I/O I +(unused) 0 0 \/5 0 FB3_13 (b) (b) +fsb/Ready2r 9 7<- \/3 0 FB3_14 32 I/O I +(unused) 0 0 \/5 0 FB3_15 33 I/O I +iobs/Once 17 12<- 0 0 FB3_16 (b) (b) +nROMWE 1 0 /\4 0 FB3_17 34 I/O O +(unused) 0 0 \/5 0 FB3_18 (b) (b) + +Signals Used by Logic in Function Block + 1: $OpTx$$OpTx$FX_DC$355_INV$439 14: A_FSB<21> 27: fsb/Ready1r + 2: $OpTx$FX_DC$360 15: A_FSB<22> 28: fsb/Ready2r + 3: A_FSB<10> 16: A_FSB<23> 29: fsb/VPA + 4: A_FSB<11> 17: A_FSB<8> 30: iobs/IOReady + 5: A_FSB<12> 18: A_FSB<9> 31: iobs/Once + 6: A_FSB<13> 19: BERR_IOBS 32: iobs/PS_FSM_FFd1 + 7: A_FSB<14> 20: TimeoutA 33: iobs/PS_FSM_FFd2 + 8: A_FSB<15> 21: TimeoutB 34: nADoutLE1 + 9: A_FSB<16> 22: cs/nOverlay1 35: nAS_FSB + 10: A_FSB<17> 23: fsb/ASrf 36: nDTACK_FSB + 11: A_FSB<18> 24: fsb/BERR0r 37: nWE_FSB + 12: A_FSB<19> 25: fsb/BERR1r 38: ram/RAMReady + 13: A_FSB<20> 26: fsb/Ready0r + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +fsb/VPA X.XXXXXXXXXXXXXXXXXXXX.XXXXXXX...X..XX.. 31 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X..X...XX.X... 17 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXXXXXXXXXX.X...XXXXX.. 33 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X......X.X... 22 +iobs/Once .....XX.XXXXXXXX.....XX.......XXXXX.X... 18 +nROMWE ..................................X.X... 2 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB4 *********************************** +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 0 5 FB4_1 (b) (b) +nAoutOE 1 1<- \/5 0 FB4_2 87 I/O O +ram/RAMDIS1 18 13<- 0 0 FB4_3 (b) (b) +(unused) 0 0 /\5 0 FB4_4 (b) (b) +nDoutOE 2 0 /\3 0 FB4_5 89 I/O O +nDinOE 2 0 \/3 0 FB4_6 90 I/O O +(unused) 0 0 \/5 0 FB4_7 (b) (b) +ram/RAMReady 16 11<- 0 0 FB4_8 91 I/O I +nBERR_FSB 3 1<- /\3 0 FB4_9 92 I/O O +fsb/BERR0r 3 0 /\1 1 FB4_10 (b) (b) +nVPA_FSB 1 0 \/4 0 FB4_11 93 I/O O +iobs/Load1 14 9<- 0 0 FB4_12 94 I/O I +(unused) 0 0 /\5 0 FB4_13 (b) (b) +iobs/Clear1 1 0 \/4 0 FB4_14 95 I/O I +(unused) 0 0 \/5 0 FB4_15 96 I/O I +ram/RASEL 20 15<- 0 0 FB4_16 (b) (b) +(unused) 0 0 /\5 0 FB4_17 97 I/O I +(unused) 0 0 /\1 4 FB4_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<13> 13: TimeoutB 25: iobm/IOS_FSM_FFd4 + 2: A_FSB<14> 14: cnt/RefCnt<5> 26: iobs/Once + 3: A_FSB<16> 15: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 + 4: A_FSB<17> 16: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 + 5: A_FSB<18> 17: cnt/RefDone 29: nADoutLE1 + 6: A_FSB<19> 18: cs/nOverlay1 30: nAS_FSB + 7: A_FSB<20> 19: fsb/ASrf 31: nWE_FSB + 8: A_FSB<21> 20: fsb/BERR0r 32: ram/BACTr + 9: A_FSB<22> 21: fsb/BERR1r 33: ram/Once + 10: A_FSB<23> 22: fsb/VPA 34: ram/RS_FSM_FFd1 + 11: BERR_IOBS 23: iobm/IOS_FSM_FFd2 35: ram/RS_FSM_FFd2 + 12: IORW0 24: iobm/IOS_FSM_FFd3 36: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nAoutOE ........................................ 0 +ram/RAMDIS1 .......XXX...XXXXXX..........X.XXXXX.... 15 +nDoutOE ...........X..........XXX............... 4 +nDinOE ......XXXX...................XX......... 6 +ram/RAMReady .......XXX...XXXXXX..........X.XXXXX.... 15 +nBERR_FSB ......XXXXX.X......XX........X.......... 9 +fsb/BERR0r ......XXXX..X.....XX.........X.......... 8 +nVPA_FSB .....................X.......X.......... 2 +iobs/Load1 XXXXXXXXXX.......XX......XXXXXX......... 18 +iobs/Clear1 ..........................XXX........... 3 +ram/RASEL .......XXX...XXXXXX..........X.XXXXX.... 15 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB5 *********************************** +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 /\3 0 FB5_2 35 I/O O +(unused) 0 0 \/5 0 FB5_3 (b) (b) +iobs/PS_FSM_FFd2 14 9<- 0 0 FB5_4 (b) (b) +nCAS 1 0 /\4 0 FB5_5 36 I/O O +nOE 1 0 \/1 3 FB5_6 37 I/O O +(unused) 0 0 \/5 0 FB5_7 (b) (b) +iobs/IORW1 16 11<- 0 0 FB5_8 39 I/O (b) +RA<4> 2 2<- /\5 0 FB5_9 40 I/O O +(unused) 0 0 /\2 3 FB5_10 (b) (b) +RA<3> 2 0 \/2 1 FB5_11 41 I/O O +RA<5> 2 2<- \/5 0 FB5_12 42 I/O O +IOREQ 14 9<- 0 0 FB5_13 (b) (b) +RA<2> 2 1<- /\4 0 FB5_14 43 I/O O +RA<6> 2 0 /\1 2 FB5_15 46 I/O O +ram/Once 5 0 0 0 FB5_16 (b) (b) +(unused) 0 0 \/5 0 FB5_17 49 I/O (b) +IORW0 18 13<- 0 0 FB5_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<12> 12: A_FSB<23> 23: iobs/Once + 2: A_FSB<13> 13: A_FSB<3> 24: iobs/PS_FSM_FFd1 + 3: A_FSB<14> 14: A_FSB<4> 25: iobs/PS_FSM_FFd2 + 4: A_FSB<15> 15: A_FSB<5> 26: nADoutLE1 + 5: A_FSB<16> 16: A_FSB<6> 27: nAS_FSB + 6: A_FSB<17> 17: A_FSB<7> 28: nWE_FSB + 7: A_FSB<18> 18: IORW0 29: ram/Once + 8: A_FSB<19> 19: cs/nOverlay1 30: ram/RASEL + 9: A_FSB<20> 20: fsb/ASrf 31: ram/RS_FSM_FFd1 + 10: A_FSB<21> 21: iobs/IOACTr 32: ram/RS_FSM_FFd2 + 11: A_FSB<22> 22: iobs/IORW1 33: ram/RS_FSM_FFd3 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +nROMCS ........XXXX......X..................... 5 +iobs/PS_FSM_FFd2 .XX.XXXXXXXX......XXX.XXXXXX............ 19 +nCAS .............................X.......... 1 +nOE ..........................XX............ 2 +iobs/IORW1 .XX.XXXXXXXX......XX.XXXXXXX............ 19 +RA<4> ..X...........X..............X.......... 3 +RA<3> .X...........X...............X.......... 3 +RA<5> ...X...........X.............X.......... 3 +IOREQ .XX.XXXXXXXX......XXX.XXXXXX............ 19 +RA<2> X...........X................X.......... 3 +RA<6> ....X...........X............X.......... 3 +ram/Once .........XXX......XX......X.X.XXX....... 10 +IORW0 .XX.XXXXXXXX.....XXX.XXXXXXX............ 20 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB6 *********************************** +Number of function block inputs used/remaining: 34/20 +Number of signals used by logic mapping into function block: 34 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +iobm/ETACK 1 0 /\1 3 FB6_1 (b) (b) +nVMA_IOB 2 0 0 3 FB6_2 74 I/O O +ALE0M 2 0 0 3 FB6_3 (b) (b) +iobm/ES<3> 3 0 0 2 FB6_4 (b) (b) +iobm/ES<1> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<0> 3 0 0 2 FB6_6 77 I/O I +iobm/IOS_FSM_FFd4 4 0 0 1 FB6_7 (b) (b) +iobm/ES<4> 4 0 0 1 FB6_8 78 I/O I +nLDS_IOB 4 0 0 1 FB6_9 79 I/O O +iobm/IOS_FSM_FFd3 5 0 0 0 FB6_10 (b) (b) +nUDS_IOB 4 0 0 1 FB6_11 80 I/O O +nAS_IOB 2 0 0 3 FB6_12 81 I/O O +iobm/ES<2> 5 0 0 0 FB6_13 (b) (b) +nADoutLE1 2 0 0 3 FB6_14 82 I/O O +nADoutLE0 1 0 \/1 3 FB6_15 85 I/O O +IOACT 6 1<- 0 0 FB6_16 (b) (b) +nDinLE 2 0 \/3 0 FB6_17 86 I/O O +IOBERR 9 4<- 0 0 FB6_18 (b) (b) + +Signals Used by Logic in Function Block + 1: ALE0M 13: iobm/ES<0> 24: iobm/IOS_FSM_FFd3 + 2: ALE0S 14: iobm/ES<1> 25: iobm/IOS_FSM_FFd4 + 3: CLK_IOB 15: iobm/ES<2> 26: iobm/RESrf + 4: IOACT 16: iobm/ES<3> 27: iobm/RESrr + 5: IOBERR 17: iobm/ES<4> 28: iobm/VPArf + 6: IOL0 18: iobm/ETACK 29: iobm/VPArr + 7: IORW0 19: iobm/Er 30: iobs/Clear1 + 8: IOU0 20: iobm/Er2 31: iobs/Load1 + 9: iobm/BERRrf 21: iobm/IOREQr 32: nADoutLE1 + 10: iobm/BERRrr 22: iobm/IOS_FSM_FFd1 33: nBERR_IOB + 11: iobm/DTACKrf 23: iobm/IOS_FSM_FFd2 34: nVMA_IOB + 12: iobm/DTACKrr + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +iobm/ETACK ............XXXXX................X...... 6 +nVMA_IOB ...X........XXXXX..........XX....X...... 9 +ALE0M ....................XXXXX............... 5 +iobm/ES<3> ............XXXX..XX.................... 6 +iobm/ES<1> ............XX....XX.................... 4 +iobm/ES<0> ............XXXXX.XX.................... 7 +iobm/IOS_FSM_FFd4 ..X.................XXXXX............... 6 +iobm/ES<4> ............XXXXX.XX.................... 7 +nLDS_IOB .....XX..............XXXX............... 6 +iobm/IOS_FSM_FFd3 ..X.....XXXX.....X.....XXXX............. 10 +nUDS_IOB ......XX.............XXXX............... 6 +nAS_IOB .....................XXXX............... 4 +iobm/ES<2> ............XXXXX.XX.................... 7 +nADoutLE1 .............................XXX........ 3 +nADoutLE0 XX...................................... 2 +IOACT ..X.....XXXX.....X..XXXXXXX............. 13 +nDinLE .....................X.XX............... 3 +IOBERR ..X.X...XXXX.....X...XXXXXX.....X....... 14 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB7 *********************************** +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/RS_FSM_FFd2 13 8<- 0 0 FB7_1 (b) (b) +RA<1> 2 1<- /\4 0 FB7_2 50 I/O O +cnt/TimeoutBPre 3 0 /\1 1 FB7_3 (b) (b) +cnt/RefCnt<4> 1 0 0 4 FB7_4 (b) (b) +RA<7> 2 0 0 3 FB7_5 52 I/O O +RA<0> 2 0 0 3 FB7_6 53 I/O O +fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) +RA<8> 2 0 0 3 FB7_8 54 I/O O +RA<10> 1 0 0 4 FB7_9 55 I/O O +cs/nOverlay0 3 0 0 2 FB7_10 (b) (b) +RA<9> 2 0 0 3 FB7_11 56 I/O O +TimeoutB 3 0 0 2 FB7_12 58 I/O (b) +cnt/RefCnt<5> 1 0 \/4 0 FB7_13 (b) (b) +ram/RS_FSM_FFd1 5 4<- \/4 0 FB7_14 59 I/O (b) +ram/RAMDIS2 7 4<- \/2 0 FB7_15 60 I/O (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB7_16 (b) (b) +cnt/RefCnt<7> 1 0 /\4 0 FB7_17 61 I/O (b) +cnt/RefCnt<6> 1 0 \/4 0 FB7_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<10> 14: TimeoutB 27: fsb/ASrf + 2: A_FSB<11> 15: cnt/RefCnt<0> 28: fsb/Ready0r + 3: A_FSB<17> 16: cnt/RefCnt<1> 29: nAS_FSB + 4: A_FSB<18> 17: cnt/RefCnt<2> 30: nRES + 5: A_FSB<19> 18: cnt/RefCnt<3> 31: ram/BACTr + 6: A_FSB<1> 19: cnt/RefCnt<4> 32: ram/Once + 7: A_FSB<20> 20: cnt/RefCnt<5> 33: ram/RAMDIS2 + 8: A_FSB<21> 21: cnt/RefCnt<6> 34: ram/RAMReady + 9: A_FSB<22> 22: cnt/RefCnt<7> 35: ram/RASEL + 10: A_FSB<23> 23: cnt/RefDone 36: ram/RS_FSM_FFd1 + 11: A_FSB<2> 24: cnt/TimeoutBPre 37: ram/RS_FSM_FFd2 + 12: A_FSB<8> 25: cs/nOverlay0 38: ram/RS_FSM_FFd3 + 13: A_FSB<9> 26: cs/nOverlay1 + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/RS_FSM_FFd2 .......XXX.........XXXX..XX.X.X....XXX.. 14 +RA<1> .X........X.......................X..... 3 +cnt/TimeoutBPre ..............XXXXXXXX.X..X.X........... 11 +cnt/RefCnt<4> ..............XXXX...................... 4 +RA<7> ..X........X......................X..... 3 +RA<0> X....X............................X..... 3 +fsb/Ready0r .......XXX...............XXXX....X...... 8 +RA<8> ...X........X.....................X..... 3 +RA<10> .......X................................ 1 +cs/nOverlay0 ......XXXX..............X.X.XX.......... 8 +RA<9> ....X.X...........................X..... 3 +TimeoutB .............XXXXXXXXX.X..X.X........... 12 +cnt/RefCnt<5> ..............XXXXX..................... 5 +ram/RS_FSM_FFd1 .......XXX...............XX.X..X...XXX.. 10 +ram/RAMDIS2 .......XXX.........XXXX..XX.X..XX..XXX.. 15 +ram/RS_FSM_FFd3 .......XXX.........XXXX..XX.X..X...XXX.. 14 +cnt/RefCnt<7> ..............XXXXXXX................... 7 +cnt/RefCnt<6> ..............XXXXXX.................... 6 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + *********************************** FB8 *********************************** +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 +Signal Total Imp Exp Unused Loc Pin Pin Pin +Name Pt Pt Pt Pt # Type Use +ram/BACTr 1 0 0 4 FB8_1 (b) (b) +RA<11> 1 0 0 4 FB8_2 63 I/O O +cnt/RefCnt<3> 1 0 0 4 FB8_3 (b) (b) +cnt/RefCnt<2> 1 0 0 4 FB8_4 (b) (b) +nRAS 3 0 0 2 FB8_5 64 I/O O +nRAMLWE 1 0 0 4 FB8_6 65 I/O O +ALE0S 1 0 0 4 FB8_7 (b) (b) +nRAMUWE 1 0 0 4 FB8_8 66 I/O O +iobs/PS_FSM_FFd1 2 0 0 3 FB8_9 67 I/O (b) +fsb/BERR1r 2 0 0 3 FB8_10 (b) (b) +cs/nOverlay1 2 0 0 3 FB8_11 68 I/O (b) +cnt/RefDone 2 0 0 3 FB8_12 70 I/O (b) +$OpTx$FX_DC$360 2 0 0 3 FB8_13 (b) (b) +TimeoutA 3 0 0 2 FB8_14 71 I/O (b) +IOU0 3 0 0 2 FB8_15 72 I/O (b) +IOL0 3 0 0 2 FB8_16 (b) (b) +iobs/IOReady 4 0 0 1 FB8_17 73 I/O (b) +BERR_IOBS 4 0 0 1 FB8_18 (b) (b) + +Signals Used by Logic in Function Block + 1: A_FSB<19> 13: cnt/RefCnt<4> 25: iobs/IOU1 + 2: A_FSB<21> 14: cnt/RefCnt<5> 26: iobs/Once + 3: A_FSB<22> 15: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 + 4: A_FSB<23> 16: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 + 5: BERR_IOBS 17: cnt/RefDone 29: nADoutLE1 + 6: IOBERR 18: cs/nOverlay0 30: nAS_FSB + 7: RefAck 19: cs/nOverlay1 31: nLDS_FSB + 8: TimeoutA 20: fsb/ASrf 32: nUDS_FSB + 9: cnt/RefCnt<0> 21: fsb/BERR1r 33: nWE_FSB + 10: cnt/RefCnt<1> 22: iobs/IOACTr 34: ram/RAMDIS1 + 11: cnt/RefCnt<2> 23: iobs/IOL1 35: ram/RAMDIS2 + 12: cnt/RefCnt<3> 24: iobs/IOReady + +Signal 1 2 3 4 FB +Name 0----+----0----+----0----+----0----+----0 Inputs +ram/BACTr ...................X.........X.......... 2 +RA<11> X....................................... 1 +cnt/RefCnt<3> ........XXX............................. 3 +cnt/RefCnt<2> ........XX.............................. 2 +nRAS .XXX..X...........X..........X...XX..... 8 +nRAMLWE .............................XX.XXX..... 5 +ALE0S ..........................XX............ 2 +nRAMUWE .............................X.XXXX..... 5 +iobs/PS_FSM_FFd1 .....................X....XX............ 3 +fsb/BERR1r ....X..............XX........X.......... 4 +cs/nOverlay1 .................X.X.........X.......... 3 +cnt/RefDone ......X.XXXXXXXXX....................... 10 +$OpTx$FX_DC$360 ..X...............X..................... 2 +TimeoutA .......XXXXXXXX....X.........X.......... 10 +IOU0 ........................X.XXX..X........ 5 +IOL0 ......................X...XXX.X......... 5 +iobs/IOReady .....X.............X.X.X.X.XXX.......... 8 +BERR_IOBS ....XX.............X.X...X.XXX.......... 8 + 0----+----1----+----2----+----3----+----4 + 0 0 0 0 + ******************************* Equations ******************************** + +********** Mapped Logic ********** + + +$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); + + +$OpTx$FX_DC$360 <= NOT (A_FSB(22) + XOR +$OpTx$FX_DC$360 <= NOT (cs/nOverlay1); + +FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); + +FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); +ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); +BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1)); + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + +FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); +IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/RESrf AND iobm/RESrr) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/ETACK) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND CLK_IOB AND + IOBERR AND iobm/BERRrf AND iobm/BERRrr) + OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND CLK_IOB AND NOT IOBERR AND iobm/RESrf AND iobm/RESrr)); + +FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); +IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) + OR (iobs/IOL1 AND NOT nADoutLE1)); +IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + +FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); +IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1)); + +FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); +IORW0_T <= ((nROMCS_OBUF.EXP) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND + NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND + NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + fsb/ASrf AND nADoutLE1) + OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND + NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); + +FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); +IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) + OR (iobs/IOU1 AND NOT nADoutLE1)); +IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); + + +RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(1))); + + +RA(1) <= ((ram/RASEL AND A_FSB(2)) + OR (A_FSB(11) AND NOT ram/RASEL)); + + +RA(2) <= ((ram/RASEL AND A_FSB(3)) + OR (A_FSB(12) AND NOT ram/RASEL)); + + +RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(4))); + + +RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(5))); + + +RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(6))); + + +RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(7) <= ((A_FSB(8) AND ram/RASEL) + OR (A_FSB(17) AND NOT ram/RASEL)); + + +RA(8) <= ((A_FSB(9) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + + +RA(10) <= A_FSB(21); + + +RA(11) <= A_FSB(19); + +FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); +RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); + +FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); +TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) + OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND fsb/ASrf)); + +FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); +TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND + NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND + NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); + +FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); +cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); + +FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); +cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); + +FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); +cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3)); + +FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); +cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND + cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); +cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND + cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); +cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND + cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); + +FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); +cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) + OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND + NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND + NOT cnt/RefCnt(7))); + +FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); +cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND + NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND + NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) + OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND + NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND + NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); + +FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); +cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND NOT nAS_FSB) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay0 AND fsb/ASrf)); + +FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); +cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); + +FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); + +FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); +fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND + NOT fsb/BERR0r)); + +FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); +fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) + OR (nAS_FSB AND NOT fsb/ASrf)); + +FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); +fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND NOT ram/RAMReady) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); + +FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); +fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + NOT iobs/IOReady) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND NOT iobs/IOReady)); + +FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); +fsb/Ready2r_D <= ((EXP18_.EXP) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND + A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) + OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND + NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND + A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + +FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); +fsb/VPA_D <= ((EXP21_.EXP) + OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (EXP12_.EXP) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (BERR_IOBS AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR0r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR1r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439)); + +FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); + +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); + +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); +iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) + OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) + OR (NOT iobm/Er AND iobm/Er2)); + +FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); +iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) + OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) + OR (NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) + OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); + +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); + +FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); +iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND + iobm/ES(3) AND NOT iobm/Er2) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4))); + +FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); +iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND + NOT iobm/ES(3) AND iobm/ES(4)); + +FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); + +FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2)); + +FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); + +FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND + iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr) + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr)); + +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); + +FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); + +FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); + +FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); +iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); + +FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); + +FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); +iobs/IORW1_T <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (nOE_OBUF.EXP) + OR (NOT nWE_FSB AND NOT iobs/IORW1) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nWE_FSB AND iobs/IORW1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); + +FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); +iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); + +FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); + +FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); +iobs/Load1_D <= ((iobs/Once) + OR (NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1) + OR (NOT A_FSB(23) AND NOT A_FSB(20)) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); + +FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); +iobs/Once_D <= ((fsb/Ready2r.EXP) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) + OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); + +FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) + OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); + +FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); +iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND + cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + iobs/IOACTr) + OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND + NOT iobs/IOACTr) + OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/PS_FSM_FFd1 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1)); + + +nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); + +FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); +nADoutLE1_D <= ((iobs/Load1) + OR (NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); +nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1)); + diff --git a/cpld/XC95144XL/MXSE.stx b/cpld/XC95144/MXSE.stx similarity index 100% rename from cpld/XC95144XL/MXSE.stx rename to cpld/XC95144/MXSE.stx diff --git a/cpld/XC95144XL/MXSE.syr b/cpld/XC95144/MXSE.syr similarity index 92% rename from cpld/XC95144XL/MXSE.syr rename to cpld/XC95144/MXSE.syr index 4a8f658..fad0dbe 100644 --- a/cpld/XC95144XL/MXSE.syr +++ b/cpld/XC95144/MXSE.syr @@ -3,14 +3,14 @@ Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. --> Parameter TMPDIR set to xst/projnav.tmp -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.36 secs +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.09 secs --> Parameter xsthdpdir set to xst -Total REAL time to Xst completion: 0.00 secs -Total CPU time to Xst completion: 0.36 secs +Total REAL time to Xst completion: 1.00 secs +Total CPU time to Xst completion: 0.09 secs --> Reading design: MXSE.prj @@ -219,7 +219,7 @@ Synthesizing Unit . | States | 8 | | Transitions | 15 | | Inputs | 6 | - | Outputs | 9 | + | Outputs | 8 | | Clock | C16M (rising_edge) | | Power Up State | 000 | | Encoding | automatic | @@ -303,18 +303,18 @@ Macro Statistics ========================================================================= Analyzing FSM for best encoding. -Optimizing FSM on signal with one-hot encoding. +Optimizing FSM on signal with johnson encoding. ------------------- State | Encoding ------------------- - 000 | 00000001 - 001 | 00000010 - 010 | 00000100 - 011 | 00001000 - 100 | 00010000 - 101 | 00100000 - 110 | 01000000 - 111 | 10000000 + 000 | 0000 + 001 | 0001 + 010 | 0011 + 011 | 0111 + 100 | 1111 + 101 | 1110 + 110 | 1100 + 111 | 1000 ------------------- Analyzing FSM for best encoding. Optimizing FSM on signal with johnson encoding. @@ -385,16 +385,12 @@ Optimizing unit ... implementation constraint: INIT=r : ASrf Optimizing unit ... - implementation constraint: INIT=r : ETACK implementation constraint: INIT=r : IOREQr + implementation constraint: INIT=r : ETACK implementation constraint: INIT=r : IOS_FSM_FFd1 implementation constraint: INIT=r : IOS_FSM_FFd2 implementation constraint: INIT=r : IOS_FSM_FFd3 implementation constraint: INIT=r : IOS_FSM_FFd4 - implementation constraint: INIT=r : IOS_FSM_FFd5 - implementation constraint: INIT=r : IOS_FSM_FFd6 - implementation constraint: INIT=r : IOS_FSM_FFd7 - implementation constraint: INIT=s : IOS_FSM_FFd8 Optimizing unit ... implementation constraint: INIT=r : RefDone @@ -437,24 +433,23 @@ Design Statistics # IOs : 67 Cell Usage : -# BELS : 570 -# AND2 : 160 -# AND3 : 20 -# AND4 : 15 -# AND5 : 1 -# AND6 : 3 +# BELS : 605 +# AND2 : 170 +# AND3 : 24 +# AND4 : 14 +# AND6 : 2 # AND7 : 1 # AND8 : 3 # GND : 6 -# INV : 243 -# OR2 : 92 -# OR3 : 8 -# OR4 : 5 +# INV : 255 +# OR2 : 107 +# OR3 : 9 +# OR4 : 1 # VCC : 1 # XOR2 : 12 -# FlipFlops/Latches : 84 -# FD : 57 -# FDCE : 27 +# FlipFlops/Latches : 80 +# FD : 54 +# FDCE : 26 # IO Buffers : 67 # IBUF : 35 # OBUF : 32 @@ -462,11 +457,11 @@ Cell Usage : Total REAL time to Xst completion: 3.00 secs -Total CPU time to Xst completion: 3.01 secs +Total CPU time to Xst completion: 2.63 secs --> -Total memory usage is 232788 kilobytes +Total memory usage is 232880 kilobytes Number of errors : 0 ( 0 filtered) Number of warnings : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/MXSE.tim b/cpld/XC95144/MXSE.tim similarity index 100% rename from cpld/XC95144XL/MXSE.tim rename to cpld/XC95144/MXSE.tim diff --git a/cpld/XC95144/MXSE.tspec b/cpld/XC95144/MXSE.tspec new file mode 100644 index 0000000..e49ebc4 --- /dev/null +++ b/cpld/XC95144/MXSE.tspec @@ -0,0 +1,811 @@ +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nVMA_IOB:1 +AUTO_TS_F2P:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nDTACK_FSB:1 +AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nAS_IOB:1 +AUTO_TS_F2P:FROM:nAS_IOB_OBUF.Q:TO:nAS_IOB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nCAS:1 +AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nDinLE:1 +AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nDoutOE:1 +AUTO_TS_F2P:FROM:nDoutOE_OBUF.Q:TO:nDoutOE:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nLDS_IOB:1 +AUTO_TS_F2P:FROM:nLDS_IOB_OBUF.Q:TO:nLDS_IOB:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nUDS_IOB:1 +AUTO_TS_F2P:FROM:nUDS_IOB_OBUF.Q:TO:nUDS_IOB:1 +AUTO_TS_P2P:FROM:A_FSB<10>:TO:RA<0>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<0>:1 +AUTO_TS_P2P:FROM:A_FSB<11>:TO:RA<1>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<1>:1 +AUTO_TS_P2P:FROM:A_FSB<2>:TO:RA<1>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<1>:1 +AUTO_TS_P2P:FROM:A_FSB<12>:TO:RA<2>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<3>:TO:RA<2>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<2>:1 +AUTO_TS_P2P:FROM:A_FSB<13>:TO:RA<3>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<4>:TO:RA<3>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1 +AUTO_TS_P2P:FROM:A_FSB<14>:TO:RA<4>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<4>:1 +AUTO_TS_P2P:FROM:A_FSB<5>:TO:RA<4>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<4>:1 +AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<5>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<6>:TO:RA<5>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<5>:1 +AUTO_TS_P2P:FROM:A_FSB<16>:TO:RA<6>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<6>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<6>:1 +AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<7>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<17>:TO:RA<7>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<7>:1 +AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<8>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<18>:TO:RA<8>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<8>:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<9>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:RA<9>:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<9>:1 +AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:BERR_IOBS.Q:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:fsb/BERR0r.Q:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:fsb/BERR1r.Q:TO:nBERR_FSB:1 +AUTO_TS_F2P:FROM:TimeoutB.Q:TO:nBERR_FSB:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nROMWE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nROMWE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nVPA_FSB:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nVPA_FSB:1 +AUTO_TS_F2P:FROM:fsb/VPA.Q:TO:nVPA_FSB:1 +AUTO_TS_P2P:FROM:CLK2X_IOB:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1 +AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nRAS:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nRAS:1 +AUTO_TS_F2P:FROM:RefAck.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAS:1 +AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAS:1 +AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<10>:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nADoutLE1:1 +AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nLDS_FSB:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAMLWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAMLWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAMLWE:1 +AUTO_TS_P2P:FROM:nAS_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:nWE_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:nUDS_FSB:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nRAMUWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS2.Q:TO:nRAMUWE:1 +AUTO_TS_F2P:FROM:ram/RAMDIS1.Q:TO:nRAMUWE:1 +AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1 +AUTO_TS_P2P:FROM:CLK_FSB:TO:nROMCS:1 +AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1 +AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nROMCS:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/IOS_FSM_FFd4.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:IOACT.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:IOACT.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:IOBERR.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:IOBERR.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay1.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay1.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/Once.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:RefAck.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefDone.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Once.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Once.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:BERR_IOBS.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:BERR_IOBS.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:BERR_IOBS.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:BERR_IOBS.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IORW0.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IORW0.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cs/nOverlay0.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOL0.D:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:IOL0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOL0.CE:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/IOU1.Q:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOU0.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOU0.CE:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:TimeoutA.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:TimeoutA.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:TimeoutB.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:TimeoutB.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/TimeoutBPre.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:1 +AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/BERR0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/BERR0r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:1 +AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/BERR1r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/BERR1r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready0r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready0r.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready1r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready1r.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready2r.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/Ready2r.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/VPA.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/VPA.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/VPA.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOL1.CE:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOReady.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobs/IOReady.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/IOU1.CE:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMDIS2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMDIS2.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<8>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<15>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<12>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<11>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<10>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:A_FSB<9>:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RASEL.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd3.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/PS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/PS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<5>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<6>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<1>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<2>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<3>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<4>.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Load1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/BACTr.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/BACTr.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:cnt/RefCnt<7>.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:RefAck.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ALE0S.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:nWE_FSB:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOREQ.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:IOREQ.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobs/Clear1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMDIS1.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMDIS1.D:1 +AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/Once.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMReady.D:1 +AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMReady.D:1 +AUTO_TS_F2F:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nCAS_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nADoutLE1_OBUF.D:1 +AUTO_TS_F2F:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nVMA_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd2.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/IOS_FSM_FFd1.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<0>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<0>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<1>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<1>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<2>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<2>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<3>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<3>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<4>.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ES<4>.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/ES<4>.D:1 +AUTO_TS_F2F:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobm/ETACK.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:ALE0M.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:ALE0M.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nAS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nDinLE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nDoutOE_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nDoutOE_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nDoutOE_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOL0.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nLDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOU0.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IORW0.Q:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:nUDS_IOB_OBUF.D:1 +AUTO_TS_F2F:FROM:IOACT.Q:TO:iobs/IOACTr.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:iobs/IOACTr.D:1 +AUTO_TS_F2F:FROM:IOREQ.Q:TO:iobm/IOREQr.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:iobm/IOREQr.D:1 +AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/Er2.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:iobm/Er2.D:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:FCLKIO_2:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:FCLKIO_0:1 +AUTO_TS_P2F:FROM:nRES:TO:FSR-IO_5:1 +AUTO_TS_P2F:FROM:nLDS_FSB:TO:iobs/IOL1.D:1 +AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1 +AUTO_TS_P2F:FROM:E_IOB:TO:iobm/Er.D:1 +AUTO_TS_P2F:FROM:CLK_IOB:TO:FCLK-IO_4:1 +AUTO_TS_P2F:FROM:CLK2X_IOB:TO:FCLK-IO_3:1 +AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrf.D:1 +AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrr.D:1 +AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArf.D:1 +AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArr.D:1 +AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/ASrf.D:1 +AUTO_TS_P2F:FROM:CLK_FSB:TO:FCLK-IO_1:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrf.D:1 +AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrr.D:1 +AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrf.D:1 +AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrr.D:1 diff --git a/cpld/XC95144/MXSE.ucf b/cpld/XC95144/MXSE.ucf new file mode 100644 index 0000000..75cb5dd --- /dev/null +++ b/cpld/XC95144/MXSE.ucf @@ -0,0 +1,76 @@ +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "A_FSB[10]" LOC = "P8" ; +NET "A_FSB[11]" LOC = "P9" ; +NET "A_FSB[12]" LOC = "P10" ; +NET "A_FSB[13]" LOC = "P11" ; +NET "A_FSB[14]" LOC = "P12" ; +NET "A_FSB[15]" LOC = "P13" ; +NET "A_FSB[16]" LOC = "P14" ; +NET "A_FSB[17]" LOC = "P15" ; +NET "A_FSB[18]" LOC = "P16" ; +NET "A_FSB[19]" LOC = "P17" ; +NET "A_FSB[1]" LOC = "P94" ; +NET "A_FSB[20]" LOC = "P18" ; +NET "A_FSB[21]" LOC = "P19" ; +NET "A_FSB[22]" LOC = "P20" ; +NET "A_FSB[23]" LOC = "P24" ; +NET "A_FSB[2]" LOC = "P95" ; +NET "A_FSB[3]" LOC = "P96" ; +NET "A_FSB[4]" LOC = "P97" ; +NET "A_FSB[5]" LOC = "P2" ; +NET "A_FSB[6]" LOC = "P3" ; +NET "A_FSB[7]" LOC = "P4" ; +NET "A_FSB[8]" LOC = "P6" ; +NET "A_FSB[9]" LOC = "P7" ; +NET "CLK2X_IOB" LOC = "P22" ; +NET "CLK_FSB" LOC = "P27" ; +NET "CLK_IOB" LOC = "P23" ; +NET "E_IOB" LOC = "P25" ; +NET "nADoutLE0" LOC = "P85" ; +NET "nADoutLE1" LOC = "P82" ; +NET "nAoutOE" LOC = "P87" ; +NET "nAS_FSB" LOC = "P32" ; +NET "nAS_IOB" LOC = "P81" ; +NET "nBERR_FSB" LOC = "P92" ; +NET "nBERR_IOB" LOC = "P76" ; +NET "nCAS" LOC = "P36" ; +NET "nDinLE" LOC = "P86" ; +NET "nDinOE" LOC = "P90" ; +NET "nDoutOE" LOC = "P89" ; +NET "nDTACK_FSB" LOC = "P28" ; +NET "nDTACK_IOB" LOC = "P78" ; +NET "nLDS_FSB" LOC = "P30" ; +NET "nLDS_IOB" LOC = "P79" ; +NET "nOE" LOC = "P37" ; +NET "nRAMLWE" LOC = "P65" ; +NET "nRAMUWE" LOC = "P66" ; +NET "nRAS" LOC = "P64" ; +NET "nRES" LOC = "P91" ; +NET "nROMCS" LOC = "P35" ; +NET "nROMWE" LOC = "P34" ; +NET "nUDS_FSB" LOC = "P33" ; +NET "nUDS_IOB" LOC = "P80" ; +NET "nVMA_IOB" LOC = "P74" ; +NET "nVPA_FSB" LOC = "P93" ; +NET "nVPA_IOB" LOC = "P77" ; +NET "nWE_FSB" LOC = "P29" ; +NET "RA[0]" LOC = "P53" ; +NET "RA[10]" LOC = "P55" ; +NET "RA[11]" LOC = "P63" ; +NET "RA[1]" LOC = "P50" ; +NET "RA[2]" LOC = "P43" ; +NET "RA[3]" LOC = "P41" ; +NET "RA[4]" LOC = "P40" ; +NET "RA[5]" LOC = "P42" ; +NET "RA[6]" LOC = "P46" ; +NET "RA[7]" LOC = "P52" ; +NET "RA[8]" LOC = "P54" ; +NET "RA[9]" LOC = "P56" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/cpld/XC95144/MXSE.vm6 b/cpld/XC95144/MXSE.vm6 new file mode 100644 index 0000000..002b21b --- /dev/null +++ b/cpld/XC95144/MXSE.vm6 @@ -0,0 +1,8003 @@ +NDS Database: version P.20131013 + +NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 + +DEVICE | 95144XL | 95144XL100 | + +NETWORK | MXSE | 0 | 0 | 16391 + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 9534 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 9535 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 9536 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 9537 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9538 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 9539 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 9540 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 9541 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<23> | 9542 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<22> | 9543 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<21> | 9544 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<20> | 9545 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<19> | 9546 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<18> | 9547 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9548 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9549 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nBERR_IOB | 9550 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK2X_IOB | 9551 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVMA_IOB_OBUF$Q | 9374 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9570 | ? | 0 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9571 | ? | 0 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | BERR_IOBS.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10002 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVMA_IOB_OBUF.D | 9569 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVMA_IOB_OBUF.Q | 9572 | ? | 0 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_FSB | 9552 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV + +INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nAS_FSB | 9557 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 3 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay1.D1 | 9574 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay1.D2 | 9575 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9576 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay1.D | 9573 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cs/nOverlay1.CE | 9576 | ? | 0 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay1.Q | 9577 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9579 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/Once.D2 | 9580 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/Once.D | 9578 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9581 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefDone.D1 | 9583 | ? | 0 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefDone.D2 | 9584 | ? | 0 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck +SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefDone.D | 9582 | ? | 0 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefDone.Q | 9585 | ? | 0 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nWE_FSB | 9553 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Once.D1 | 9587 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Once.D2 | 9588 | ? | 0 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP33_.EXP +SPPTERM | 1 | IV_TRUE | EXP34_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Once.D | 9586 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Once.Q | 9589 | ? | 0 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | BERR_IOBS.EXP | 10018 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.EXP | BERR_IOBS | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | BERR_IOBS.D1 | 9591 | ? | 0 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | BERR_IOBS.D2 | 9592 | ? | 0 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | BERR_IOBS.EXP | 10003 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> +SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr + +SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | BERR_IOBS.D | 9590 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | BERR_IOBS.Q | 9593 | ? | 0 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORW0.D1 | 9595 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IORW0.D2 | 9596 | ? | 0 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IORW0.D | 9594 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IORW0.Q | 9597 | ? | 0 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nRES | 9554 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV +NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cs/nOverlay0.EXP | 10021 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay0.D1 | 9599 | ? | 0 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay0.D2 | 9600 | ? | 0 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF$BUF0.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cs/nOverlay0.EXP | 10006 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cs/nOverlay0.D | 9598 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 3 | 8 | SRFF_R +NODE | FSR-IO_5 | 9386 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cs/nOverlay0.Q | 9601 | ? | 0 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nLDS_FSB | 9555 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9603 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9604 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOL0.CE | 9605 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOL0.D | 9602 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOL0.CE | 9605 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9606 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nUDS_FSB | 9556 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9608 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9609 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 +SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | IOU0.CE | 9610 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOU0.D | 9607 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | IOU0.CE | 9610 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9611 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutA.D1 | 9613 | ? | 0 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutA.D2 | 9614 | ? | 0 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> +SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutA.D | 9612 | ? | 0 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutA.Q | 9615 | ? | 0 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | TimeoutB.D1 | 9617 | ? | 0 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | TimeoutB.D2 | 9618 | ? | 0 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | TimeoutB.D | 9616 | ? | 0 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | TimeoutB.Q | 9619 | ? | 0 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimeoutBPre | 9392 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9621 | ? | 0 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9622 | ? | 0 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> +SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimeoutBPre.D | 9620 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimeoutBPre.Q | 9623 | ? | 0 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/BERR0r.EXP | 10019 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR0r.D1 | 9625 | ? | 0 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR0r.D2 | 9626 | ? | 0 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/BERR0r.EXP | 10004 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR0r.D | 9624 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR0r.Q | 9627 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/BERR1r.D1 | 9629 | ? | 0 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/BERR1r.D2 | 9630 | ? | 0 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/BERR1r.D | 9628 | ? | 0 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/BERR1r.Q | 9631 | ? | 0 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready0r.D1 | 9633 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready0r.D2 | 9634 | ? | 0 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady + +SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready0r.D | 9632 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready0r.Q | 9635 | ? | 0 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 23 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 22 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready1r.D1 | 9637 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready1r.D2 | 9638 | ? | 0 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP32_.EXP +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | fsb/Ready1r.EXP | 10072 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready1r.D | 9636 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready1r.Q | 9639 | ? | 0 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r.EXP | 10086 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.EXP | fsb/Ready1r | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/Ready2r.D1 | 9641 | ? | 0 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/Ready2r.D2 | 9642 | ? | 0 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | fsb/Ready1r.EXP +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r + +SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/Ready2r.D | 9640 | ? | 0 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/Ready2r.Q | 9643 | ? | 0 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/VPA.D1 | 9645 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/VPA.D2 | 9646 | ? | 0 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP26_.EXP +SPPTERM | 1 | IV_TRUE | EXP37_.EXP +SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 4 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/VPA.D | 9644 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/VPA.Q | 9647 | ? | 0 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9400 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9649 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9650 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOL1.D | 9648 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9652 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9654 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9655 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 + +SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IORW1.D | 9653 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9656 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOReady.D1 | 9658 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOReady.D2 | 9659 | ? | 0 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_FALSE | IOBERR | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOReady.D | 9657 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOReady.Q | 9660 | ? | 0 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9404 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9662 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9663 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9664 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 + +SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOU1.D | 9661 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | iobs/IOU1.CE | 9664 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SPPTERM | 1 | IV_TRUE | iobs/Load1 +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9665 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS2.D1 | 9667 | ? | 0 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS2.D2 | 9668 | ? | 0 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP20_.EXP +SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RAMDIS2.EXP | 10030 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF + +SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS2.D | 9666 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS2.Q | 9669 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9407 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9671 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9672 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP29_.EXP +SPPTERM | 1 | IV_TRUE | EXP30_.EXP +SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM + +SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDTACK_FSB_OBUF.D | 9670 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9673 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9675 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9676 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP18_.EXP +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASEL.D | 9674 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9677 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9679 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9680 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SPPTERM | 1 | IV_TRUE | RefAck.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr +SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd2.D | 9678 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9681 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | CLK_IOB | 9559 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV +NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9683 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9684 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_TRUE | CLK_IOB_IBUF +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd4.D | 9682 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9685 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9687 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9688 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd1.D | 9686 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9689 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9691 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9692 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ALE0S.EXP +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> +SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> + +SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd3.D | 9690 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9693 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9695 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9696 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/IOS_FSM_FFd3.EXP | 10054 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd3.D | 9694 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9697 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9699 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9700 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd2.D | 9698 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9701 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9703 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9704 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOS_FSM_FFd1.D | 9702 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9705 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9707 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9708 | ? | 0 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr +SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd2.EXP | 10000 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 + +SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd2.D | 9706 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd2.Q | 9709 | ? | 0 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9711 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9712 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er +SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<0>.D | 9710 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9713 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9715 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9716 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<1>.D | 9714 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9717 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9719 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9720 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> +SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> +SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<2>.D | 9718 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9721 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/PS_FSM_FFd1.EXP | 10063 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9723 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9724 | ? | 0 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10059 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/PS_FSM_FFd1.D | 9722 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/PS_FSM_FFd1.Q | 9725 | ? | 0 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9727 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9728 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er +SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 + +SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<3>.D | 9726 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9729 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<4>.D1 | 9731 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<4>.D2 | 9732 | ? | 0 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 +SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ES<4>.D | 9730 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ES<4>.Q | 9733 | ? | 0 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9735 | ? | 0 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9736 | ? | 0 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_DC + +SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<0>.D | 9734 | ? | 0 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<0>.Q | 9737 | ? | 0 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9739 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9740 | ? | 0 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<5>.D | 9738 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<5>.Q | 9741 | ? | 0 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9743 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9744 | ? | 0 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<6>.D | 9742 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<6>.Q | 9745 | ? | 0 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 16 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 15 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9747 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9748 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOACT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/IOACTr.EXP | 10052 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 9 | IV_TRUE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/IOACTr.D | 9746 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9749 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9751 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9752 | ? | 0 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> + +SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<1>.D | 9750 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<1>.Q | 9753 | ? | 0 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9755 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9756 | ? | 0 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> + +SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<2>.D | 9754 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<2>.Q | 9757 | ? | 0 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9759 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9760 | ? | 0 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> + +SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<3>.D | 9758 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<3>.Q | 9761 | ? | 0 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9763 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9764 | ? | 0 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> + +SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<4>.D | 9762 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<4>.Q | 9765 | ? | 0 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9767 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Load1.D2 | 9768 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Once +SPPTERM | 1 | IV_FALSE | ALE1 +SPPTERM | 1 | IV_TRUE | EXP21_.EXP +SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP +SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 + +SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Load1.D | 9766 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9769 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9771 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/BACTr.D2 | 9772 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/BACTr.D | 9770 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9773 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOACT | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3.EXP | 10057 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.EXP | iobm/IOS_FSM_FFd3 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9775 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9776 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd3.EXP +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd2 | IV_TRUE | iobm/IOS_FSM_FFd1 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr + +SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOACT.D | 9774 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9777 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 15 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr.EXP | 10055 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9779 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9780 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP +SPPTERM | 5 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | IOBERR +SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr +SPPTERM | 7 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | IOBERR | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOBERR.D | 9778 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9781 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<0> | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<1> | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<2> | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<3> | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<4> | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9783 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9784 | ? | 0 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> + +SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/RefCnt<7>.D | 9782 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/RefCnt<7>.Q | 9785 | ? | 0 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | E_IOB | 9558 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IOB_IBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9787 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9788 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | E_IOB_IBUF + +SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er.D | 9786 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_4 | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9789 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOREQr.D1 | 9791 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOREQr.D2 | 9792 | ? | 0 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IOREQ + +SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/IOREQr.D | 9790 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/IOREQr.Q | 9793 | ? | 0 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RefAck.EXP | 10065 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.EXP | RefAck | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefAck.D1 | 9795 | ? | 0 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefAck.D2 | 9796 | ? | 0 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RefAck.EXP | 10061 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RefAck.D | 9794 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RefAck.Q | 9797 | ? | 0 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nDTACK_IOB | 9560 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrf | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrf.D1 | 9799 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrf.D2 | 9800 | ? | 0 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrf.D | 9798 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrf.Q | 9801 | ? | 0 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/DTACKrr | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_IOB_IBUF | 9443 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DTACKrr.D1 | 9803 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DTACKrr.D2 | 9804 | ? | 0 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF + +SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/DTACKrr.D | 9802 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/DTACKrr.Q | 9805 | ? | 0 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/ETACK | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF | 9375 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<0> | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<1> | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<2> | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<3> | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/ES<4> | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ETACK.D1 | 9807 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ETACK.D2 | 9808 | ? | 0 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> + +SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/ETACK.D | 9806 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/ETACK.Q | 9809 | ? | 0 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/Er2 | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/Er | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er2.D1 | 9811 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er2.D2 | 9812 | ? | 0 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/Er + +SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/Er2.D | 9810 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/Er2.Q | 9813 | ? | 0 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | nVPA_IOB | 9561 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArf | 9449 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArf.D1 | 9815 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArf.D2 | 9816 | ? | 0 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArf.D | 9814 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArf.Q | 9817 | ? | 0 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/VPArr | 9450 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVPA_IOB_IBUF | 9448 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPArr.D1 | 9819 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPArr.D2 | 9820 | ? | 0 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF + +SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/VPArr.D | 9818 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/VPArr.Q | 9821 | ? | 0 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOREQr | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9823 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9824 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOREQr + +SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0M.D | 9822 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9825 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ALE0S.EXP | 10062 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9827 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9828 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ALE0S.EXP | 10058 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ALE0S.D | 9826 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9829 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | IOREQ | 9453 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOREQ.D1 | 9831 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOREQ.D2 | 9832 | ? | 0 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 + +SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | IOREQ.D | 9830 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | IOREQ.Q | 9833 | ? | 0 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9835 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9836 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | fsb/ASrf.D | 9834 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9837 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrf | 9455 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrf.D1 | 9839 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrf.D2 | 9840 | ? | 0 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrf.D | 9838 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrf.Q | 9841 | ? | 0 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/BERRrr | 9456 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_IOB_IBUF | 9371 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/BERRrr.D1 | 9843 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/BERRrr.D2 | 9844 | ? | 0 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF + +SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/BERRrr.D | 9842 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/BERRrr.Q | 9845 | ? | 0 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrf.D1 | 9847 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrf.D2 | 9848 | ? | 0 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrf.D | 9846 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrf.Q | 9849 | ? | 0 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRES_IBUF | 9385 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/RESrr.D1 | 9851 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/RESrr.D2 | 9852 | ? | 0 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | nRES_IBUF + +SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobm/RESrr.D | 9850 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobm/RESrr.Q | 9853 | ? | 0 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10064 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9855 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9856 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Clear1.EXP | 10060 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 + +SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | iobs/Clear1.D | 9854 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9857 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMDIS1.D1 | 9859 | ? | 0 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMDIS1.D2 | 9860 | ? | 0 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP24_.EXP +SPPTERM | 1 | IV_TRUE | EXP25_.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMDIS1.D | 9858 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMDIS1.Q | 9861 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMReady.D1 | 9863 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMReady.D2 | 9864 | ? | 0 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP22_.EXP +SPPTERM | 1 | IV_TRUE | EXP23_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMReady.D | 9862 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMReady.Q | 9865 | ? | 0 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<1> | 9562 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<2> | 9563 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<3> | 9564 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<4> | 9565 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<5> | 9566 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<6> | 9567 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<7> | 9568 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAS_IOB_OBUF | 9469 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9867 | ? | 0 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9868 | ? | 0 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAS_IOB_OBUF.D | 9866 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAS_IOB_OBUF.Q | 9869 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9470 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF.EXP | 10056 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | CLK_IOB_IBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrf | 9457 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/RESrr | 9458 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9871 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9872 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nCAS_OBUF.EXP | 10053 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr + +SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nCAS_OBUF.D | 9870 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_1 | 9377 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9873 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9471 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9875 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9876 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | iobm/IOS_FSM_FFd3 + +SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinLE_OBUF.D | 9874 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9877 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9472 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9879 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9880 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IORW0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 + +SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDoutOE_OBUF.D | 9878 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_2 | 9372 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9881 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nLDS_IOB_OBUF | 9473 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9388 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9883 | ? | 0 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9884 | ? | 0 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOL0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nLDS_IOB_OBUF.D | 9882 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nLDS_IOB_OBUF.Q | 9885 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nUDS_IOB_OBUF | 9474 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 6 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9389 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd4 | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd3 | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9887 | ? | 0 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9888 | ? | 0 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_FALSE | IOU0 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd2 +SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/IOS_FSM_FFd1 +SPPTERM | 4 | IV_TRUE | IORW0 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd2 | IV_FALSE | iobm/IOS_FSM_FFd1 + +SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nUDS_IOB_OBUF.D | 9886 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK-IO_3 | 9373 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nUDS_IOB_OBUF.Q | 9889 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9475 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_0_OBUF.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_1_IBUF | 9462 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9891 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9892 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_0_OBUF.EXP | 10032 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9890 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9893 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9476 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9463 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9895 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9896 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9894 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9897 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9477 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9464 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9899 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9900 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9898 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9901 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_3_OBUF | 9478 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9465 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_3_OBUF.D1 | 9903 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_3_OBUF.D2 | 9904 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF + +SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_3_OBUF.D | 9902 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_3_OBUF.Q | 9905 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9479 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9466 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9907 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9908 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9906 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9909 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9480 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9467 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9911 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9912 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9910 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9913 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9481 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9468 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9915 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9916 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 9914 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9917 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9482 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9919 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9920 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 9918 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9921 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9483 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9923 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9924 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 9922 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9925 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9484 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9409 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9927 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9928 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 9926 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9929 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9485 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9931 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9932 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9995 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nBERR_FSB_OBUF.D | 9930 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9933 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9935 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9936 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nOE_OBUF.D | 9934 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9937 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9487 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9939 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9940 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMWE_OBUF.D | 9938 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9941 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9488 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9943 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9944 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF + +SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nVPA_FSB_OBUF.D | 9942 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9945 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9489 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0M | 9451 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE0S | 9452 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9947 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9948 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S + +SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE0_OBUF.D | 9946 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9949 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9490 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 10012 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 9 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9951 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9952 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 9997 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF + +SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nDinOE_OBUF.D | 9950 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9953 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9491 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10041 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9955 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9956 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP19_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 10027 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf +SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9954 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9957 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_19_IBUF$BUF0 | 9492 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 5 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9959 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9960 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_19_IBUF$BUF0.EXP | 10035 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_19_IBUF$BUF0.D | 9958 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_19_IBUF$BUF0.Q | 9961 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 7 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | A_FSB_21_IBUF$BUF0 | 9493 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | A_FSB_21_IBUF$BUF0.EXP | 10020 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.EXP | A_FSB_21_IBUF$BUF0 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 7 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay0 | 9387 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9963 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9964 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | A_FSB_21_IBUF$BUF0.EXP | 10005 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | A_FSB_21_IBUF$BUF0.D | 9962 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | A_FSB_21_IBUF$BUF0.Q | 9965 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9494 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1 | 9459 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Load1 | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9967 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9968 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Load1 +SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 + +SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nADoutLE1_OBUF.D | 9966 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLKIO_0 | 9376 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 9969 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9496 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nLDS_FSB_IBUF | 9399 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9971 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9972 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMLWE_OBUF.D | 9970 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9973 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9497 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9403 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9975 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9976 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAMUWE_OBUF.D | 9974 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9977 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9498 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10014 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2.EXP | 10015 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.EXP | iobs/PS_FSM_FFd2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9979 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9980 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 9999 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nROMCS_OBUF.D | 9978 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9981 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF$Q | 9499 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9983 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9984 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nAoutOE_OBUF.EXP | 10074 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nAoutOE_OBUF.D | 9982 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 9985 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q + +OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVMA_IOB_OBUF$Q | 9374 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9500 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9407 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9501 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAS_IOB_OBUF | 9469 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9502 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9470 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9503 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9471 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9504 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9472 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9505 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nLDS_IOB_OBUF | 9473 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9506 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nUDS_IOB_OBUF | 9474 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9507 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9475 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9508 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9476 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9509 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9477 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9510 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_3_OBUF | 9478 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9511 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9479 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9512 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9480 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9513 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9481 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9514 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9482 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9515 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9483 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9516 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9484 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9517 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBERR_FSB_OBUF | 9485 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9518 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9486 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9519 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9487 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9520 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nVPA_FSB_OBUF | 9488 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9521 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9489 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9522 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9490 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9523 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAS_OBUF | 9491 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAS | 9524 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_19_IBUF$BUF0 | 9492 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9525 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | A_FSB_21_IBUF$BUF0 | 9493 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9526 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9494 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9527 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9496 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9528 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9497 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9529 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMCS_OBUF | 9498 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMCS | 9530 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF$Q | 9499 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9531 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | SoftPfbk | $OpTx$$OpTx$FX_DC$355_INV$439 | MXSE_COPY_0_COPY_0 | 2181038080 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$$OpTx$FX_DC$355_INV$439.SI | $OpTx$$OpTx$FX_DC$355_INV$439 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D1 | 9987 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D2 | 9988 | ? | 0 | 4096 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf + +SRFF_INSTANCE | $OpTx$$OpTx$FX_DC$355_INV$439.REG | $OpTx$$OpTx$FX_DC$355_INV$439 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.D | 9986 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.Q | 9989 | ? | 0 | 0 | $OpTx$$OpTx$FX_DC$355_INV$439 | NULL | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+SoftPfbk | $OpTx$FX_DC$360 | MXSE_COPY_0_COPY_0 | 2181038336 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | $OpTx$FX_DC$360.SI | $OpTx$FX_DC$360 | 0 | 2 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | $OpTx$FX_DC$360.D1 | 9991 | ? | 0 | 4096 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 1 | IV_TRUE | A_FSB_22_IBUF +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | $OpTx$FX_DC$360.D2 | 9992 | ? | 0 | 4096 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cs/nOverlay1 + +SRFF_INSTANCE | $OpTx$FX_DC$360.REG | $OpTx$FX_DC$360 | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | $OpTx$FX_DC$360.D | 9990 | ? | 0 | 0 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | $OpTx$FX_DC$360.Q | 9993 | ? | 0 | 0 | $OpTx$FX_DC$360 | NULL | NULL | $OpTx$FX_DC$360.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | NULL | EXP10_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10009 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 9994 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r + +MACROCELL_INSTANCE | NULL | EXP11_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10011 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 11 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9401 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.EXP | 10010 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 9996 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 + +MACROCELL_INSTANCE | NULL | EXP12_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10013 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 9998 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP13_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10016 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nVMA_IOB_OBUF.EXP | 10017 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 10001 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP +SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10022 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 10007 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10023 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IORW0 | 9383 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 10008 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 +SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10024 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10025 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> + +MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10040 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10039 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10026 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP17_.EXP +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP19_.EXP | 10042 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefAck | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS1 | 9460 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP19_.EXP | 10028 | ? | 0 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RefAck +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 + +MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP20_.EXP | 10043 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2 | 9405 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP20_.EXP | 10029 | ? | 0 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP21_.EXP | 10045 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMDIS2.EXP | 10044 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP21_.EXP | 10031 | ? | 0 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF +SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP22_.EXP | 10047 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP22_.EXP | 10033 | ? | 0 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP23_.EXP | 10048 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF$BUF0.EXP | 10049 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.EXP | A_FSB_19_IBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP23_.EXP | 10034 | ? | 0 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF$BUF0.EXP +SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP24_.EXP | 10050 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP24_.EXP | 10036 | ? | 0 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP25_.EXP | 10051 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 15 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefDone | 9380 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd1 | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<5> | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<6> | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/RefCnt<7> | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9454 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9379 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9406 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10038 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP25_.EXP | 10037 | ? | 0 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> +SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf + +MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP26_.EXP | 10080 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 20 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP26_.EXP | 10066 | ? | 0 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP27_.EXP +SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 28 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP27_.EXP | 10081 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 28 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP27_.EXP | 10067 | ? | 0 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 22 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 24 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP28_.EXP | 10068 | ? | 0 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM + +MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP29_.EXP | 10083 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP28_.EXP | 10082 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP29_.EXP | 10069 | ? | 0 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP28_.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP30_.EXP | 10084 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 19 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP30_.EXP | 10070 | ? | 0 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP31_.EXP +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady +SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM +SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 27 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP31_.EXP | 10085 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 27 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDTACK_FSB_OBUF.UIM | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$FX_DC$360.UIM | 9533 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$360.Q | $OpTx$FX_DC$360 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | BERR_IOBS | 9382 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR0r | 9393 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/BERR1r | 9394 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP31_.EXP | 10071 | ? | 0 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM +SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM +SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$360.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady + +MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP32_.EXP | 10087 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 16 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10088 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP32_.EXP | 10073 | ? | 0 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady +SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP33_.EXP | 10089 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 8 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd1 | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/PS_FSM_FFd2 | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9495 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP33_.EXP | 10075 | ? | 0 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP34_.EXP | 10090 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP34_.EXP | 10076 | ? | 0 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP35_.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP35_ | MXSE_COPY_0_COPY_0 | 2147483648 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP35_.EXP | 10091 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP35_.EXP | EXP35_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP35_.SI | EXP35_ | 0 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Once | 9381 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP35_.EXP | 10077 | ? | 0 | 0 | EXP35_ | NULL | NULL | EXP35_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once + +MACROCELL_INSTANCE | NULL | EXP36_ | MXSE_COPY_0_COPY_0 | 2147483648 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP36_.SI | EXP36_ | 0 | 22 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9355 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9357 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9358 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9359 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9360 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9361 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9362 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9367 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9368 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9369 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9370 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9384 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutA | 9390 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready2r | 9397 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9356 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP36_.EXP | 10078 | ? | 0 | 0 | EXP36_ | NULL | NULL | EXP36_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 21 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +MACROCELL_INSTANCE | NULL | EXP37_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP37_.EXP | 10093 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP37_.EXP | EXP37_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP37_.SI | EXP37_ | 0 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9364 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | TimeoutB | 9391 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/VPA | 9398 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | 9532 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$$OpTx$FX_DC$355_INV$439.Q | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9365 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9363 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready1r | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOReady | 9402 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay1 | 9378 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/Ready0r | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMReady | 9461 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9366 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP36_.EXP | 10092 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP36_.EXP | EXP36_ | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP37_.EXP | 10079 | ? | 0 | 0 | EXP37_ | NULL | NULL | EXP37_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | EXP36_.EXP +SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 4 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | TimeoutB | IV_TRUE | fsb/VPA | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | ram/RAMReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | $OpTx$$OpTx$FX_DC$355_INV$439.UIM + +FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP10_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 11 | 49152 +FBPIN | 3 | EXP11_ | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 13 | 49152 +FBPIN | 6 | EXP12_ | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | IOREQ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 15 | 49152 +FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | A_FSB_5_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 17 | 49152 +FBPIN | 12 | BERR_IOBS | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 19 | 49152 +FBPIN | 15 | cs/nOverlay0 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | IORW0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP14_ | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 +FBPIN | 18 | EXP15_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobm/RESrf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 +FBPIN | 3 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 1 | 53248 +FBPIN | 6 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 | 2 | 53248 +FBPIN | 7 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 | 3 | 53248 +FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 4 | 53248 +FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 +FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 +FBPIN | 13 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 8 | 49152 +FBPIN | 15 | iobm/ES<0> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | iobm/ES<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 10 | 49152 +FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP17_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | EXP18_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 +FBPIN | 6 | EXP19_ | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | EXP20_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/RAMDIS2 | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 +FBPIN | 9 | EXP21_ | 1 | NULL | 0 | NULL | 0 | 28 | 49152 +FBPIN | 10 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 29 | 49152 +FBPIN | 12 | EXP22_ | 1 | NULL | 0 | NULL | 0 | 30 | 49152 +FBPIN | 13 | ram/RAMReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP23_ | 1 | NULL | 0 | NULL | 0 | 32 | 49152 +FBPIN | 15 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 33 | 49152 +FBPIN | 16 | EXP24_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RAMDIS1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 +FBPIN | 18 | EXP25_ | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 87 | 49152 +FBPIN | 3 | cnt/RefCnt<7> | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/RefCnt<5> | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 +FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 90 | 49152 +FBPIN | 7 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/RefCnt<3> | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 91 | 49152 +FBPIN | 9 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 92 | 49152 +FBPIN | 10 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | fsb/BERR1r | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 93 | 49152 +FBPIN | 12 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 94 | 49152 +FBPIN | 13 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/TimeoutBPre | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 96 | 49152 +FBPIN | 16 | TimeoutB | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | TimeoutA | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | IOU0 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 35 | 49152 +FBPIN | 3 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 | 36 | 49152 +FBPIN | 6 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 37 | 49152 +FBPIN | 7 | $OpTx$$OpTx$FX_DC$355_INV$439 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 +FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 +FBPIN | 12 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 42 | 49152 +FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 +FBPIN | 15 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 46 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOACT | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | ram/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 74 | 49152 +FBPIN | 3 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobs/PS_FSM_FFd1 | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 77 | 49152 +FBPIN | 7 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cs/nOverlay1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 79 | 49152 +FBPIN | 10 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | IOL0 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 80 | 49152 +FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 81 | 49152 +FBPIN | 13 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RS_FSM_FFd1 | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 82 | 49152 +FBPIN | 15 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 85 | 49152 +FBPIN | 16 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RS_FSM_FFd2 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 86 | 49152 +FBPIN | 18 | RefAck | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 2 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 50 | 49152 +FBPIN | 6 | NULL | 0 | E_IOB_IBUF | 1 | NULL | 0 | 53 | 49152 +FBPIN | 8 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 54 | 49152 +FBPIN | 9 | NULL | 0 | A_FSB_2_IBUF | 1 | NULL | 0 | 55 | 49152 +FBPIN | 11 | NULL | 0 | nBERR_IOB_IBUF | 1 | NULL | 0 | 56 | 49152 +FBPIN | 12 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 58 | 49152 +FBPIN | 14 | NULL | 0 | A_FSB_4_IBUF | 1 | NULL | 0 | 59 | 49152 +FBPIN | 15 | iobm/VPArr | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 60 | 49152 +FBPIN | 16 | iobm/VPArf | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobm/Er | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 61 | 49152 +FBPIN | 18 | $OpTx$FX_DC$360 | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | EXP26_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | EXP27_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 63 | 49152 +FBPIN | 3 | EXP28_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | EXP29_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 64 | 49152 +FBPIN | 6 | EXP30_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 65 | 49152 +FBPIN | 7 | EXP31_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | fsb/Ready2r | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 66 | 49152 +FBPIN | 9 | fsb/Ready1r | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 67 | 49152 +FBPIN | 10 | EXP32_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 68 | 49152 +FBPIN | 12 | EXP33_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 70 | 49152 +FBPIN | 13 | iobs/Once | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP34_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 71 | 49152 +FBPIN | 15 | EXP35_ | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 72 | 49152 +FBPIN | 16 | EXP36_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | EXP37_ | 1 | A_FSB_8_IBUF | 1 | NULL | 0 | 73 | 49152 +FBPIN | 18 | fsb/VPA | 1 | NULL | 0 | NULL | 0 + +FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 + +BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 +BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 + +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | iobs/IOACTr | NULL | 1 | nAS_FSB | 70 | 2 | A_FSB<14> | 63 | 3 | iobs/IORW1 | NULL | 4 | A_FSB<16> | 91 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 6 | nWE_FSB | 60 | 7 | cs/nOverlay1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | nVMA_IOB_OBUF | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | iobm/ES<1> | NULL | 14 | iobm/ES<0> | NULL | 15 | IORW0 | NULL | 16 | IOACT | NULL | 17 | iobm/ES<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 19 | A_FSB<22> | 95 | 21 | A_FSB<17> | 82 | 25 | iobm/VPArr | NULL | 27 | A_FSB<23> | 78 | 28 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 30 | A_FSB<18> | 93 | 31 | iobm/ES<4> | NULL | 32 | iobs/Once | NULL | 33 | iobm/VPArf | NULL | 35 | A_FSB<13> | 12 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 39 | fsb/ASrf | NULL | 41 | BERR_IOBS | NULL | 42 | A_FSB<21> | 80 | 44 | fsb/BERR1r | NULL | 46 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 47 | A_FSB<19> | 61 | 48 | ALE1 | NULL | 49 | cs/nOverlay0 | NULL | 50 | TimeoutB | NULL | 52 | fsb/BERR0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 53 | A_FSB<20> | 14 + +FB_IMUX_INDEX | FOOBAR1_ | 72 | 193 | 211 | 3 | 148 | -1 | 215 | 97 | 8 | 27 | 10 | -1 | 30 | -1 | 32 | 15 | 88 | 35 | -1 | 164 | -1 | 151 | -1 | -1 | -1 | 122 | -1 | 167 | 94 | -1 | 152 | 33 | 138 | 123 | -1 | 210 | -1 | -1 | -1 | 74 | -1 | 11 | 155 | -1 | 64 | -1 | 89 | 213 | 104 | 14 | 69 | -1 | 12 | 214 + + +FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | nRES | 99 | 3 | iobm/Er2 | NULL | 4 | nDTACK_IOB | 20 | 6 | IOREQ | NULL | 10 | IOL0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 15 | iobm/ES<4> | NULL | 16 | iobm/Er | NULL | 17 | IOU0 | NULL | 30 | iobm/IOS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | iobm/ES<0> | NULL | 38 | iobm/ES<3> | NULL | 39 | nVMA_IOB_OBUF | NULL | 40 | iobm/ES<2> | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 47 | iobm/ES<1> | NULL | 50 | IORW0 | NULL | 52 | nBERR_IOB | 56 | 53 | iobm/IOS_FSM_FFd4 | NULL + +FB_IMUX_INDEX | FOOBAR2_ | 176 | -1 | -1 | 21 | 230 | -1 | 6 | -1 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | 33 | 124 | 71 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 81 | -1 | 82 | -1 | -1 | -1 | -1 | 32 | 27 | 10 | 35 | -1 | -1 | 87 | -1 | -1 | -1 | 30 | -1 | -1 | 15 | -1 | 223 | 85 + + +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | ram/Once | NULL | 1 | A_FSB<13> | 12 | 2 | cnt/RefCnt<7> | NULL | 3 | cnt/RefCnt<6> | NULL | 4 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 5 | A_FSB<20> | 14 | 6 | nWE_FSB | 60 | 7 | ram/RAMDIS2 | NULL | 8 | A_FSB<18> | 93 | 9 | A_FSB<1> | 72 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 12 | cnt/RefDone | NULL | 14 | ALE1 | NULL | 16 | ram/RAMDIS1 | NULL | 17 | RefAck | NULL | 18 | A_FSB<21> | 80 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | A_FSB<22> | 95 | 20 | ram/RS_FSM_FFd3 | NULL | 21 | A_FSB<17> | 82 | 27 | A_FSB<23> | 78 | 30 | nAS_FSB | 70 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 32 | iobs/Once | NULL | 33 | iobs/PS_FSM_FFd2 | NULL | 35 | ram/RS_FSM_FFd2 | NULL | 37 | A_FSB<14> | 63 | 38 | ram/RASEL | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | fsb/ASrf | NULL | 40 | cnt/RefCnt<5> | NULL | 42 | cs/nOverlay1 | NULL | 47 | A_FSB<19> | 61 | 48 | A_FSB<10> | 89 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | ram/RS_FSM_FFd1 | NULL | 51 | A_FSB<16> | 91 | 52 | ram/BACTr | NULL + +FB_IMUX_INDEX | FOOBAR3_ | 90 | 210 | 56 | 57 | 94 | 214 | 215 | 43 | 152 | 185 | -1 | -1 | 66 | -1 | 104 | -1 | 52 | 107 | 155 | 164 | 93 | 151 | -1 | -1 | -1 | -1 | -1 | 167 | -1 | -1 | 193 | -1 | 138 | 8 | -1 | 106 | -1 | 211 | 39 | 74 | 58 | -1 | 97 | -1 | -1 | -1 | -1 | 213 | 144 | 103 | -1 | 148 | 54 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | nUDS_FSB | 18 | 1 | nAS_FSB | 70 | 2 | fsb/ASrf | NULL | 3 | cnt/RefCnt<1> | NULL | 4 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 6 | cnt/RefCnt<4> | NULL | 7 | cnt/RefCnt<3> | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefCnt<2> | NULL | 10 | fsb/BERR1r | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 11 | BERR_IOBS | NULL | 12 | cnt/RefDone | NULL | 13 | cnt/TimeoutBPre | NULL | 14 | ALE1 | NULL | 15 | TimeoutB | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 17 | RefAck | NULL | 28 | iobs/PS_FSM_FFd1 | NULL | 29 | cnt/RefCnt<0> | NULL | 33 | cnt/RefCnt<7> | NULL | 35 | A_FSB<11> | 66 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 37 | TimeoutA | NULL | 38 | ram/RASEL | NULL | 39 | nWE_FSB | 60 | 41 | A_FSB<2> | 55 | 42 | cnt/RefCnt<6> | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 48 | iobs/IOU1 | NULL | 49 | ram/RAMDIS2 | NULL | 52 | nLDS_FSB | 9 | 53 | ram/RAMDIS1 | NULL + +FB_IMUX_INDEX | FOOBAR4_ | 226 | 193 | 74 | 75 | 58 | -1 | 60 | 61 | 8 | 63 | 64 | 11 | 66 | 67 | 104 | 69 | -1 | 107 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 94 | 76 | -1 | -1 | -1 | 56 | -1 | 205 | -1 | 70 | 39 | 215 | -1 | 227 | 57 | -1 | -1 | -1 | -1 | -1 | 79 | 43 | -1 | -1 | 202 | 52 + + +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | iobm/RESrr | NULL | 1 | iobm/RESrf | NULL | 2 | iobm/IOREQr | NULL | 3 | ram/RASEL | NULL | 4 | A_FSB<19> | 61 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 5 | CLK_IOB | 27 | 6 | iobm/DTACKrf | NULL | 7 | iobm/BERRrr | NULL | 8 | iobm/BERRrf | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 10 | iobm/IOS_FSM_FFd1 | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 14 | nBERR_IOB | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 17 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 19 | nUDS_FSB | 18 | 24 | iobm/ETACK | NULL | 25 | A_FSB<12> | 65 | 29 | cnt/RefCnt<0> | NULL | 30 | nAS_FSB | 70 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 33 | A_FSB<3> | 67 | 35 | A_FSB<13> | 12 | 37 | A_FSB<14> | 63 | 39 | fsb/ASrf | NULL | 41 | A_FSB<4> | 59 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 47 | iobs/Load1 | NULL | 48 | iobm/DTACKrr | NULL | 49 | IOACT | NULL | 50 | A_FSB<5> | 16 | 53 | A_FSB<20> | 14 + +FB_IMUX_INDEX | FOOBAR5_ | 18 | 19 | 20 | 39 | 213 | 248 | 24 | 25 | 26 | 81 | 82 | -1 | -1 | 85 | 223 | 87 | -1 | 89 | -1 | 226 | -1 | -1 | -1 | -1 | 22 | 207 | -1 | -1 | -1 | 76 | 193 | -1 | -1 | 201 | -1 | 210 | -1 | 211 | -1 | 74 | -1 | 217 | -1 | -1 | -1 | -1 | -1 | 45 | 23 | 88 | 218 | -1 | -1 | 214 + + +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | ram/BACTr | NULL | 1 | nAS_FSB | 70 | 2 | cnt/RefCnt<7> | NULL | 3 | ram/RASEL | NULL | 4 | cnt/RefCnt<5> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 6 | iobs/IOL1 | NULL | 7 | cs/nOverlay1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobs/Load1 | NULL | 10 | nLDS_FSB | 9 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 11 | A_FSB<21> | 80 | 12 | ram/RAMReady | NULL | 13 | ram/RS_FSM_FFd1 | NULL | 14 | cs/nOverlay0 | NULL | 15 | iobs/Clear1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 16 | ram/RS_FSM_FFd2 | NULL | 17 | IOBERR | NULL | 19 | A_FSB<22> | 95 | 23 | A_FSB<7> | 76 | 24 | ram/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 25 | A_FSB<15> | 86 | 27 | A_FSB<23> | 78 | 28 | iobs/PS_FSM_FFd1 | NULL | 30 | A_FSB<18> | 93 | 32 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 33 | ram/RS_FSM_FFd3 | NULL | 36 | A_FSB<6> | 97 | 39 | fsb/ASrf | NULL | 40 | fsb/Ready0r | NULL | 41 | A_FSB<8> | 73 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | cnt/RefCnt<6> | NULL | 43 | iobs/IOReady | NULL | 46 | A_FSB<17> | 82 | 47 | iobs/IOACTr | NULL | 48 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 49 | cnt/RefDone | NULL | 50 | A_FSB<9> | 71 | 51 | A_FSB<16> | 91 + +FB_IMUX_INDEX | FOOBAR6_ | 54 | 193 | 56 | 39 | 58 | -1 | 96 | 97 | 8 | 45 | 202 | 155 | 48 | 103 | 14 | 105 | 106 | 89 | -1 | 164 | -1 | -1 | -1 | 175 | 90 | 147 | -1 | 167 | 94 | -1 | 152 | -1 | 138 | 93 | -1 | -1 | 172 | -1 | -1 | 74 | 99 | 183 | 57 | 102 | -1 | -1 | 151 | 72 | 104 | 66 | 189 | 148 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | ALE0S | NULL | 4 | A_FSB<22> | 95 | 7 | cs/nOverlay1 | NULL | 9 | E_IOB | 53 | 10 | iobm/IOS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 12 | ALE0M | NULL | 13 | iobm/IOS_FSM_FFd4 | NULL | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | nVPA_IOB | 25 | 17 | fsb/VPA | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 30 | nAS_FSB | 70 + +FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 92 | -1 | 164 | -1 | -1 | 97 | -1 | 235 | 82 | -1 | 84 | 85 | -1 | 87 | 242 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 193 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 + + +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | A_FSB<10> | 89 | 1 | nAS_FSB | 70 | 2 | fsb/ASrf | NULL | 3 | A_FSB<15> | 86 | 4 | iobs/PS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 5 | A_FSB<20> | 14 | 6 | $OpTx$$OpTx$FX_DC$355_INV$439.UIM | NULL | 7 | fsb/Ready2r | NULL | 8 | fsb/Ready1r | NULL | 9 | fsb/Ready0r | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 10 | fsb/BERR1r | NULL | 11 | BERR_IOBS | NULL | 12 | fsb/BERR0r | NULL | 13 | A_FSB<9> | 71 | 14 | ALE1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 15 | TimeoutB | NULL | 16 | TimeoutA | NULL | 17 | $OpTx$FX_DC$360.UIM | NULL | 18 | A_FSB<21> | 80 | 19 | A_FSB<22> | 95 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 24 | ram/RAMReady | NULL | 25 | A_FSB<12> | 65 | 27 | A_FSB<23> | 78 | 30 | A_FSB<18> | 93 | 32 | iobs/Once | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 33 | iobs/PS_FSM_FFd2 | NULL | 37 | A_FSB<14> | 63 | 38 | nDTACK_FSB_OBUF.UIM | NULL | 39 | nWE_FSB | 60 | 41 | A_FSB<8> | 73 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 42 | cs/nOverlay1 | NULL | 43 | iobs/IOReady | NULL | 44 | A_FSB<13> | 12 | 46 | A_FSB<17> | 82 | 47 | A_FSB<19> | 61 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 48 | A_FSB<11> | 66 | 49 | fsb/VPA | NULL | 51 | A_FSB<16> | 91 + +FB_IMUX_INDEX | FOOBAR8_ | 144 | 193 | 74 | 147 | 94 | 214 | 78 | 133 | 134 | 99 | 64 | 11 | 12 | 189 | 104 | 69 | 70 | 125 | 155 | 164 | -1 | -1 | -1 | -1 | 48 | 207 | -1 | 167 | -1 | -1 | 152 | -1 | 138 | 8 | -1 | -1 | -1 | 211 | 130 | 215 | -1 | 183 | 97 | 102 | 210 | -1 | 151 | 213 | 205 | 143 | -1 | 148 | -1 | -1 + + +GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 + +GLOBAL_FSR | nRES | 0 | 0 diff --git a/cpld/XC95144XL/MXSE.xst b/cpld/XC95144/MXSE.xst similarity index 100% rename from cpld/XC95144XL/MXSE.xst rename to cpld/XC95144/MXSE.xst diff --git a/cpld/XC95144XL/MXSE_envsettings.html b/cpld/XC95144/MXSE_envsettings.html similarity index 94% rename from cpld/XC95144XL/MXSE_envsettings.html rename to cpld/XC95144/MXSE_envsettings.html index 7fa20b0..2c71ee5 100644 --- a/cpld/XC95144XL/MXSE_envsettings.html +++ b/cpld/XC95144/MXSE_envsettings.html @@ -18,8 +18,8 @@ Path -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin -C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin +C:\Xilinx\14.7\ISE_DS\ISE\\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\bin\nt;
C:\Xilinx\14.7\ISE_DS\ISE\lib\nt;
C:\Xilinx\14.7\ISE_DS\ISE\..\..\..\DocNav;
C:\Xilinx\14.7\ISE_DS\PlanAhead\bin;
C:\Xilinx\14.7\ISE_DS\EDK\bin\nt;
C:\Xilinx\14.7\ISE_DS\EDK\lib\nt;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\powerpc-eabi\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnuwin\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\arm\nt\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_be\bin;
C:\Xilinx\14.7\ISE_DS\EDK\gnu\microblaze\linux_toolchain\nt_le\bin;
C:\Xilinx\14.7\ISE_DS\common\bin\nt;
C:\Xilinx\14.7\ISE_DS\common\lib\nt;
C:\Program Files (x86)\Common Files\Oracle\Java\javapath;
C:\ispLEVER_Classic2_0\ispcpld\bin;
C:\ispLEVER_Classic2_0\ispFPGA\bin\nt;
C:\ispLEVER_Classic2_0\active-hdl\BIN;
C:\WinAVR-20100110\bin;
C:\WinAVR-20100110\utils\bin;
C:\Windows\system32;
C:\Windows;
C:\Windows\System32\Wbem;
C:\Windows\System32\WindowsPowerShell\v1.0\;
C:\Windows\System32\OpenSSH\;
C:\Program Files\Microchip\xc8\v2.31\bin;
C:\Program Files\PuTTY\;
C:\Program Files\WinMerge;
C:\Program Files\dotnet\;
C:\Program Files (x86)\NVIDIA Corporation\PhysX\Common;
C:\Users\zanek\AppData\Local\Microsoft\WindowsApps;
C:\Users\zanek\AppData\Local\GitHubDesktop\bin;
C:\altera\13.0sp1\modelsim_ase\win32aloem;
C:\Users\zanek\.dotnet\tools;
C:\Program Files (x86)\Skyworks\ClockBuilder Pro\Bin XILINX @@ -206,7 +206,7 @@ -uc   -C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf +MXSE.ucf None diff --git a/cpld/XC95144XL/MXSE_html/fit/applet.js b/cpld/XC95144/MXSE_html/fit/applet.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/applet.js rename to cpld/XC95144/MXSE_html/fit/applet.js diff --git a/cpld/XC95144XL/MXSE_html/fit/appletref.htm b/cpld/XC95144/MXSE_html/fit/appletref.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/appletref.htm rename to cpld/XC95144/MXSE_html/fit/appletref.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/ascii.htm b/cpld/XC95144/MXSE_html/fit/ascii.htm similarity index 50% rename from cpld/XC95144XL/MXSE_html/fit/ascii.htm rename to cpld/XC95144/MXSE_html/fit/ascii.htm index 9fad9ed..31bfc48 100644 --- a/cpld/XC95144XL/MXSE_html/fit/ascii.htm +++ b/cpld/XC95144/MXSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: MXSE Date: 12-11-2021, 6:24AM +Design Name: MXSE Date: 2- 7-2022, 0:04AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) +105/144 ( 73%) 427 /720 ( 59%) 234/432 ( 54%) 80 /144 ( 56%) 67 /81 ( 83%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 9/18 36/54 80/90 11/11* -FB2 18/18* 35/54 34/90 6/10 -FB3 14/18 35/54 77/90 6/10 -FB4 10/18 36/54 82/90 10/10* -FB5 17/18 35/54 47/90 4/10 -FB6 18/18* 24/54 37/90 10/10* -FB7 17/18 35/54 76/90 10/10* -FB8 7/18 8/54 6/90 10/10* +FB1 12/18 36/54 81/90 11/11* +FB2 18/18* 19/54 39/90 6/10 +FB3 8/18 33/54 81/90 6/10 +FB4 18/18* 29/54 29/90 10/10* +FB5 18/18* 30/54 45/90 5/10 +FB6 18/18* 38/54 63/90 10/10* +FB7 7/18 11/54 9/90 9/10 +FB8 6/18 38/54 80/90 10/10* ----- ----- ----- ----- - 110/144 244/432 439/720 67/81 + 105/144 234/432 427/720 67/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 1 1 | ** Power Data ** -There are 110 macrocells in high performance mode (MCHP). +There are 105 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -64,166 +64,161 @@ WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will ** 32 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET -nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST -nOE 1 2 FB1_11 17 I/O O STD FAST -nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET -nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET -nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET -nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET -nRAS 3 8 FB3_5 24 I/O O STD FAST -nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET -nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET -RA<0> 2 3 FB4_2 87 I/O O STD FAST -RA<2> 2 3 FB4_8 91 I/O O STD FAST -RA<4> 2 3 FB4_12 94 I/O O STD FAST -nDinOE 2 6 FB4_17 97 I/O O STD FAST -RA<1> 2 3 FB5_2 35 I/O O STD FAST -RA<3> 2 3 FB5_8 39 I/O O STD FAST -nROMCS 2 5 FB5_12 42 I/O O STD FAST -nCAS 1 1 FB6_2 74 I/O O STD FAST RESET -nRAMLWE 1 5 FB6_6 77 I/O O STD FAST -nRAMUWE 1 5 FB6_9 79 I/O O STD FAST -nROMWE 1 2 FB6_12 81 I/O O STD FAST -nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST -RA<5> 2 3 FB7_2 50 I/O O STD FAST -RA<6> 2 3 FB7_6 53 I/O O STD FAST -RA<7> 2 3 FB7_9 55 I/O O STD FAST -RA<8> 2 3 FB7_12 58 I/O O STD FAST -RA<9> 2 3 FB7_15 60 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -RA<10> 1 1 FB8_6 65 I/O O STD FAST -nADoutLE0 1 2 FB8_9 67 I/O O STD FAST -nAoutOE 0 0 FB8_12 70 I/O O STD FAST -nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nBERR_FSB 3 9 FB1_2 11 I/O O STD FAST +nDinOE 2 6 FB1_5 13 I/O O STD FAST +nROMCS 2 5 FB1_8 15 I/O O STD FAST +nVMA_IOB 2 9 FB1_11 17 I/O O STD FAST RESET +RA<10> 1 1 FB1_14 19 I/O O STD FAST +nLDS_IOB 4 6 FB2_11 6 I/O O STD FAST RESET +nUDS_IOB 4 6 FB2_12 7 I/O O STD FAST RESET +nAS_IOB 2 4 FB2_14 8 I/O O STD FAST RESET +nDoutOE 2 4 FB2_17 10 I/O O STD FAST RESET +nRAS 3 8 FB3_5 24 I/O O STD FAST +RA<0> 2 3 FB3_11 29 I/O O STD FAST +RA<11> 1 1 FB3_15 33 I/O O STD FAST +RA<1> 2 3 FB4_2 87 I/O O STD FAST +nOE 1 2 FB4_6 90 I/O O STD FAST +nRAMLWE 1 5 FB4_9 92 I/O O STD FAST +nRAMUWE 1 5 FB4_12 94 I/O O STD FAST +nROMWE 1 2 FB4_15 96 I/O O STD FAST +RA<2> 2 3 FB5_2 35 I/O O STD FAST +RA<3> 2 3 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<9> 2 3 FB5_12 42 I/O O STD FAST +nCAS 1 1 FB5_15 46 I/O O STD FAST RESET +RA<5> 2 3 FB6_2 74 I/O O STD FAST +RA<6> 2 3 FB6_6 77 I/O O STD FAST +RA<7> 2 3 FB6_9 79 I/O O STD FAST +RA<8> 2 3 FB6_12 81 I/O O STD FAST +nADoutLE1 2 3 FB6_15 85 I/O O STD FAST SET +nDinLE 2 3 FB7_2 50 I/O O STD FAST RESET +nADoutLE0 1 2 FB7_8 54 I/O O STD FAST +nVPA_FSB 1 2 FB7_12 58 I/O O STD FAST +nDTACK_FSB 22 33 FB8_5 64 I/O O STD FAST RESET +nAoutOE 0 0 FB8_11 68 I/O O STD FAST -** 78 Buried Nodes ** +** 73 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -$OpTx$FX_DC$606 5 12 FB1_4 STD -fsb/Ready1r 7 17 FB1_7 STD RESET -fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD -fsb/Ready2r 9 22 FB1_12 STD RESET -fsb/VPA 22 30 FB1_15 STD RESET -$OpTx$FX_DC$602 2 5 FB1_17 STD -iobm/VPArr 1 1 FB2_1 STD RESET -iobm/VPArf 1 1 FB2_2 STD RESET -iobm/RESrr 1 1 FB2_3 STD RESET -iobm/RESrf 1 1 FB2_4 STD RESET -iobm/IOREQr 1 1 FB2_5 STD RESET -cnt/RefCnt<3> 1 3 FB2_6 STD RESET -cnt/RefCnt<2> 1 2 FB2_7 STD RESET -cnt/RefCnt<1> 1 1 FB2_8 STD RESET -fsb/BERR1r 2 4 FB2_9 STD RESET -cnt/RefDone 2 10 FB2_10 STD RESET -cnt/TimeoutBPre 3 11 FB2_13 STD RESET -TimeoutB 3 12 FB2_15 STD RESET -TimeoutA 3 10 FB2_16 STD RESET -iobs/IOReady 4 8 FB2_18 STD RESET -IORW0 18 20 FB3_3 STD RESET -iobs/IOACTr 1 1 FB3_4 STD RESET -iobs/Clear1 1 3 FB3_6 STD RESET -fsb/ASrf 1 1 FB3_7 STD RESET -ALE0S 1 2 FB3_8 STD RESET -iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET -iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET -IOREQ 14 19 FB3_13 STD RESET -iobm/ETACK 1 6 FB3_14 STD RESET -iobs/IORW1 16 19 FB3_17 STD RESET -ram/BACTr 1 2 FB3_18 STD RESET -ram/RASEL 20 15 FB4_1 STD RESET -cs/nOverlay1 2 3 FB4_3 STD RESET -iobs/Load1 14 18 FB4_6 STD RESET -iobs/Once 17 18 FB4_10 STD RESET -ram/RAMDIS1 18 15 FB4_14 STD RESET -fsb/BERR0r 3 8 FB4_16 STD RESET -IOACT 10 15 FB5_1 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobs/IORW1 16 19 FB1_4 STD RESET +IOREQ 14 19 FB1_7 STD RESET +iobs/PS_FSM_FFd2 14 19 FB1_9 STD RESET +BERR_IOBS 4 8 FB1_12 STD RESET +fsb/BERR0r 3 8 FB1_13 STD RESET +cs/nOverlay0 2 7 FB1_15 STD RESET +IORW0 18 20 FB1_16 STD RESET +iobm/RESrr 1 1 FB2_1 STD RESET +iobm/RESrf 1 1 FB2_2 STD RESET +iobm/IOREQr 1 1 FB2_3 STD RESET +iobm/Er2 1 1 FB2_4 STD RESET +iobm/ETACK 1 6 FB2_5 STD RESET +iobm/DTACKrr 1 1 FB2_6 STD RESET +iobm/DTACKrf 1 1 FB2_7 STD RESET +iobm/BERRrr 1 1 FB2_8 STD RESET +iobm/BERRrf 1 1 FB2_9 STD RESET +iobm/ES<3> 3 6 FB2_10 STD RESET +iobm/ES<1> 3 4 FB2_13 STD RESET +iobm/ES<0> 3 7 FB2_15 STD RESET +iobm/ES<4> 4 7 FB2_16 STD RESET +iobm/ES<2> 5 7 FB2_18 STD RESET +ram/RASEL 20 15 FB3_4 STD RESET +ram/RAMDIS2 7 15 FB3_8 STD RESET +iobs/Load1 14 18 FB3_10 STD RESET +ram/RAMReady 16 15 FB3_13 STD RESET +ram/RAMDIS1 18 15 FB3_17 STD RESET +ram/BACTr 1 2 FB4_1 STD RESET +cnt/RefCnt<7> 1 7 FB4_3 STD RESET +cnt/RefCnt<6> 1 6 FB4_4 STD RESET +cnt/RefCnt<5> 1 5 FB4_5 STD RESET +cnt/RefCnt<4> 1 4 FB4_7 STD RESET +cnt/RefCnt<3> 1 3 FB4_8 STD RESET +cnt/RefCnt<2> 1 2 FB4_10 STD RESET +fsb/BERR1r 2 4 FB4_11 STD RESET +cnt/RefDone 2 10 FB4_13 STD RESET +cnt/TimeoutBPre 3 11 FB4_14 STD RESET +TimeoutB 3 12 FB4_16 STD RESET +TimeoutA 3 10 FB4_17 STD RESET +IOU0 3 5 FB4_18 STD RESET +iobs/IOACTr 1 1 FB5_1 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET -iobm/BERRrr 1 1 FB5_7 STD RESET -iobm/BERRrf 1 1 FB5_9 STD RESET -cnt/RefCnt<0> 0 0 FB5_10 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET -ALE0M 2 7 FB5_13 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET -BERR_IOBS 4 8 FB5_15 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET -IOBERR 8 11 FB5_18 STD RESET -iobm/Er2 1 1 FB6_1 STD RESET -iobm/DTACKrr 1 1 FB6_3 STD RESET -iobm/DTACKrf 1 1 FB6_4 STD RESET -RefAck 1 2 FB6_5 STD RESET -iobs/IOU1 2 2 FB6_7 STD RESET -iobs/IOL1 2 2 FB6_8 STD RESET -iobm/ES<3> 3 6 FB6_10 STD RESET -iobm/ES<1> 3 4 FB6_11 STD RESET -iobm/ES<0> 3 7 FB6_13 STD RESET -IOU0 3 5 FB6_14 STD RESET -IOL0 3 5 FB6_16 STD RESET -iobm/ES<4> 4 7 FB6_17 STD RESET -iobm/ES<2> 5 7 FB6_18 STD RESET -cnt/RefCnt<5> 1 5 FB7_1 STD RESET -cnt/RefCnt<4> 1 4 FB7_3 STD RESET -cs/nOverlay0 2 7 FB7_4 STD RESET -ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET -fsb/Ready0r 3 8 FB7_7 STD RESET -ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET -ram/Once 5 10 FB7_10 STD RESET -ram/RAMDIS2 7 15 FB7_11 STD RESET -ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET -cnt/RefCnt<7> 1 7 FB7_14 STD RESET -cnt/RefCnt<6> 1 6 FB7_16 STD RESET -ram/RAMReady 16 15 FB7_17 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET -iobm/Er 1 1 FB8_18 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +fsb/ASrf 1 1 FB5_3 STD RESET +cnt/RefCnt<1> 1 1 FB5_4 STD RESET +cnt/RefCnt<0> 0 0 FB5_5 STD RESET +$OpTx$$OpTx$FX_DC$355_INV$439 1 2 FB5_7 STD +iobs/IOU1 2 2 FB5_8 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB5_10 STD RESET +iobm/IOS_FSM_FFd1 2 4 FB5_11 STD RESET +ALE0M 2 5 FB5_13 STD RESET +iobm/IOS_FSM_FFd4 4 6 FB5_14 STD RESET +iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET +IOACT 6 13 FB5_17 STD RESET +IOBERR 9 14 FB5_18 STD RESET +ram/Once 5 10 FB6_1 STD RESET +ALE0S 1 2 FB6_3 STD RESET +ram/RS_FSM_FFd3 11 14 FB6_4 STD RESET +iobs/PS_FSM_FFd1 2 3 FB6_5 STD RESET +iobs/IOL1 2 2 FB6_7 STD RESET +cs/nOverlay1 2 3 FB6_8 STD RESET +fsb/Ready0r 3 8 FB6_10 STD RESET +IOL0 3 5 FB6_11 STD RESET +iobs/IOReady 4 8 FB6_13 STD RESET +ram/RS_FSM_FFd1 5 10 FB6_14 STD RESET +iobs/Clear1 1 3 FB6_16 STD RESET +ram/RS_FSM_FFd2 13 14 FB6_17 STD RESET +RefAck 1 2 FB6_18 STD RESET +iobm/VPArr 1 1 FB7_15 STD RESET +iobm/VPArf 1 1 FB7_16 STD RESET +iobm/Er 1 1 FB7_17 STD RESET +$OpTx$FX_DC$360 2 2 FB7_18 STD +fsb/Ready2r 9 22 FB8_8 STD RESET +fsb/Ready1r 7 17 FB8_9 STD RESET +iobs/Once 17 18 FB8_13 STD RESET +fsb/VPA 25 31 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<9> FB1_3 12 I/O I -nAS_FSB FB1_5 13 I/O I -A_FSB<5> FB1_8 15 I/O I -A_FSB<2> FB1_9 16 I/O I -nBERR_IOB FB1_12 18 I/O I -A_FSB<6> FB1_14 19 I/O I -A_FSB<7> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22~ GCK/I/O GCK -nRES FB2_2 99~ GSR/I/O GSR/I -A_FSB<22> FB2_15 9 I/O I -CLK_FSB FB3_2 23~ GCK/I/O GCK -nLDS_FSB FB3_6 25 I/O I -CLK_IOB FB3_8 27~ GCK/I/O GCK/I -A_FSB<10> FB4_5 89 I/O I -A_FSB<1> FB4_6 90 I/O I -A_FSB<12> FB4_9 92 I/O I -A_FSB<14> FB4_11 93 I/O I -A_FSB<16> FB4_14 95 I/O I -A_FSB<17> FB4_15 96 I/O I -E_IOB FB5_17 49 I/O I -A_FSB<18> FB6_5 76 I/O I -A_FSB<3> FB6_8 78 I/O I -A_FSB<15> FB6_11 80 I/O I -A_FSB<13> FB6_14 82 I/O I -A_FSB<11> FB6_17 86 I/O I -nUDS_FSB FB7_5 52 I/O I -nDTACK_IOB FB7_8 54 I/O I -A_FSB<4> FB7_11 56 I/O I -nVPA_IOB FB7_14 59 I/O I -nWE_FSB FB7_17 61 I/O I -A_FSB<8> FB8_5 64 I/O I -A_FSB<23> FB8_8 66 I/O I -A_FSB<21> FB8_11 68 I/O I -A_FSB<20> FB8_14 71 I/O I -A_FSB<19> FB8_17 73 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_3 12 I/O I +A_FSB<20> FB1_6 14 I/O I +A_FSB<5> FB1_9 16 I/O I +nUDS_FSB FB1_12 18 I/O I +nDTACK_IOB FB1_15 20 I/O I +CLK2X_IOB FB1_17 22~ GCK/I/O GCK +nRES FB2_2 99~ GSR/I/O GSR/I +nLDS_FSB FB2_15 9 I/O I +CLK_FSB FB3_2 23~ GCK/I/O GCK +nVPA_IOB FB3_6 25 I/O I +CLK_IOB FB3_8 27~ GCK/I/O GCK/I +A_FSB<10> FB4_5 89 I/O I +A_FSB<16> FB4_8 91 I/O I +A_FSB<18> FB4_11 93 I/O I +A_FSB<22> FB4_14 95 I/O I +A_FSB<6> FB4_17 97 I/O I +A_FSB<7> FB6_5 76 I/O I +A_FSB<23> FB6_8 78 I/O I +A_FSB<21> FB6_11 80 I/O I +A_FSB<17> FB6_14 82 I/O I +A_FSB<15> FB6_17 86 I/O I +E_IOB FB7_6 53 I/O I +A_FSB<2> FB7_9 55 I/O I +nBERR_IOB FB7_11 56 I/O I +A_FSB<4> FB7_14 59 I/O I +nWE_FSB FB7_15 60 I/O I +A_FSB<19> FB7_17 61 I/O I +A_FSB<14> FB8_2 63 I/O I +A_FSB<12> FB8_6 65 I/O I +A_FSB<11> FB8_8 66 I/O I +A_FSB<3> FB8_9 67 I/O I +nAS_FSB FB8_12 70 I/O I +A_FSB<9> FB8_14 71 I/O I +A_FSB<1> FB8_15 72 I/O I +A_FSB<8> FB8_17 73 I/O I Legend: Pin No. - ~ - User Assigned @@ -245,434 +240,426 @@ Number of function block inputs used/remaining: 36/18 Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB1_1 (b) (b) -nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O -(unused) 0 0 /\5 0 FB1_3 12 I/O I -$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) -(unused) 0 0 /\3 2 FB1_5 13 I/O I -nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O -fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) -(unused) 0 0 0 5 FB1_8 15 I/O I -(unused) 0 0 \/3 2 FB1_9 16 I/O I -fsb/VPA__or00001/fsb/VPA__or00001_D2 - 8 3<- 0 0 FB1_10 (b) (b) -nOE 1 0 \/4 0 FB1_11 17 I/O O -fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I -(unused) 0 0 \/5 0 FB1_13 (b) (b) -(unused) 0 0 \/5 0 FB1_14 19 I/O I -fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I -(unused) 0 0 /\5 0 FB1_16 (b) (b) -$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK -(unused) 0 0 \/5 0 FB1_18 (b) (b) +(unused) 0 0 \/1 4 FB1_1 (b) (b) +nBERR_FSB 3 1<- \/3 0 FB1_2 11 I/O O +(unused) 0 0 \/5 0 FB1_3 12 I/O I +iobs/IORW1 16 11<- 0 0 FB1_4 (b) (b) +nDinOE 2 0 /\3 0 FB1_5 13 I/O O +(unused) 0 0 \/5 0 FB1_6 14 I/O I +IOREQ 14 9<- 0 0 FB1_7 (b) (b) +nROMCS 2 1<- /\4 0 FB1_8 15 I/O O +iobs/PS_FSM_FFd2 14 10<- /\1 0 FB1_9 16 I/O I +(unused) 0 0 /\5 0 FB1_10 (b) (b) +nVMA_IOB 2 2<- /\5 0 FB1_11 17 I/O O +BERR_IOBS 4 1<- /\2 0 FB1_12 18 I/O I +fsb/BERR0r 3 0 /\1 1 FB1_13 (b) (b) +RA<10> 1 0 \/2 2 FB1_14 19 I/O O +cs/nOverlay0 2 2<- \/5 0 FB1_15 20 I/O I +IORW0 18 13<- 0 0 FB1_16 (b) (b) +(unused) 0 0 /\5 0 FB1_17 22 GCK/I/O GCK +(unused) 0 0 /\3 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r - 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r - 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r - 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r - 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA - 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 - 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady - 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 - 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB - 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB - 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB - 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady + 1: A_FSB<13> 13: IOBERR 25: iobm/ES<4> + 2: A_FSB<14> 14: IORW0 26: iobm/VPArf + 3: A_FSB<16> 15: TimeoutB 27: iobm/VPArr + 4: A_FSB<17> 16: cs/nOverlay0 28: iobs/IOACTr + 5: A_FSB<18> 17: cs/nOverlay1 29: iobs/IORW1 + 6: A_FSB<19> 18: fsb/ASrf 30: iobs/Once + 7: A_FSB<20> 19: fsb/BERR0r 31: iobs/PS_FSM_FFd1 + 8: A_FSB<21> 20: fsb/BERR1r 32: iobs/PS_FSM_FFd2 + 9: A_FSB<22> 21: iobm/ES<0> 33: nADoutLE1 + 10: A_FSB<23> 22: iobm/ES<1> 34: nAS_FSB + 11: BERR_IOBS 23: iobm/ES<2> 35: nVMA_IOB + 12: IOACT 24: iobm/ES<3> 36: nWE_FSB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 -$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 -nBERR_FSB ............XXXX..X.X..XX.......X....... 9 -fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 -fsb/VPA__or00001/fsb/VPA__or00001_D2 - ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 -nOE ................................X.X..... 2 -fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 -fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 -$OpTx$FX_DC$602 ............XXXX....X................... 5 +nBERR_FSB ......XXXXX...X...XX.............X...... 9 +iobs/IORW1 XXXXXXXXXX......XX..........XXXXXX.X.... 19 +nDinOE ......XXXX.......................X.X.... 6 +IOREQ XXXXXXXXXX......XX.........X.XXXXX.X.... 19 +nROMCS ......XXXX......X....................... 5 +iobs/PS_FSM_FFd2 XXXXXXXXXX......XX.........X.XXXXX.X.... 19 +nVMA_IOB ...........X........XXXXXXX.......X..... 9 +BERR_IOBS ..........X.X....X.........X.X.XXX...... 8 +fsb/BERR0r ......XXXX....X..XX..............X...... 8 +RA<10> .......X................................ 1 +cs/nOverlay0 ......XXXX.....X.X...............X...... 7 +IORW0 XXXXXXXXXX...X..XX..........XXXXXX.X.... 20 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 19/35 +Number of signals used by logic mapping into function block: 19 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/VPArr 1 0 0 4 FB2_1 (b) (b) -iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I -iobm/RESrr 1 0 0 4 FB2_3 (b) (b) -iobm/RESrf 1 0 0 4 FB2_4 (b) (b) -iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) -cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) -cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) -cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) -fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) -cnt/RefDone 2 0 0 3 FB2_10 (b) (b) -nLDS_IOB 3 0 0 2 FB2_11 6 I/O O -nUDS_IOB 3 0 0 2 FB2_12 7 I/O O -cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) -nDoutOE 2 0 0 3 FB2_14 8 I/O O -TimeoutB 3 0 0 2 FB2_15 9 I/O I -TimeoutA 3 0 0 2 FB2_16 (b) (b) -nAS_IOB 1 0 0 4 FB2_17 10 I/O O -iobs/IOReady 4 0 0 1 FB2_18 (b) (b) +iobm/RESrr 1 0 0 4 FB2_1 (b) (b) +iobm/RESrf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I +iobm/IOREQr 1 0 0 4 FB2_3 (b) (b) +iobm/Er2 1 0 0 4 FB2_4 (b) (b) +iobm/ETACK 1 0 0 4 FB2_5 1 GTS/I/O (b) +iobm/DTACKrr 1 0 0 4 FB2_6 2 GTS/I/O (b) +iobm/DTACKrf 1 0 0 4 FB2_7 (b) (b) +iobm/BERRrr 1 0 0 4 FB2_8 3 GTS/I/O (b) +iobm/BERRrf 1 0 0 4 FB2_9 4 GTS/I/O (b) +iobm/ES<3> 3 0 0 2 FB2_10 (b) (b) +nLDS_IOB 4 0 0 1 FB2_11 6 I/O O +nUDS_IOB 4 0 0 1 FB2_12 7 I/O O +iobm/ES<1> 3 0 0 2 FB2_13 (b) (b) +nAS_IOB 2 0 0 3 FB2_14 8 I/O O +iobm/ES<0> 3 0 0 2 FB2_15 9 I/O I +iobm/ES<4> 4 0 0 1 FB2_16 (b) (b) +nDoutOE 2 0 0 3 FB2_17 10 I/O O +iobm/ES<2> 5 0 0 0 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 - 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 - 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 - 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr - 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady - 6: IOU0 18: cnt/RefDone 30: iobs/Once - 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 - 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 - 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB - 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES - 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB - 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 + 1: IOL0 8: iobm/ES<3> 14: iobm/IOS_FSM_FFd3 + 2: IOREQ 9: iobm/ES<4> 15: iobm/IOS_FSM_FFd4 + 3: IORW0 10: iobm/Er 16: nBERR_IOB + 4: IOU0 11: iobm/Er2 17: nDTACK_IOB + 5: iobm/ES<0> 12: iobm/IOS_FSM_FFd1 18: nRES + 6: iobm/ES<1> 13: iobm/IOS_FSM_FFd2 19: nVMA_IOB + 7: iobm/ES<2> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..................................X..... 1 -iobm/VPArf ..................................X..... 1 -iobm/RESrr .................................X...... 1 -iobm/RESrf .................................X...... 1 -iobm/IOREQr ...X.................................... 1 -cnt/RefCnt<3> .........XXX............................ 3 -cnt/RefCnt<2> .........XX............................. 2 -cnt/RefCnt<1> .........X.............................. 1 -fsb/BERR1r X..................XX...........X....... 4 -cnt/RefDone ......X..XXXXXXXXX...................... 10 -nLDS_IOB ..X.X.................XXXXX............. 7 -nUDS_IOB ....XX................XXXXX............. 7 -cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 -nDoutOE ....X................XXXXXX............. 7 -TimeoutB ........XXXXXXXXX.XX............X....... 12 -TimeoutA .......X.XXXXXXX...X............X....... 10 -nAS_IOB ......................XXXXX............. 5 -iobs/IOReady .X.................X.......XXXXXX....... 8 +iobm/RESrr .................X...................... 1 +iobm/RESrf .................X...................... 1 +iobm/IOREQr .X...................................... 1 +iobm/Er2 .........X.............................. 1 +iobm/ETACK ....XXXXX.........X..................... 6 +iobm/DTACKrr ................X....................... 1 +iobm/DTACKrf ................X....................... 1 +iobm/BERRrr ...............X........................ 1 +iobm/BERRrf ...............X........................ 1 +iobm/ES<3> ....XXXX.XX............................. 6 +nLDS_IOB X.X........XXXX......................... 6 +nUDS_IOB ..XX.......XXXX......................... 6 +iobm/ES<1> ....XX...XX............................. 4 +nAS_IOB ...........XXXX......................... 4 +iobm/ES<0> ....XXXXXXX............................. 7 +iobm/ES<4> ....XXXXXXX............................. 7 +nDoutOE ..X.........XXX......................... 4 +iobm/ES<2> ....XXXXXXX............................. 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 33/21 +Number of signals used by logic mapping into function block: 33 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/4 1 FB3_1 (b) (b) +(unused) 0 0 /\3 2 FB3_1 (b) (b) (unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK -IORW0 18 13<- 0 0 FB3_3 (b) (b) -iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) -nRAS 3 0 0 2 FB3_5 24 I/O O -iobs/Clear1 1 0 0 4 FB3_6 25 I/O I -fsb/ASrf 1 0 0 4 FB3_7 (b) (b) -ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I -iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) -(unused) 0 0 /\5 0 FB3_10 (b) (b) -nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O -iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) -IOREQ 14 9<- 0 0 FB3_13 (b) (b) -iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) -nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O +(unused) 0 0 \/5 0 FB3_3 (b) (b) +ram/RASEL 20 15<- 0 0 FB3_4 (b) (b) +nRAS 3 3<- /\5 0 FB3_5 24 I/O O +(unused) 0 0 /\3 2 FB3_6 25 I/O I +(unused) 0 0 \/3 2 FB3_7 (b) (b) +ram/RAMDIS2 7 3<- \/1 0 FB3_8 27 GCK/I/O GCK/I +(unused) 0 0 \/5 0 FB3_9 28 I/O (b) +iobs/Load1 14 9<- 0 0 FB3_10 (b) (b) +RA<0> 2 0 /\3 0 FB3_11 29 I/O O +(unused) 0 0 \/5 0 FB3_12 30 I/O (b) +ram/RAMReady 16 11<- 0 0 FB3_13 (b) (b) +(unused) 0 0 /\5 0 FB3_14 32 I/O (b) +RA<11> 1 0 /\1 3 FB3_15 33 I/O O (unused) 0 0 \/5 0 FB3_16 (b) (b) -iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) -ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) +ram/RAMDIS1 18 13<- 0 0 FB3_17 34 I/O (b) +(unused) 0 0 /\5 0 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 - 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 - 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once - 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 - 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 - 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 - 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB - 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB - 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB - 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 - 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 - 12: IORW0 24: iobs/IOACTr + 1: A_FSB<10> 12: A_FSB<23> 23: nADoutLE1 + 2: A_FSB<13> 13: RefAck 24: nAS_FSB + 3: A_FSB<14> 14: cnt/RefCnt<5> 25: nWE_FSB + 4: A_FSB<16> 15: cnt/RefCnt<6> 26: ram/BACTr + 5: A_FSB<17> 16: cnt/RefCnt<7> 27: ram/Once + 6: A_FSB<18> 17: cnt/RefDone 28: ram/RAMDIS1 + 7: A_FSB<19> 18: cs/nOverlay1 29: ram/RAMDIS2 + 8: A_FSB<1> 19: fsb/ASrf 30: ram/RASEL + 9: A_FSB<20> 20: iobs/Once 31: ram/RS_FSM_FFd1 + 10: A_FSB<21> 21: iobs/PS_FSM_FFd1 32: ram/RS_FSM_FFd2 + 11: A_FSB<22> 22: iobs/PS_FSM_FFd2 33: ram/RS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 -iobs/IOACTr ..........X............................. 1 -nRAS .......XXX..XX................X..XX..... 8 -iobs/Clear1 ...........................XXX.......... 3 -fsb/ASrf ..............................X......... 1 -ALE0S ...........................XX........... 2 -iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 -nADoutLE1 ......................X..X...X.......... 3 -iobs/PS_FSM_FFd1 .......................X...XX........... 3 -IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 -iobm/ETACK ...............XXXXX...........X........ 6 -nVMA_IOB ..........X....XXXXXXX.........X........ 9 -iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 -ram/BACTr ..............X...............X......... 2 +ram/RASEL .........XXX.XXXXXX....X.XX...XXX....... 15 +nRAS .........XXXX....X.....X...XX........... 8 +ram/RAMDIS2 .........XXX.XXXXXX....X..X.X.XXX....... 15 +iobs/Load1 .XXXXXX.XXXX.....XXXXXXXX............... 18 +RA<0> X......X.....................X.......... 3 +ram/RAMReady .........XXX.XXXXXX....X.XX...XXX....... 15 +RA<11> ......X................................. 1 +ram/RAMDIS1 .........XXX.XXXXXX....X.XX...XXX....... 15 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 +Number of function block inputs used/remaining: 29/25 +Number of signals used by logic mapping into function block: 29 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) -RA<0> 2 2<- /\5 0 FB4_2 87 I/O O -cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) -(unused) 0 0 0 5 FB4_4 (b) -(unused) 0 0 \/4 1 FB4_5 89 I/O I -iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I -(unused) 0 0 /\5 0 FB4_7 (b) (b) -RA<2> 2 0 \/2 1 FB4_8 91 I/O O -(unused) 0 0 \/5 0 FB4_9 92 I/O I -iobs/Once 17 12<- 0 0 FB4_10 (b) (b) -(unused) 0 0 /\5 0 FB4_11 93 I/O I -RA<4> 2 0 \/3 0 FB4_12 94 I/O O -(unused) 0 0 \/5 0 FB4_13 (b) (b) -ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I -(unused) 0 0 /\5 0 FB4_15 96 I/O I -fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) -nDinOE 2 2<- \/5 0 FB4_17 97 I/O O -(unused) 0 0 \/5 0 FB4_18 (b) (b) +ram/BACTr 1 0 0 4 FB4_1 (b) (b) +RA<1> 2 0 0 3 FB4_2 87 I/O O +cnt/RefCnt<7> 1 0 0 4 FB4_3 (b) (b) +cnt/RefCnt<6> 1 0 0 4 FB4_4 (b) (b) +cnt/RefCnt<5> 1 0 0 4 FB4_5 89 I/O I +nOE 1 0 0 4 FB4_6 90 I/O O +cnt/RefCnt<4> 1 0 0 4 FB4_7 (b) (b) +cnt/RefCnt<3> 1 0 0 4 FB4_8 91 I/O I +nRAMLWE 1 0 0 4 FB4_9 92 I/O O +cnt/RefCnt<2> 1 0 0 4 FB4_10 (b) (b) +fsb/BERR1r 2 0 0 3 FB4_11 93 I/O I +nRAMUWE 1 0 0 4 FB4_12 94 I/O O +cnt/RefDone 2 0 0 3 FB4_13 (b) (b) +cnt/TimeoutBPre 3 0 0 2 FB4_14 95 I/O I +nROMWE 1 0 0 4 FB4_15 96 I/O O +TimeoutB 3 0 0 2 FB4_16 (b) (b) +TimeoutA 3 0 0 2 FB4_17 97 I/O I +IOU0 3 0 0 2 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once - 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 - 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 - 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 - 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB - 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB - 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr - 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once - 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL - 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 - 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 - 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 + 1: A_FSB<11> 11: cnt/RefCnt<4> 21: iobs/PS_FSM_FFd2 + 2: A_FSB<2> 12: cnt/RefCnt<5> 22: nADoutLE1 + 3: BERR_IOBS 13: cnt/RefCnt<6> 23: nAS_FSB + 4: RefAck 14: cnt/RefCnt<7> 24: nLDS_FSB + 5: TimeoutA 15: cnt/RefDone 25: nUDS_FSB + 6: TimeoutB 16: cnt/TimeoutBPre 26: nWE_FSB + 7: cnt/RefCnt<0> 17: fsb/ASrf 27: ram/RAMDIS1 + 8: cnt/RefCnt<1> 18: fsb/BERR1r 28: ram/RAMDIS2 + 9: cnt/RefCnt<2> 19: iobs/IOU1 29: ram/RASEL + 10: cnt/RefCnt<3> 20: iobs/PS_FSM_FFd1 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -RA<0> X.......X.......................X....... 3 -cs/nOverlay1 ....................X.X.....X........... 3 -iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<2> .X...........X..................X....... 3 -iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<4> ...X..........X.................X....... 3 -ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -fsb/BERR0r .........XXXX..X......XX....X........... 8 -nDinOE .........XXXX...............XX.......... 6 +ram/BACTr ................X.....X................. 2 +RA<1> XX..........................X........... 3 +cnt/RefCnt<7> ......XXXXXXX........................... 7 +cnt/RefCnt<6> ......XXXXXX............................ 6 +cnt/RefCnt<5> ......XXXXX............................. 5 +nOE ......................X..X.............. 2 +cnt/RefCnt<4> ......XXXX.............................. 4 +cnt/RefCnt<3> ......XXX............................... 3 +nRAMLWE ......................XX.XXX............ 5 +cnt/RefCnt<2> ......XX................................ 2 +fsb/BERR1r ..X.............XX....X................. 4 +nRAMUWE ......................X.XXXX............ 5 +cnt/RefDone ...X..XXXXXXXXX......................... 10 +cnt/TimeoutBPre ......XXXXXXXX.XX.....X................. 11 +nROMWE ......................X..X.............. 2 +TimeoutB .....XXXXXXXXX.XX.....X................. 12 +TimeoutA ....X.XXXXXXX...X.....X................. 10 +IOU0 ..................XXXX..X............... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 30/24 +Number of signals used by logic mapping into function block: 30 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOACT 10 5<- 0 0 FB5_1 (b) (b) -RA<1> 2 0 /\3 0 FB5_2 35 I/O O -iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) -iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) -iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) -iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) -RA<3> 2 0 0 3 FB5_8 39 I/O O -iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) -cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) -iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) -nROMCS 2 0 0 3 FB5_12 42 I/O O +iobs/IOACTr 1 0 /\4 0 FB5_1 (b) (b) +RA<2> 2 0 0 3 FB5_2 35 I/O O +fsb/ASrf 1 0 0 4 FB5_3 (b) (b) +cnt/RefCnt<1> 1 0 0 4 FB5_4 (b) (b) +cnt/RefCnt<0> 0 0 0 5 FB5_5 36 I/O (b) +RA<3> 2 0 0 3 FB5_6 37 I/O O +$OpTx$$OpTx$FX_DC$355_INV$439 + 1 0 0 4 FB5_7 (b) (b) +iobs/IOU1 2 0 0 3 FB5_8 39 I/O (b) +RA<4> 2 0 0 3 FB5_9 40 I/O O +iobm/IOS_FSM_FFd2 2 0 0 3 FB5_10 (b) (b) +iobm/IOS_FSM_FFd1 2 0 0 3 FB5_11 41 I/O (b) +RA<9> 2 0 0 3 FB5_12 42 I/O O ALE0M 2 0 0 3 FB5_13 (b) (b) -iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) -BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) -iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O I -IOBERR 8 5<- \/2 0 FB5_18 (b) (b) +iobm/IOS_FSM_FFd4 4 0 0 1 FB5_14 43 I/O (b) +nCAS 1 0 \/1 3 FB5_15 46 I/O O +iobm/IOS_FSM_FFd3 5 1<- \/1 0 FB5_16 (b) (b) +IOACT 6 1<- 0 0 FB5_17 49 I/O (b) +IOBERR 9 4<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 - 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 - 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf - 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr - 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr - 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once - 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 - 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 - 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB - 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB - 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL - 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 + 1: A_FSB<12> 11: IOBERR 21: iobm/IOS_FSM_FFd2 + 2: A_FSB<13> 12: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd3 + 3: A_FSB<14> 13: fsb/ASrf 23: iobm/IOS_FSM_FFd4 + 4: A_FSB<19> 14: iobm/BERRrf 24: iobm/RESrf + 5: A_FSB<20> 15: iobm/BERRrr 25: iobm/RESrr + 6: A_FSB<3> 16: iobm/DTACKrf 26: iobs/Load1 + 7: A_FSB<4> 17: iobm/DTACKrr 27: nAS_FSB + 8: A_FSB<5> 18: iobm/ETACK 28: nBERR_IOB + 9: CLK_IOB 19: iobm/IOREQr 29: nUDS_FSB + 10: IOACT 20: iobm/IOS_FSM_FFd1 30: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IOACT .........X...XXXXXX.XXXXXXXX............ 15 -RA<1> X.....X...........................X..... 3 -iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 -iobm/IOS_FSM_FFd6 ........................X............... 1 -iobm/IOS_FSM_FFd5 .......................X................ 1 -iobm/IOS_FSM_FFd4 ......................X................. 1 -iobm/BERRrr .................................X...... 1 -RA<3> .X.....X..........................X..... 3 -iobm/BERRrf .................................X...... 1 +iobs/IOACTr .........X.............................. 1 +RA<2> X....X.......................X.......... 3 +fsb/ASrf ..........................X............. 1 +cnt/RefCnt<1> ...........X............................ 1 cnt/RefCnt<0> ........................................ 0 -iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 -nROMCS ..XXXX.....X............................ 5 -ALE0M ..................X.XXXXXX.............. 7 -iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 -BERR_IOBS ........X.X.X...............XXXXX....... 8 -iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 -IOBERR .........XX..XXXXX..X.....XX.....X...... 11 +RA<3> .X....X......................X.......... 3 +$OpTx$$OpTx$FX_DC$355_INV$439 + ............X.............X............. 2 +iobs/IOU1 .........................X..X........... 2 +RA<4> ..X....X.....................X.......... 3 +iobm/IOS_FSM_FFd2 ...................XXXX................. 4 +iobm/IOS_FSM_FFd1 ...................XXXX................. 4 +RA<9> ...XX........................X.......... 3 +ALE0M ..................XXXXX................. 5 +iobm/IOS_FSM_FFd4 ........X.........XXXXX................. 6 +nCAS .............................X.......... 1 +iobm/IOS_FSM_FFd3 ........X....XXXXX...XXXX............... 10 +IOACT ........X....XXXXXXXXXXXX............... 13 +IOBERR ........X.X..XXXXX.XXXXXX..X............ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/Er2 1 0 0 4 FB6_1 (b) (b) -nCAS 1 0 0 4 FB6_2 74 I/O O -iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) -iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) -RefAck 1 0 0 4 FB6_5 76 I/O I -nRAMLWE 1 0 0 4 FB6_6 77 I/O O -iobs/IOU1 2 0 0 3 FB6_7 (b) (b) -iobs/IOL1 2 0 0 3 FB6_8 78 I/O I -nRAMUWE 1 0 0 4 FB6_9 79 I/O O -iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I -nROMWE 1 0 0 4 FB6_12 81 I/O O -iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) -IOU0 3 0 0 2 FB6_14 82 I/O I -nVPA_FSB 1 0 0 4 FB6_15 85 I/O O -IOL0 3 0 0 2 FB6_16 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I -iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) +ram/Once 5 0 0 0 FB6_1 (b) (b) +RA<5> 2 0 0 3 FB6_2 74 I/O O +ALE0S 1 0 \/4 0 FB6_3 (b) (b) +ram/RS_FSM_FFd3 11 6<- 0 0 FB6_4 (b) (b) +iobs/PS_FSM_FFd1 2 0 /\2 1 FB6_5 76 I/O I +RA<6> 2 0 0 3 FB6_6 77 I/O O +iobs/IOL1 2 0 0 3 FB6_7 (b) (b) +cs/nOverlay1 2 0 0 3 FB6_8 78 I/O I +RA<7> 2 0 0 3 FB6_9 79 I/O O +fsb/Ready0r 3 0 0 2 FB6_10 (b) (b) +IOL0 3 0 0 2 FB6_11 80 I/O I +RA<8> 2 0 0 3 FB6_12 81 I/O O +iobs/IOReady 4 0 0 1 FB6_13 (b) (b) +ram/RS_FSM_FFd1 5 0 0 0 FB6_14 82 I/O I +nADoutLE1 2 0 0 3 FB6_15 85 I/O O +iobs/Clear1 1 0 \/4 0 FB6_16 (b) (b) +ram/RS_FSM_FFd2 13 8<- 0 0 FB6_17 86 I/O I +RefAck 1 0 /\4 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB - 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB - 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB - 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 - 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 - 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL - 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 - 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 + 1: A_FSB<15> 14: cnt/RefCnt<6> 27: iobs/PS_FSM_FFd1 + 2: A_FSB<16> 15: cnt/RefCnt<7> 28: iobs/PS_FSM_FFd2 + 3: A_FSB<17> 16: cnt/RefDone 29: nADoutLE1 + 4: A_FSB<18> 17: cs/nOverlay0 30: nAS_FSB + 5: A_FSB<21> 18: cs/nOverlay1 31: nLDS_FSB + 6: A_FSB<22> 19: fsb/ASrf 32: ram/BACTr + 7: A_FSB<23> 20: fsb/Ready0r 33: ram/Once + 8: A_FSB<6> 21: iobs/Clear1 34: ram/RAMReady + 9: A_FSB<7> 22: iobs/IOACTr 35: ram/RASEL + 10: A_FSB<8> 23: iobs/IOL1 36: ram/RS_FSM_FFd1 + 11: A_FSB<9> 24: iobs/IOReady 37: ram/RS_FSM_FFd2 + 12: IOBERR 25: iobs/Load1 38: ram/RS_FSM_FFd3 + 13: cnt/RefCnt<5> 26: iobs/Once Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/Er2 ......X................................. 1 -nCAS .....................X.................. 1 -iobm/DTACKrr ...............X........................ 1 -iobm/DTACKrf ...............X........................ 1 -RefAck ......................XX................ 2 -nRAMLWE ..............X.X.XXX................... 5 -iobs/IOU1 ..........X......X...................... 2 -iobs/IOL1 ..........X.....X....................... 2 -nRAMUWE ..............X..XXXX................... 5 -iobm/ES<3> .XXXX.XX................................ 6 -iobm/ES<1> .XX...XX................................ 4 -nROMWE ..............X...X..................... 2 -iobm/ES<0> .XXXXXXX................................ 7 -IOU0 .........X.XXX...X...................... 5 -nVPA_FSB X.............X......................... 2 -IOL0 ........X..XXX..X....................... 5 -iobm/ES<4> .XXXXXXX................................ 7 -iobm/ES<2> .XXXXXXX................................ 7 +ram/Once ....XXX..........XX..........X..X..XXX.. 10 +RA<5> X......X..........................X..... 3 +ALE0S ..........................XX............ 2 +ram/RS_FSM_FFd3 ....XXX.....XXXX.XX..........X..X..XXX.. 14 +iobs/PS_FSM_FFd1 .....................X....XX............ 3 +RA<6> .X......X.........................X..... 3 +iobs/IOL1 ........................X.....X......... 2 +cs/nOverlay1 ................X.X..........X.......... 3 +RA<7> ..X......X........................X..... 3 +fsb/Ready0r ....XXX..........XXX.........X...X...... 8 +IOL0 ......................X...XXX.X......... 5 +RA<8> ...X......X.......................X..... 3 +iobs/IOReady ...........X......X..X.X.X.XXX.......... 8 +ram/RS_FSM_FFd1 ....XXX..........XX..........X..X..XXX.. 10 +nADoutLE1 ....................X...X...X........... 3 +iobs/Clear1 ..........................XXX........... 3 +ram/RS_FSM_FFd2 ....XXX.....XXXX.XX..........X.X...XXX.. 14 +RefAck ...................................XX... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 +Number of function block inputs used/remaining: 11/43 +Number of signals used by logic mapping into function block: 11 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) -RA<5> 2 0 0 3 FB7_2 50 I/O O -cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) -cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I -RA<6> 2 0 /\3 0 FB7_6 53 I/O O -fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) -ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I -RA<7> 2 0 \/3 0 FB7_9 55 I/O O -ram/Once 5 3<- \/3 0 FB7_10 (b) (b) -ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I -RA<8> 2 1<- \/4 0 FB7_12 58 I/O O -ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) -cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I -RA<9> 2 0 \/1 2 FB7_15 60 I/O O -cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) -ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I -(unused) 0 0 /\5 0 FB7_18 (b) (b) +(unused) 0 0 0 5 FB7_1 (b) +nDinLE 2 0 0 3 FB7_2 50 I/O O +(unused) 0 0 0 5 FB7_3 (b) +(unused) 0 0 0 5 FB7_4 (b) +(unused) 0 0 0 5 FB7_5 52 I/O +(unused) 0 0 0 5 FB7_6 53 I/O I +(unused) 0 0 0 5 FB7_7 (b) +nADoutLE0 1 0 0 4 FB7_8 54 I/O O +(unused) 0 0 0 5 FB7_9 55 I/O I +(unused) 0 0 0 5 FB7_10 (b) +(unused) 0 0 0 5 FB7_11 56 I/O I +nVPA_FSB 1 0 0 4 FB7_12 58 I/O O +(unused) 0 0 0 5 FB7_13 (b) +(unused) 0 0 0 5 FB7_14 59 I/O I +iobm/VPArr 1 0 0 4 FB7_15 60 I/O I +iobm/VPArf 1 0 0 4 FB7_16 (b) (b) +iobm/Er 1 0 0 4 FB7_17 61 I/O I +$OpTx$FX_DC$360 2 0 0 3 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf - 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r - 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB - 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr - 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once - 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 - 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady - 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL - 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 - 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 - 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 - 12: A_FSB<8> 24: cs/nOverlay1 + 1: ALE0M 5: cs/nOverlay1 9: iobm/IOS_FSM_FFd4 + 2: ALE0S 6: fsb/VPA 10: nAS_FSB + 3: A_FSB<22> 7: iobm/IOS_FSM_FFd1 11: nVPA_IOB + 4: E_IOB 8: iobm/IOS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/RefCnt<5> .............XXXXX...................... 5 -RA<5> X........X.....................X........ 3 -cnt/RefCnt<4> .............XXXX....................... 4 -cs/nOverlay0 .....XXXX.............X.X.X............. 7 -ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 -RA<6> .X........X....................X........ 3 -fsb/Ready0r ......XXX..............XXXX...X......... 8 -ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 -RA<7> ..X........X...................X........ 3 -ram/Once ......XXX..............XX.X.X...XXX..... 10 -ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 -RA<8> ...X........X..................X........ 3 -ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 -cnt/RefCnt<7> .............XXXXXXX.................... 7 -RA<9> ....XX.........................X........ 3 -cnt/RefCnt<6> .............XXXXXX..................... 6 -ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 +nDinLE ......XXX............................... 3 +nADoutLE0 XX...................................... 2 +nVPA_FSB .....X...X.............................. 2 +iobm/VPArr ..........X............................. 1 +iobm/VPArf ..........X............................. 1 +iobm/Er ...X.................................... 1 +$OpTx$FX_DC$360 ..X.X................................... 2 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 8/46 -Number of signals used by logic mapping into function block: 8 +Number of function block inputs used/remaining: 38/16 +Number of signals used by logic mapping into function block: 38 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB8_1 (b) -RA<11> 1 0 0 4 FB8_2 63 I/O O -(unused) 0 0 0 5 FB8_3 (b) -(unused) 0 0 0 5 FB8_4 (b) -(unused) 0 0 0 5 FB8_5 64 I/O I -RA<10> 1 0 0 4 FB8_6 65 I/O O -(unused) 0 0 0 5 FB8_7 (b) -(unused) 0 0 0 5 FB8_8 66 I/O I -nADoutLE0 1 0 0 4 FB8_9 67 I/O O -(unused) 0 0 0 5 FB8_10 (b) -(unused) 0 0 0 5 FB8_11 68 I/O I -nAoutOE 0 0 0 5 FB8_12 70 I/O O -(unused) 0 0 0 5 FB8_13 (b) -(unused) 0 0 0 5 FB8_14 71 I/O I -nDinLE 1 0 0 4 FB8_15 72 I/O O -(unused) 0 0 0 5 FB8_16 (b) -iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I -iobm/Er 1 0 0 4 FB8_18 (b) (b) +(unused) 0 0 /\5 0 FB8_1 (b) (b) +(unused) 0 0 /\5 0 FB8_2 63 I/O I +(unused) 0 0 \/2 3 FB8_3 (b) (b) +(unused) 0 0 \/5 0 FB8_4 (b) (b) +nDTACK_FSB 22 17<- 0 0 FB8_5 64 I/O O +(unused) 0 0 /\5 0 FB8_6 65 I/O I +(unused) 0 0 /\5 0 FB8_7 (b) (b) +fsb/Ready2r 9 4<- 0 0 FB8_8 66 I/O I +fsb/Ready1r 7 6<- /\4 0 FB8_9 67 I/O I +(unused) 0 0 /\5 0 FB8_10 (b) (b) +nAoutOE 0 0 /\1 4 FB8_11 68 I/O O +(unused) 0 0 \/5 0 FB8_12 70 I/O I +iobs/Once 17 12<- 0 0 FB8_13 (b) (b) +(unused) 0 0 /\5 0 FB8_14 71 I/O I +(unused) 0 0 /\2 3 FB8_15 72 I/O I +(unused) 0 0 \/5 0 FB8_16 (b) (b) +(unused) 0 0 \/5 0 FB8_17 73 I/O I +fsb/VPA 25 20<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 - 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 - 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 + 1: $OpTx$$OpTx$FX_DC$355_INV$439 14: A_FSB<21> 27: fsb/Ready1r + 2: $OpTx$FX_DC$360 15: A_FSB<22> 28: fsb/Ready2r + 3: A_FSB<10> 16: A_FSB<23> 29: fsb/VPA + 4: A_FSB<11> 17: A_FSB<8> 30: iobs/IOReady + 5: A_FSB<12> 18: A_FSB<9> 31: iobs/Once + 6: A_FSB<13> 19: BERR_IOBS 32: iobs/PS_FSM_FFd1 + 7: A_FSB<14> 20: TimeoutA 33: iobs/PS_FSM_FFd2 + 8: A_FSB<15> 21: TimeoutB 34: nADoutLE1 + 9: A_FSB<16> 22: cs/nOverlay1 35: nAS_FSB + 10: A_FSB<17> 23: fsb/ASrf 36: nDTACK_FSB + 11: A_FSB<18> 24: fsb/BERR0r 37: nWE_FSB + 12: A_FSB<19> 25: fsb/BERR1r 38: ram/RAMReady + 13: A_FSB<20> 26: fsb/Ready0r Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> ..X..................................... 1 -RA<10> ...X.................................... 1 -nADoutLE0 XX...................................... 2 +nDTACK_FSB .XXXXXXXXXXXXXXXXXXXXXXXXXXX.X...XXXXX.. 33 +fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X......X.X... 22 +fsb/Ready1r .....XX.XXXXXXXX.....XX...X..X...XX.X... 17 nAoutOE ........................................ 0 -nDinLE ......XX................................ 2 -iobm/IOS_FSM_FFd1 .....X.................................. 1 -iobm/Er ....X................................... 1 +iobs/Once .....XX.XXXXXXXX.....XX.......XXXXX.X... 18 +fsb/VPA X.XXXXXXXXXXXXXXXXXXXX.XXXXXXX...X..XX.. 31 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -680,41 +667,44 @@ iobm/Er ....X................................... 1 ********** Mapped Logic ********** -$OpTx$FX_DC$602 <= ((NOT TimeoutB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); +$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); -$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); +$OpTx$FX_DC$360 <= NOT (A_FSB(22) + XOR +$OpTx$FX_DC$360 <= NOT (cs/nOverlay1); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8)); +ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1) + OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) + OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)); + + + + + + + + + + + + + + @@ -759,54 +749,42 @@ BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/BERRrf AND iobm/BERRrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/BERRrf AND iobm/BERRrr)); +IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND + CLK_IOB AND iobm/ETACK) + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND + OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); + OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/ETACK) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) + OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND + iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -841,8 +819,7 @@ IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((EXP22_.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -856,6 +833,7 @@ IORW0_T <= ((EXP22_.EXP) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (EXP15_.EXP) OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -872,6 +850,10 @@ IORW0_T <= ((EXP22_.EXP) A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -1025,15 +1007,7 @@ fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) +fsb/Ready1r_D <= ((nAoutOE_OBUF.EXP) OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady) @@ -1042,7 +1016,12 @@ fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady) OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady)); + NOT fsb/Ready1r AND NOT iobs/IOReady) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND + NOT nADoutLE1) + OR (nAS_FSB AND NOT fsb/ASrf)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) @@ -1080,64 +1059,48 @@ fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -fsb/VPA_D <= ((EXP18_.EXP) - OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) - OR (fsb/VPA AND fsb/ASrf AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) - OR ($OpTx$FX_DC$602.EXP) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND - $OpTx$FX_DC$606) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND - $OpTx$FX_DC$606) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +fsb/VPA_D <= ((EXP27_.EXP) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/VPA AND NOT nAS_FSB AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); - - -fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); + NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND + A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND + NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND + cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND + NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (EXP36_.EXP) + OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND + NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND + fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (BERR_IOBS AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR0r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (fsb/BERR1r AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439) + OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND + NOT $OpTx$$OpTx$FX_DC$355_INV$439)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -1190,39 +1153,37 @@ FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2)); FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); +iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) +iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND + iobm/RESrr) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3) OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); + OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND + iobm/BERRrr)); -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); - -FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); @@ -1242,14 +1203,13 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); iobs/IORW1_T <= ((iobs/Once) OR (NOT nADoutLE1) - OR (nVMA_IOB_OBUF.EXP) + OR (nBERR_FSB_OBUF.EXP) OR (NOT nWE_FSB AND NOT iobs/IORW1) OR (nAS_FSB AND NOT fsb/ASrf) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -1258,26 +1218,26 @@ iobs/IORW1_T <= ((iobs/Once) FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND IOBERR AND iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); + OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND + NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) + OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND + NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); iobs/Load1_D <= ((iobs/Once) OR (NOT nADoutLE1) + OR (ram/RAMDIS2.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) + OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND cs/nOverlay1) OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -1285,13 +1245,13 @@ iobs/Load1_D <= ((iobs/Once) OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -iobs/Once_D <= ((RA_2_OBUF.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +iobs/Once_D <= ((A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/Once) + OR (EXP35_.EXP) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) @@ -1308,7 +1268,10 @@ iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +iobs/PS_FSM_FFd2_D <= ((nVMA_IOB_OBUF.EXP) + OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND + NOT fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) @@ -1316,16 +1279,6 @@ iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND iobs/IOACTr) OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND @@ -1333,9 +1286,7 @@ iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT fsb/ASrf AND nADoutLE1)); + NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1345,34 +1296,39 @@ nADoutLE1_D <= ((iobs/Load1) OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); +nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1)); nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) - OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); + NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r) + OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -nDTACK_FSB_D <= ((EXP21_.EXP) +nDTACK_FSB_D <= ((EXP28_.EXP) + OR (A_FSB(23) AND TimeoutB AND nDTACK_FSB) + OR (NOT A_FSB(22) AND TimeoutB AND nDTACK_FSB) + OR (A_FSB(21) AND TimeoutB AND nDTACK_FSB) OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) + OR (EXP31_.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND + NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR ($OpTx$FX_DC$606.EXP) OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) @@ -1380,28 +1336,15 @@ nDTACK_FSB_D <= ((EXP21_.EXP) A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) OR (BERR_IOBS AND nDTACK_FSB) OR (fsb/BERR0r AND nDTACK_FSB) OR (fsb/BERR1r AND nDTACK_FSB) OR (nAS_FSB AND NOT fsb/ASrf) - OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); + OR (NOT A_FSB(20) AND TimeoutB AND nDTACK_FSB)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); +nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3)); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) @@ -1410,16 +1353,17 @@ nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); nDoutOE_D <= ((NOT IORW0) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2)); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); nLDS_IOB_D <= ((NOT IOL0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); @@ -1440,19 +1384,21 @@ nRAS <= NOT (((RefAck) NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay1))); +nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND + NOT cs/nOverlay1) + OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); nUDS_IOB_D <= ((NOT IOU0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND + iobm/IOS_FSM_FFd1) + OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND + NOT iobm/IOS_FSM_FFd1)); FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND @@ -1467,22 +1413,21 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3)); + NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -1492,6 +1437,7 @@ ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (EXP16_.EXP) OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -1547,7 +1493,7 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (cnt/RefCnt(5).EXP) + OR (A_FSB_19_IBUF$BUF0.EXP) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -1567,7 +1513,18 @@ ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +ram/RASEL_D <= ((EXP17_.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND + NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) + OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -1579,17 +1536,6 @@ ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (nDinOE_OBUF.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) @@ -1646,10 +1592,10 @@ ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND + NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) @@ -1713,55 +1659,55 @@ Device : XC95144XL-10-TQ100 Pin Signal Pin Signal No. Name No. Name 1 KPR 51 VCC - 2 KPR 52 nUDS_FSB - 3 KPR 53 RA<6> - 4 KPR 54 nDTACK_IOB - 5 VCC 55 RA<7> - 6 nLDS_IOB 56 A_FSB<4> + 2 KPR 52 KPR + 3 KPR 53 E_IOB + 4 KPR 54 nADoutLE0 + 5 VCC 55 A_FSB<2> + 6 nLDS_IOB 56 nBERR_IOB 7 nUDS_IOB 57 VCC - 8 nDoutOE 58 RA<8> - 9 A_FSB<22> 59 nVPA_IOB - 10 nAS_IOB 60 RA<9> - 11 nDTACK_FSB 61 nWE_FSB - 12 A_FSB<9> 62 GND - 13 nAS_FSB 63 RA<11> - 14 nBERR_FSB 64 A_FSB<8> - 15 A_FSB<5> 65 RA<10> - 16 A_FSB<2> 66 A_FSB<23> - 17 nOE 67 nADoutLE0 - 18 nBERR_IOB 68 A_FSB<21> - 19 A_FSB<6> 69 GND - 20 A_FSB<7> 70 nAoutOE - 21 GND 71 A_FSB<20> - 22 CLK2X_IOB 72 nDinLE - 23 CLK_FSB 73 A_FSB<19> - 24 nRAS 74 nCAS - 25 nLDS_FSB 75 GND - 26 VCC 76 A_FSB<18> - 27 CLK_IOB 77 nRAMLWE - 28 KPR 78 A_FSB<3> - 29 nADoutLE1 79 nRAMUWE - 30 KPR 80 A_FSB<15> - 31 GND 81 nROMWE - 32 KPR 82 A_FSB<13> - 33 nVMA_IOB 83 TDO + 8 nAS_IOB 58 nVPA_FSB + 9 nLDS_FSB 59 A_FSB<4> + 10 nDoutOE 60 nWE_FSB + 11 nBERR_FSB 61 A_FSB<19> + 12 A_FSB<13> 62 GND + 13 nDinOE 63 A_FSB<14> + 14 A_FSB<20> 64 nDTACK_FSB + 15 nROMCS 65 A_FSB<12> + 16 A_FSB<5> 66 A_FSB<11> + 17 nVMA_IOB 67 A_FSB<3> + 18 nUDS_FSB 68 nAoutOE + 19 RA<10> 69 GND + 20 nDTACK_IOB 70 nAS_FSB + 21 GND 71 A_FSB<9> + 22 CLK2X_IOB 72 A_FSB<1> + 23 CLK_FSB 73 A_FSB<8> + 24 nRAS 74 RA<5> + 25 nVPA_IOB 75 GND + 26 VCC 76 A_FSB<7> + 27 CLK_IOB 77 RA<6> + 28 KPR 78 A_FSB<23> + 29 RA<0> 79 RA<7> + 30 KPR 80 A_FSB<21> + 31 GND 81 RA<8> + 32 KPR 82 A_FSB<17> + 33 RA<11> 83 TDO 34 KPR 84 GND - 35 RA<1> 85 nVPA_FSB - 36 KPR 86 A_FSB<11> - 37 KPR 87 RA<0> + 35 RA<2> 85 nADoutLE1 + 36 KPR 86 A_FSB<15> + 37 RA<3> 87 RA<1> 38 VCC 88 VCC - 39 RA<3> 89 A_FSB<10> - 40 KPR 90 A_FSB<1> - 41 KPR 91 RA<2> - 42 nROMCS 92 A_FSB<12> - 43 KPR 93 A_FSB<14> - 44 GND 94 RA<4> - 45 TDI 95 A_FSB<16> - 46 KPR 96 A_FSB<17> - 47 TMS 97 nDinOE + 39 KPR 89 A_FSB<10> + 40 RA<4> 90 nOE + 41 KPR 91 A_FSB<16> + 42 RA<9> 92 nRAMLWE + 43 KPR 93 A_FSB<18> + 44 GND 94 nRAMUWE + 45 TDI 95 A_FSB<22> + 46 nCAS 96 nROMWE + 47 TMS 97 A_FSB<6> 48 TCK 98 VCC - 49 E_IOB 99 nRES - 50 RA<5> 100 GND + 49 KPR 99 nRES + 50 nDinLE 100 GND Legend : NC = Not Connected, unbonded pin diff --git a/cpld/XC95144XL/MXSE_html/fit/asciidoc.htm b/cpld/XC95144/MXSE_html/fit/asciidoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/asciidoc.htm rename to cpld/XC95144/MXSE_html/fit/asciidoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/backtop.jpg b/cpld/XC95144/MXSE_html/fit/backtop.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/backtop.jpg rename to cpld/XC95144/MXSE_html/fit/backtop.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/beginstraight.gif b/cpld/XC95144/MXSE_html/fit/beginstraight.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/beginstraight.gif rename to cpld/XC95144/MXSE_html/fit/beginstraight.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/blank.gif b/cpld/XC95144/MXSE_html/fit/blank.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/blank.gif rename to cpld/XC95144/MXSE_html/fit/blank.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/blank.htm b/cpld/XC95144/MXSE_html/fit/blank.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/blank.htm rename to cpld/XC95144/MXSE_html/fit/blank.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/briefview.jpg b/cpld/XC95144/MXSE_html/fit/briefview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/briefview.jpg rename to cpld/XC95144/MXSE_html/fit/briefview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/check.htm b/cpld/XC95144/MXSE_html/fit/check.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/check.htm rename to cpld/XC95144/MXSE_html/fit/check.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/checkNS4.htm b/cpld/XC95144/MXSE_html/fit/checkNS4.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/checkNS4.htm rename to cpld/XC95144/MXSE_html/fit/checkNS4.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/contact.gif b/cpld/XC95144/MXSE_html/fit/contact.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/contact.gif rename to cpld/XC95144/MXSE_html/fit/contact.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg b/cpld/XC95144/MXSE_html/fit/coolrunnerII_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/coolrunnerII_logo.jpg rename to cpld/XC95144/MXSE_html/fit/coolrunnerII_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg b/cpld/XC95144/MXSE_html/fit/coolrunner_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/coolrunner_logo.jpg rename to cpld/XC95144/MXSE_html/fit/coolrunner_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm b/cpld/XC95144/MXSE_html/fit/defeqns.htm similarity index 77% rename from cpld/XC95144XL/MXSE_html/fit/defeqns.htm rename to cpld/XC95144/MXSE_html/fit/defeqns.htm index 6cc386b..e54cdd9 100644 --- a/cpld/XC95144XL/MXSE_html/fit/defeqns.htm +++ b/cpld/XC95144/MXSE_html/fit/defeqns.htm @@ -6,41 +6,44 @@ ********** Mapped Logic ********** -$OpTx$FX_DC$602 <= ((NOT TimeoutB) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); +$OpTx$$OpTx$FX_DC$355_INV$439 <= (nAS_FSB AND NOT fsb/ASrf); -$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) -
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) -
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); +$OpTx$FX_DC$360 <= NOT (A_FSB(22) +
      XOR +
     $OpTx$FX_DC$360 <= NOT (cs/nOverlay1); FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOS_FSM_FFd8)); +
     ALE0M_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0');
     ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -
     BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +
     BERR_IOBS_T <= ((iobs/Once AND NOT BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND fsb/ASrf AND nADoutLE1) +
      OR (BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) +
      OR (iobs/Once AND BERR_IOBS AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT BERR_IOBS AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND IOBERR AND nADoutLE1)); + + + + + + + + + + + + + + @@ -85,54 +88,42 @@ FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND -
      iobm/BERRrf AND iobm/BERRrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/RESrf AND iobm/RESrr) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND -
      NOT iobm/IOS_FSM_FFd8) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND -
      NOT iobm/IOREQr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND -
      NOT iobm/IOS_FSM_FFd8) -
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND -
      NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND -
      iobm/BERRrf AND iobm/BERRrr)); +
     IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/RESrf AND iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/ETACK) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1 AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -
     IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND +
     IOBERR_T <= ((nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND +
      CLK_IOB AND iobm/ETACK) +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND +
      OR (nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND iobm/IOS_FSM_FFd1 AND IOBERR AND
      CLK_IOB AND iobm/RESrf AND iobm/RESrr) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/ETACK) -
      OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/ETACK) -
      OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND -
      CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); +
      OR (iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOS_FSM_FFd1 AND IOBERR) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/ETACK) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) +
      OR (NOT nBERR_IOB AND NOT iobm/IOS_FSM_FFd4 AND +
      iobm/IOS_FSM_FFd3 AND NOT IOBERR AND CLK_IOB AND iobm/RESrf AND iobm/RESrr)); FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE);
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) @@ -167,8 +158,7 @@ FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0');
      nADoutLE1)); FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -
     IORW0_T <= ((EXP22_.EXP) -
      OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND +
     IORW0_T <= ((A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -182,6 +172,7 @@ FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND
      NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      fsb/ASrf AND nADoutLE1) +
      OR (EXP15_.EXP)
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND @@ -198,6 +189,10 @@ FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0');
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND
      NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND
      NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
      OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND @@ -351,15 +346,7 @@ FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0');
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -
     fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf) +
     fsb/Ready1r_D <= ((nAoutOE_OBUF.EXP)
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady)
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
      NOT iobs/IOReady) @@ -368,7 +355,12 @@ FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0');
      NOT fsb/Ready1r AND NOT iobs/IOReady)
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND -
      NOT fsb/Ready1r AND NOT iobs/IOReady)); +
      NOT fsb/Ready1r AND NOT iobs/IOReady) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND +
      NOT nADoutLE1) +
      OR (nAS_FSB AND NOT fsb/ASrf)); FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
     fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) @@ -406,64 +398,48 @@ FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0');
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -
     fsb/VPA_D <= ((EXP18_.EXP) -
      OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) -
      OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) -
      OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) -
      OR (fsb/VPA AND fsb/ASrf AND -
      fsb/VPA__or00001/fsb/VPA__or00001_D2) -
      OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) -
      OR ($OpTx$FX_DC$602.EXP) -
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      $OpTx$FX_DC$606) -
      OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND -
      $OpTx$FX_DC$606) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) +
     fsb/VPA_D <= ((EXP27_.EXP)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) -
      OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) -
      OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) -
      OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) -
      OR (fsb/VPA AND NOT nAS_FSB AND -
      fsb/VPA__or00001/fsb/VPA__or00001_D2) -
      OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); - - -fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) -
      OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND -
      NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); +
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND +
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND +
      NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND +
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND +
      NOT nADoutLE1 AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (EXP36_.EXP) +
      OR (NOT A_FSB(22) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(21) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND +
      NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND +
      fsb/VPA AND NOT iobs/IOReady AND NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (BERR_IOBS AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (fsb/BERR0r AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (fsb/BERR1r AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (A_FSB(23) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439) +
      OR (NOT A_FSB(20) AND TimeoutB AND fsb/VPA AND +
      NOT $OpTx$$OpTx$FX_DC$355_INV$439)); FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); @@ -516,39 +492,37 @@ FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2)); FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) -
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND -
      iobm/BERRrr) -
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND -
      iobm/DTACKrr) -
      OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND -
      iobm/RESrr)); +
     iobm/IOS_FSM_FFd2_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) +
     iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND +
      iobm/RESrr) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3)
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) -
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND -
      iobm/BERRrr)
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND
      iobm/DTACKrr) -
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND -
      iobm/RESrr)); +
      OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND +
      iobm/BERRrr)); -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); - -FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); -
     iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) -
      OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd4_D,CLK2X_IOB,'0','0'); +
     iobm/IOS_FSM_FFd4_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND CLK_IOB) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr)); FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); @@ -568,14 +542,13 @@ FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Loa FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0');
     iobs/IORW1_T <= ((iobs/Once)
      OR (NOT nADoutLE1) -
      OR (nVMA_IOB_OBUF.EXP) +
      OR (nBERR_FSB_OBUF.EXP)
      OR (NOT nWE_FSB AND NOT iobs/IORW1)
      OR (nAS_FSB AND NOT fsb/ASrf)
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17))
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -584,26 +557,26 @@ FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0');
     iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) -
      OR (iobs/Once AND IOBERR AND iobs/IOReady AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) -
      OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); +
      OR (iobs/Once AND iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT nAS_FSB AND +
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND NOT IOBERR AND nADoutLE1) +
      OR (iobs/Once AND NOT iobs/IOReady AND NOT iobs/PS_FSM_FFd2 AND +
      NOT iobs/IOACTr AND NOT IOBERR AND fsb/ASrf AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
     iobs/Load1_D <= ((iobs/Once)
      OR (NOT nADoutLE1) +
      OR (ram/RAMDIS2.EXP)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21))
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) +
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16))
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18))
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21))
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      cs/nOverlay1)
      OR (NOT A_FSB(23) AND NOT A_FSB(20)) @@ -611,13 +584,13 @@ FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0');
      OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -
     iobs/Once_D <= ((RA_2_OBUF.EXP) -
      OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) +
     iobs/Once_D <= ((A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1)
      OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
      NOT iobs/Once) +
      OR (EXP35_.EXP)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once)
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) @@ -634,7 +607,10 @@ FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_
      OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -
     iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
     iobs/PS_FSM_FFd2_D <= ((nVMA_IOB_OBUF.EXP) +
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND +
      NOT fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) @@ -642,16 +618,6 @@ FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND -
      NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND -
      cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)
      OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND
      iobs/IOACTr)
      OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND @@ -659,9 +625,7 @@ FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_
      OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND
      nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND -
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND -
      NOT fsb/ASrf AND nADoutLE1)); +
      NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -671,34 +635,39 @@ FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0');
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -
     nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); +
     nAS_IOB_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1)); nAoutOE <= '0'; nBERR_FSB <= ((nAS_FSB) -
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND -
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); +
      NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r) +
      OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -
     nDTACK_FSB_D <= ((EXP21_.EXP) +
     nDTACK_FSB_D <= ((EXP28_.EXP) +
      OR (A_FSB(23) AND TimeoutB AND nDTACK_FSB) +
      OR (NOT A_FSB(22) AND TimeoutB AND nDTACK_FSB) +
      OR (A_FSB(21) AND TimeoutB AND nDTACK_FSB)
      OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
      nDTACK_FSB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND -
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
      OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND
      NOT iobs/IOReady AND nDTACK_FSB) +
      OR (EXP31_.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND +
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady)
      OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) -
      OR ($OpTx$FX_DC$606.EXP)
      OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND
      NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) @@ -706,28 +675,15 @@ FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0');
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND
      nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND -
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND -
      nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      nDTACK_FSB) -
      OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND -
      A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND -
      A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND -
      nDTACK_FSB)
      OR (BERR_IOBS AND nDTACK_FSB)
      OR (fsb/BERR0r AND nDTACK_FSB)
      OR (fsb/BERR1r AND nDTACK_FSB)
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); +
      OR (NOT A_FSB(20) AND TimeoutB AND nDTACK_FSB)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); +
     nDinLE_D <= ((iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd4 AND iobm/IOS_FSM_FFd3)); nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) @@ -736,16 +692,17 @@ nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0');
     nDoutOE_D <= ((NOT IORW0) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND
      NOT iobm/IOS_FSM_FFd2)); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0');
     nLDS_IOB_D <= ((NOT IOL0) -
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); @@ -766,19 +723,21 @@ nRAS <= NOT (((RefAck)
      NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND -
      NOT cs/nOverlay1))); +nROMCS <= NOT (((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND +
      NOT cs/nOverlay1) +
      OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)))); nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0');
     nUDS_IOB_D <= ((NOT IOU0) -
      OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND -
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd3 AND +
      iobm/IOS_FSM_FFd1) +
      OR (IORW0 AND iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd2 AND +
      NOT iobm/IOS_FSM_FFd1)); FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0');
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND @@ -793,22 +752,21 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0');
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -
     ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
     ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf) -
      OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3)); +
      NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -
     ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
     ram/RAMDIS1_D <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) @@ -818,6 +776,7 @@ FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0');
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (EXP16_.EXP)
      OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND
      cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND @@ -873,7 +832,7 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (cnt/RefCnt(5).EXP) +
      OR (A_FSB_19_IBUF$BUF0.EXP)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1)
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) @@ -893,7 +852,18 @@ FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0')
      NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -
     ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
     ram/RASEL_D <= ((EXP17_.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND +
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) @@ -905,17 +875,6 @@ FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0');
      OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND
      ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND
      NOT fsb/ASrf) -
      OR (nDinOE_OBUF.EXP) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) -
      OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) -
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND -
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr)
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3)
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND
      NOT ram/RS_FSM_FFd3) @@ -972,10 +931,10 @@ FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3)
      OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
      OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND +
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf)
      OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND
      ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND
      cnt/RefCnt(7)) diff --git a/cpld/XC95144XL/MXSE_html/fit/education.gif b/cpld/XC95144/MXSE_html/fit/education.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/education.gif rename to cpld/XC95144/MXSE_html/fit/education.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/endmkt.gif b/cpld/XC95144/MXSE_html/fit/endmkt.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/endmkt.gif rename to cpld/XC95144/MXSE_html/fit/endmkt.gif diff --git a/cpld/XC95144/MXSE_html/fit/eqns.htm b/cpld/XC95144/MXSE_html/fit/eqns.htm new file mode 100644 index 0000000..fc52be8 --- /dev/null +++ b/cpld/XC95144/MXSE_html/fit/eqns.htm @@ -0,0 +1,1733 @@ + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.js b/cpld/XC95144/MXSE_html/fit/eqns.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/eqns.js rename to cpld/XC95144/MXSE_html/fit/eqns.js diff --git a/cpld/XC95144XL/MXSE_html/fit/equations.gif b/cpld/XC95144/MXSE_html/fit/equations.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/equations.gif rename to cpld/XC95144/MXSE_html/fit/equations.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/equations.htm b/cpld/XC95144/MXSE_html/fit/equations.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/equations.htm rename to cpld/XC95144/MXSE_html/fit/equations.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm b/cpld/XC95144/MXSE_html/fit/equationsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/equationsdoc.htm rename to cpld/XC95144/MXSE_html/fit/equationsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/errors.js b/cpld/XC95144/MXSE_html/fit/errors.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errors.js rename to cpld/XC95144/MXSE_html/fit/errors.js diff --git a/cpld/XC95144XL/MXSE_html/fit/errors1.gif b/cpld/XC95144/MXSE_html/fit/errors1.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errors1.gif rename to cpld/XC95144/MXSE_html/fit/errors1.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/errors2.gif b/cpld/XC95144/MXSE_html/fit/errors2.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errors2.gif rename to cpld/XC95144/MXSE_html/fit/errors2.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm b/cpld/XC95144/MXSE_html/fit/errorsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errorsdoc.htm rename to cpld/XC95144/MXSE_html/fit/errorsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/errs.htm b/cpld/XC95144/MXSE_html/fit/errs.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/errs.htm rename to cpld/XC95144/MXSE_html/fit/errs.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/failtable.htm b/cpld/XC95144/MXSE_html/fit/failtable.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/failtable.htm rename to cpld/XC95144/MXSE_html/fit/failtable.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/failtable.js b/cpld/XC95144/MXSE_html/fit/failtable.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/failtable.js rename to cpld/XC95144/MXSE_html/fit/failtable.js diff --git a/cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm b/cpld/XC95144/MXSE_html/fit/failtabledoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/failtabledoc.htm rename to cpld/XC95144/MXSE_html/fit/failtabledoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/fb.gif b/cpld/XC95144/MXSE_html/fit/fb.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fb.gif rename to cpld/XC95144/MXSE_html/fit/fb.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/fb1.gif b/cpld/XC95144/MXSE_html/fit/fb1.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fb1.gif rename to cpld/XC95144/MXSE_html/fit/fb1.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs.htm b/cpld/XC95144/MXSE_html/fit/fbs.htm similarity index 81% rename from cpld/XC95144XL/MXSE_html/fit/fbs.htm rename to cpld/XC95144/MXSE_html/fit/fbs.htm index 26211fb..3c761f4 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs.htm @@ -15,58 +15,58 @@
FB1 -9 / 18 +12 / 18 36 / 54 -80 / 90 +81 / 90 10 / 11 FB2 18 / 18 -35 / 54 -34 / 90 +19 / 54 +39 / 90 6 / 10 FB3 -14 / 18 -35 / 54 -77 / 90 +8 / 18 +33 / 54 +81 / 90 5 / 10 FB4 -10 / 18 -36 / 54 -82 / 90 +18 / 18 +29 / 54 +29 / 90 10 / 10 FB5 -17 / 18 -35 / 54 -47 / 90 -4 / 10 +18 / 18 +30 / 54 +45 / 90 +5 / 10 FB6 18 / 18 -24 / 54 -37 / 90 +38 / 54 +63 / 90 10 / 10 FB7 -17 / 18 -35 / 54 -76 / 90 -10 / 10 +7 / 18 +11 / 54 +9 / 90 +9 / 10 FB8 -7 / 18 -8 / 54 -6 / 90 +6 / 18 +38 / 54 +80 / 90 10 / 10
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs.js b/cpld/XC95144/MXSE_html/fit/fbs.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbs.js rename to cpld/XC95144/MXSE_html/fit/fbs.js diff --git a/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm new file mode 100644 index 0000000..8f41dec --- /dev/null +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB1.htm @@ -0,0 +1,268 @@ + + + + + + +
+ + + +
+ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
(unused)0 MC1  (b)(b)
nBERR_FSB3 1_1 2_1 2_2 +MC2STD11I/OO
(unused)0 MC3 12I/OI
iobs/IORW116 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_3 5_4 5_5 +MC4STD (b)(b)
nDinOE2 5_1 5_2 +MC5STD13I/OO
(unused)0 MC6 14I/OI
IOREQ14 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 8_2 8_3 8_4 8_5 +MC7STD (b)(b)
nROMCS2 8_1 9_5 +MC8STD15I/OO
iobs/PS_FSM_FFd214 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 9_1 9_2 9_3 9_4 +MC9STD16I/OI
(unused)0 MC10  (b)(b)
nVMA_IOB2 12_4 12_5 +MC11STD17I/OO
BERR_IOBS4 12_1 12_2 12_3 13_4 +MC12STD18I/OI
fsb/BERR0r3 13_1 13_2 13_3 +MC13STD (b)(b)
RA<10>1 14_1 +MC14STD19I/OO
cs/nOverlay02 14_2 14_3 +MC15STD20I/OI
IORW018 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 +MC16STD (b)(b)
(unused)0 MC17 22I/O/GCK1GCK
(unused)0 MC18  (b)(b)
+
+
Signals Used By Logic in Function Block
    +
  1. A_FSB<13>
  2. +
  3. A_FSB<14>
  4. +
  5. A_FSB<16>
  6. +
  7. A_FSB<17>
  8. +
  9. A_FSB<18>
  10. +
  11. A_FSB<19>
  12. +
  13. A_FSB<20>
  14. +
  15. A_FSB<21>
  16. +
  17. A_FSB<22>
  18. +
  19. A_FSB<23>
  20. +
  21. BERR_IOBS
  22. +
  23. IOACT
  24. +
  25. IOBERR
  26. +
  27. IORW0
  28. +
  29. TimeoutB
  30. +
  31. cs/nOverlay0
  32. +
  33. cs/nOverlay1
  34. +
  35. fsb/ASrf
  36. +
  37. fsb/BERR0r
  38. +
  39. fsb/BERR1r
  40. +
  41. iobm/ES<0>
  42. +
  43. iobm/ES<1>
  44. +
  45. iobm/ES<2>
  46. +
  47. iobm/ES<3>
  48. +
  49. iobm/ES<4>
  50. +
  51. iobm/VPArf
  52. +
  53. iobm/VPArr
  54. +
  55. iobs/IOACTr
  56. +
  57. iobs/IORW1
  58. +
  59. iobs/Once
  60. +
  61. iobs/PS_FSM_FFd1
  62. +
  63. iobs/PS_FSM_FFd2
  64. +
  65. nADoutLE1
  66. +
  67. nAS_FSB
  68. +
  69. nVMA_IOB
  70. +
  71. nWE_FSB
  72. +
+ + + +
+ +
+ + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB2.htm similarity index 68% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB2.htm index c327863..2f44402 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB2.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB2.htm @@ -27,7 +27,7 @@ Pin Use -iobm/VPArr +iobm/RESrr 1  1_1 @@ -38,7 +38,7 @@ (b) -iobm/VPArf +iobm/RESrf 1  2_1 @@ -49,7 +49,7 @@ GSR/I -iobm/RESrr +iobm/IOREQr 1  3_1 @@ -60,7 +60,7 @@ (b) -iobm/RESrf +iobm/Er2 1  4_1 @@ -71,7 +71,7 @@ (b) -iobm/IOREQr +iobm/ETACK 1  5_1 @@ -82,7 +82,7 @@ (b) -cnt/RefCnt<3> +iobm/DTACKrr 1  6_1 @@ -93,7 +93,7 @@ (b) -cnt/RefCnt<2> +iobm/DTACKrf 1  7_1 @@ -104,7 +104,7 @@ (b) -cnt/RefCnt<1> +iobm/BERRrr 1  8_1 @@ -115,9 +115,9 @@ (b) -fsb/BERR1r -2 - 9_1 9_2 +iobm/BERRrf +1 + 9_1 MC9 STD @@ -126,9 +126,9 @@ (b) -cnt/RefDone -2 - 10_1 10_2 +iobm/ES<3> +3 + 10_1 10_2 10_3 MC10 STD @@ -138,8 +138,8 @@ nLDS_IOB -3 - 11_1 11_2 11_3 +4 + 11_1 11_2 11_3 11_4 MC11 STD @@ -149,8 +149,8 @@ nUDS_IOB -3 - 12_1 12_2 12_3 +4 + 12_1 12_2 12_3 12_4 MC12 STD @@ -159,7 +159,7 @@ O -cnt/TimeoutBPre +iobm/ES<1> 3  13_1 13_2 13_3 @@ -170,7 +170,7 @@ (b) -nDoutOE +nAS_IOB 2  14_1 14_2 @@ -181,7 +181,7 @@ O -TimeoutB +iobm/ES<0> 3  15_1 15_2 15_3 @@ -189,12 +189,12 @@ STD 9 I/O -I +I -TimeoutA -3 - 16_1 16_2 16_3 +iobm/ES<4> +4 + 16_1 16_2 16_3 16_4 MC16 STD @@ -203,9 +203,9 @@ (b) -nAS_IOB -1 - 17_1 +nDoutOE +2 + 17_1 17_2 MC17 STD @@ -214,9 +214,9 @@ O -iobs/IOReady -4 - 18_1 18_2 18_3 18_4 +iobm/ES<2> +5 + 18_1 18_2 18_3 18_4 18_5 MC18 STD @@ -227,41 +227,25 @@

Signals Used By Logic in Function Block
    -
  1. BERR_IOBS
  2. -
  3. IOBERR
  4. IOL0
  5. IOREQ
  6. IORW0
  7. IOU0
  8. -
  9. RefAck
  10. -
  11. TimeoutA
  12. -
  13. TimeoutB
  14. -
  15. cnt/RefCnt<0>
  16. -
  17. cnt/RefCnt<1>
  18. -
  19. cnt/RefCnt<2>
  20. -
  21. cnt/RefCnt<3>
  22. -
  23. cnt/RefCnt<4>
  24. -
  25. cnt/RefCnt<5>
  26. -
  27. cnt/RefCnt<6>
  28. -
  29. cnt/RefCnt<7>
  30. -
  31. cnt/RefDone
  32. -
  33. cnt/TimeoutBPre
  34. -
  35. fsb/ASrf
  36. -
  37. fsb/BERR1r
  38. +
  39. iobm/ES<0>
  40. +
  41. iobm/ES<1>
  42. +
  43. iobm/ES<2>
  44. +
  45. iobm/ES<3>
  46. +
  47. iobm/ES<4>
  48. +
  49. iobm/Er
  50. +
  51. iobm/Er2
  52. +
  53. iobm/IOS_FSM_FFd1
  54. iobm/IOS_FSM_FFd2
  55. iobm/IOS_FSM_FFd3
  56. iobm/IOS_FSM_FFd4
  57. -
  58. iobm/IOS_FSM_FFd5
  59. -
  60. iobm/IOS_FSM_FFd6
  61. -
  62. iobm/IOS_FSM_FFd7
  63. -
  64. iobs/IOACTr
  65. -
  66. iobs/IOReady
  67. -
  68. iobs/Once
  69. -
  70. iobs/PS_FSM_FFd2
  71. -
  72. nADoutLE1
  73. -
  74. nAS_FSB
  75. +
  76. nBERR_IOB
  77. +
  78. nDTACK_IOB
  79. nRES
  80. -
  81. nVPA_IOB
  82. +
  83. nVMA_IOB
- + - + + + + + + + + + @@ -589,6 +956,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + @@ -597,10 +968,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - @@ -609,14 +976,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - @@ -679,34 +1038,14 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - - - - - - - - - - - - + - - + + @@ -726,6 +1065,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + + + + + + @@ -794,6 +1148,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + @@ -806,7 +1170,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -824,11 +1188,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -855,8 +1214,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + @@ -869,6 +1228,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -879,6 +1243,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -904,16 +1273,41 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + + + + + + + + + + + + + + + + @@ -924,11 +1318,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -944,41 +1333,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -1039,16 +1403,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + - - - - - @@ -1059,16 +1423,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - @@ -1089,6 +1443,16 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + @@ -1101,7 +1465,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -1131,12 +1495,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - - + + @@ -1146,7 +1510,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -1155,8 +1519,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + @@ -1170,13 +1534,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - + + @@ -1195,13 +1554,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - + + @@ -1211,7 +1565,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -1219,16 +1573,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - @@ -1244,11 +1588,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1271,12 +1610,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - - + + @@ -1299,11 +1638,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1329,11 +1663,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1364,11 +1693,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1429,6 +1753,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1474,6 +1803,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1514,6 +1848,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1549,6 +1888,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1579,6 +1923,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1604,6 +1953,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1674,11 +2028,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1691,12 +2040,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - - + + @@ -1719,11 +2068,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1764,11 +2108,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1779,6 +2118,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1804,11 +2148,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1819,6 +2158,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -1859,11 +2203,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -1920,13 +2259,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + - + @@ -1955,8 +2294,8 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + @@ -1999,6 +2338,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2024,6 +2368,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2034,11 +2383,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + @@ -2052,56 +2411,86 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2113,23 +2502,13 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - + + - - - - - - - - - - - - + + @@ -2142,6 +2521,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2153,13 +2537,28 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + + + + + + - + + + + + + + + + + + - + @@ -2169,7 +2568,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -2179,7 +2578,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -2189,7 +2588,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + @@ -2227,11 +2626,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2262,11 +2656,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2292,11 +2681,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2322,11 +2706,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - @@ -2347,26 +2726,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - - - - - - @@ -2404,17 +2768,57 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2422,11 +2826,36 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + + + + + + + + + + + + + + + + + + + + + @@ -2437,6 +2866,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { + + + + + @@ -2449,197 +2883,77 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + + + + + + - + - + - + - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - + + - - + + - - + + - - + + - - + + + + + + + @@ -2647,11 +2961,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - - - - -
- - - + + + - + - - - + + @@ -71,7 +70,7 @@ - @@ -80,31 +79,29 @@ - - - + + + - + - + - - - + + + - + - - - + + @@ -113,28 +110,28 @@ - - - + + + - + - - - + + + - + - + @@ -145,20 +142,19 @@ - - - + + + - + - - - + + @@ -167,20 +163,19 @@ - - - + + + - + - - - + + @@ -199,9 +194,9 @@ - - - + + @@ -210,12 +205,11 @@ - - - + + + - + @@ -223,41 +217,39 @@
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB3.htm similarity index 52% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB3.htm index 2428c8b..1136837 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB3.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB3.htm @@ -47,20 +47,19 @@ GCK
IORW018 1_1 1_2 1_3 1_4 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_2 4_3 4_4 4_5 -(unused)0  MC3STD    (b) (b)
iobs/IOACTr1 4_1 +ram/RASEL20 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 MC4 STD
nRAS 3 5_1 5_2 5_3 + 6_1 6_2 6_3 MC5 STDO
iobs/Clear11 6_1 -(unused)0  MC6STD  25 I/OII
fsb/ASrf1 7_1 -(unused)0  MC7STD    (b) (b)
ALE0S1 8_1 +ram/RAMDIS27 7_1 7_2 7_3 8_1 8_2 8_3 8_4 MC8 STDGCK/I
iobs/PS_FSM_FFd214 10_1 10_2 10_3 10_4 10_5 8_2 8_3 8_4 8_5 9_1 9_2 9_3 9_4 9_5 -(unused)0  MC9STD  28 I/O (b)
(unused)0 iobs/Load114 10_1 10_2 10_3 10_4 10_5 11_3 11_4 11_5 8_5 9_1 9_2 9_3 9_4 9_5 + MC10 STD   (b) (b)
nADoutLE1RA<0> 2  11_1 11_2 O
iobs/PS_FSM_FFd12 11_3 11_4 -(unused)0  MC12STD  30 I/O (b)
IOREQ14 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_2 14_3 14_4 14_5 +ram/RAMReady16 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_2 MC13 STD(b)
iobm/ETACK1 14_1 -(unused)0  MC14STD  32 I/O (b)
nVMA_IOB2 15_1 15_2 +RA<11>1 15_1 MC15 STD(b)
iobs/IORW116 15_3 15_4 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_2 18_3 18_4 18_5 +ram/RAMDIS118 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 MC17 STD(b)
ram/BACTr1 18_1 -(unused)0  MC18STD    (b) (b)

Signals Used By Logic in Function Block
    +
  1. A_FSB<10>
  2. A_FSB<13>
  3. A_FSB<14>
  4. A_FSB<16>
  5. A_FSB<17>
  6. A_FSB<18>
  7. A_FSB<19>
  8. +
  9. A_FSB<1>
  10. A_FSB<20>
  11. A_FSB<21>
  12. A_FSB<22>
  13. A_FSB<23>
  14. -
  15. IOACT
  16. -
  17. IORW0
  18. RefAck
  19. +
  20. cnt/RefCnt<5>
  21. +
  22. cnt/RefCnt<6>
  23. +
  24. cnt/RefCnt<7>
  25. +
  26. cnt/RefDone
  27. cs/nOverlay1
  28. fsb/ASrf
  29. -
  30. iobm/ES<0>
  31. -
  32. iobm/ES<1>
  33. -
  34. iobm/ES<2>
  35. -
  36. iobm/ES<3>
  37. -
  38. iobm/ES<4>
  39. -
  40. iobm/VPArf
  41. -
  42. iobm/VPArr
  43. -
  44. iobs/Clear1
  45. -
  46. iobs/IOACTr
  47. -
  48. iobs/IORW1
  49. -
  50. iobs/Load1
  51. iobs/Once
  52. iobs/PS_FSM_FFd1
  53. iobs/PS_FSM_FFd2
  54. nADoutLE1
  55. nAS_FSB
  56. -
  57. nVMA_IOB
  58. nWE_FSB
  59. +
  60. ram/BACTr
  61. +
  62. ram/Once
  63. ram/RAMDIS1
  64. ram/RAMDIS2
  65. +
  66. ram/RASEL
  67. +
  68. ram/RS_FSM_FFd1
  69. +
  70. ram/RS_FSM_FFd2
  71. +
  72. ram/RS_FSM_FFd3
- + @@ -412,87 +771,87 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -507,7 +866,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { - +
@@ -27,9 +27,9 @@ - + - @@ -38,20 +38,20 @@ - - - + + - + - + - @@ -60,9 +60,9 @@ - + - @@ -71,31 +71,31 @@ - + - - + - + - + - - + - - - + + @@ -104,31 +104,31 @@ - - - + + - + - + - + - - + - - - + + @@ -137,31 +137,31 @@ - - - + + - + - + - + - - + - - - + + @@ -170,31 +170,31 @@ - + - - + - + - + - - + - + - @@ -203,20 +203,20 @@ - - - + + - + - + - - - + + @@ -227,36 +227,41 @@
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB4.htm similarity index 56% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB4.htm index 18b0e47..bc38404 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB6.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB4.htm @@ -9,9 +9,9 @@ Pin Use
iobm/Er2ram/BACTr 1 1_1 + 1_1 MC1 STD(b)
nCAS1 2_1 +RA<1>2 2_1 2_2 MC2 STD7487 I/O O
iobm/DTACKrrcnt/RefCnt<7> 1 3_1 + 3_1 MC3 STD(b)
iobm/DTACKrfcnt/RefCnt<6> 1 4_1 + 4_1 MC4 STD(b)
RefAckcnt/RefCnt<5> 1 5_1 + 5_1 MC5 STD7689 I/OII
nRAMLWEnOE 1 6_1 + 6_1 MC6 STD7790 I/O O
iobs/IOU12 7_1 7_2 +cnt/RefCnt<4>1 7_1 MC7 STD(b)
iobs/IOL12 8_1 8_2 +cnt/RefCnt<3>1 8_1 MC8 STD7891 I/OII
nRAMUWEnRAMLWE 1 9_1 + 9_1 MC9 STD7992 I/O O
iobm/ES<3>3 10_1 10_2 10_3 +cnt/RefCnt<2>1 10_1 MC10 STD(b)
iobm/ES<1>3 11_1 11_2 11_3 +fsb/BERR1r2 11_1 11_2 MC11 STD8093 I/OII
nROMWEnRAMUWE 1 12_1 + 12_1 MC12 STD8194 I/O O
iobm/ES<0>3 13_1 13_2 13_3 +cnt/RefDone2 13_1 13_2 MC13 STD(b)
IOU0cnt/TimeoutBPre 3 14_1 14_2 14_3 + 14_1 14_2 14_3 MC14 STD8295 I/OII
nVPA_FSBnROMWE 1 15_1 + 15_1 MC15 STD8596 I/O O
IOL0TimeoutB 3 16_1 16_2 16_3 + 16_1 16_2 16_3 MC16 STD(b)
iobm/ES<4>4 17_1 17_2 17_3 17_4 +TimeoutA3 17_1 17_2 17_3 MC17 STD8697 I/OII
iobm/ES<2>5 18_1 18_2 18_3 18_4 18_5 +IOU03 18_1 18_2 18_3 MC18 STD

Signals Used By Logic in Function Block
    -
  1. fsb/VPA
  2. -
  3. iobm/ES<0>
  4. -
  5. iobm/ES<1>
  6. -
  7. iobm/ES<2>
  8. -
  9. iobm/ES<3>
  10. -
  11. iobm/ES<4>
  12. -
  13. iobm/Er
  14. -
  15. iobm/Er2
  16. -
  17. iobs/IOL1
  18. +
  19. A_FSB<11>
  20. +
  21. A_FSB<2>
  22. +
  23. BERR_IOBS
  24. +
  25. RefAck
  26. +
  27. TimeoutA
  28. +
  29. TimeoutB
  30. +
  31. cnt/RefCnt<0>
  32. +
  33. cnt/RefCnt<1>
  34. +
  35. cnt/RefCnt<2>
  36. +
  37. cnt/RefCnt<3>
  38. +
  39. cnt/RefCnt<4>
  40. +
  41. cnt/RefCnt<5>
  42. +
  43. cnt/RefCnt<6>
  44. +
  45. cnt/RefCnt<7>
  46. +
  47. cnt/RefDone
  48. +
  49. cnt/TimeoutBPre
  50. +
  51. fsb/ASrf
  52. +
  53. fsb/BERR1r
  54. iobs/IOU1
  55. -
  56. iobs/Load1
  57. iobs/PS_FSM_FFd1
  58. iobs/PS_FSM_FFd2
  59. nADoutLE1
  60. nAS_FSB
  61. -
  62. nDTACK_IOB
  63. nLDS_FSB
  64. nUDS_FSB
  65. nWE_FSB
  66. ram/RAMDIS1
  67. ram/RAMDIS2
  68. ram/RASEL
  69. -
  70. ram/RS_FSM_FFd1
  71. -
  72. ram/RS_FSM_FFd2
- - - + + @@ -38,7 +38,7 @@ - + @@ -49,7 +49,7 @@ - + @@ -60,7 +60,7 @@ - + @@ -71,9 +71,9 @@ - - - + + @@ -82,18 +82,18 @@ - - - + + - + - + @@ -104,7 +104,7 @@ - + @@ -112,23 +112,23 @@ - + - - - + + - + - - - + + @@ -137,7 +137,7 @@ - + @@ -148,7 +148,7 @@ - + @@ -170,7 +170,7 @@ - + @@ -181,20 +181,20 @@ - - - + + - + - @@ -203,19 +203,20 @@ - - - + + + - + - + - - + @@ -226,18 +227,18 @@
- +    - +
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB5.htm similarity index 67% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB5.htm index a926fd6..1ab1cca 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB5.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB5.htm @@ -27,9 +27,9 @@ Pin Use
IOACT10 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_3 2_4 2_5 +iobs/IOACTr1 1_1 MC1 STD(b)
RA<1>RA<2> 2  2_1 2_2 O
iobm/IOS_FSM_FFd7fsb/ASrf 1  3_1 (b)
iobm/IOS_FSM_FFd6cnt/RefCnt<1> 1  4_1 (b)
iobm/IOS_FSM_FFd51 5_1 +cnt/RefCnt<0>0  MC5 STD(b)
iobm/IOS_FSM_FFd41 6_1 +RA<3>2 6_1 6_2 MC6 STD 37 I/O(b)O
iobm/BERRrr$OpTx$$OpTx$FX_DC$355_INV$439 1  7_1 (b)
RA<3>iobs/IOU1 2  8_1 8_2 STD 39 I/OO(b)
iobm/BERRrf1 9_1 +RA<4>2 9_1 9_2 MC9 STD 40 I/O(b)O
cnt/RefCnt<0>0  +iobm/IOS_FSM_FFd22 10_1 10_2 MC10 STD(b)
iobm/IOS_FSM_FFd8iobm/IOS_FSM_FFd1 2  11_1 11_2 (b)
nROMCSRA<9> 2  12_1 12_2 (b)
iobm/IOS_FSM_FFd2iobm/IOS_FSM_FFd4 4  14_1 14_2 14_3 14_4 (b)
BERR_IOBS4 15_1 15_2 15_3 15_4 +nCAS1 15_1 MC15 STD 46 I/O(b)O
iobm/IOS_FSM_FFd3 5 16_1 16_2 16_3 16_4 16_5 + 15_2 16_1 16_2 16_3 16_4 MC16 STD(b)
(unused)0 IOACT6 16_5 17_1 17_2 17_3 17_4 17_5 + MC17 STD 49 I/OI(b)
IOBERR8 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 +9 18_1 18_2 18_3 18_4 18_5 1_2 1_3 1_4 1_5 MC18 STD

Signals Used By Logic in Function Block
    -
  1. A_FSB<11>
  2. +
  3. A_FSB<12>
  4. A_FSB<13>
  5. +
  6. A_FSB<14>
  7. +
  8. A_FSB<19>
  9. A_FSB<20>
  10. -
  11. A_FSB<21>
  12. -
  13. A_FSB<22>
  14. -
  15. A_FSB<23>
  16. -
  17. A_FSB<2>
  18. +
  19. A_FSB<3>
  20. A_FSB<4>
  21. -
  22. BERR_IOBS
  23. +
  24. A_FSB<5>
  25. CLK_IOB
  26. +
  27. IOACT
  28. IOBERR
  29. -
  30. cs/nOverlay1
  31. +
  32. cnt/RefCnt<0>
  33. fsb/ASrf
  34. iobm/BERRrf
  35. iobm/BERRrr
  36. @@ -246,20 +247,15 @@
  37. iobm/ETACK
  38. iobm/IOREQr
  39. iobm/IOS_FSM_FFd1
  40. +
  41. iobm/IOS_FSM_FFd2
  42. iobm/IOS_FSM_FFd3
  43. iobm/IOS_FSM_FFd4
  44. -
  45. iobm/IOS_FSM_FFd5
  46. -
  47. iobm/IOS_FSM_FFd6
  48. -
  49. iobm/IOS_FSM_FFd7
  50. -
  51. iobm/IOS_FSM_FFd8
  52. iobm/RESrf
  53. iobm/RESrr
  54. -
  55. iobs/IOACTr
  56. -
  57. iobs/Once
  58. -
  59. iobs/PS_FSM_FFd2
  60. -
  61. nADoutLE1
  62. +
  63. iobs/Load1
  64. nAS_FSB
  65. nBERR_IOB
  66. +
  67. nUDS_FSB
  68. ram/RASEL
diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB6.htm similarity index 51% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB6.htm index 57f9db0..33ea4aa 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB7.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB6.htm @@ -11,8 +11,8 @@ - - + +
@@ -27,9 +27,9 @@ - - - + + @@ -40,18 +40,18 @@ - - + - + - @@ -60,9 +60,9 @@ - - - + + @@ -71,31 +71,31 @@ - - - + + - + - + - - + - - - + + @@ -104,31 +104,31 @@ - - - + + - + - + - - + - - - + + @@ -137,31 +137,31 @@ - - - + + - + - + - - + - - - + + @@ -170,31 +170,31 @@ - - - + + - + - + - + - - + - + - @@ -203,22 +203,23 @@ - - - + + - + - + - - - + + + - + @@ -230,8 +231,6 @@
  • A_FSB<16>
  • A_FSB<17>
  • A_FSB<18>
  • -
  • A_FSB<19>
  • -
  • A_FSB<20>
  • A_FSB<21>
  • A_FSB<22>
  • A_FSB<23>
  • @@ -239,11 +238,7 @@
  • A_FSB<7>
  • A_FSB<8>
  • A_FSB<9>
  • -
  • cnt/RefCnt<0>
  • -
  • cnt/RefCnt<1>
  • -
  • cnt/RefCnt<2>
  • -
  • cnt/RefCnt<3>
  • -
  • cnt/RefCnt<4>
  • +
  • IOBERR
  • cnt/RefCnt<5>
  • cnt/RefCnt<6>
  • cnt/RefCnt<7>
  • @@ -252,10 +247,19 @@
  • cs/nOverlay1
  • fsb/ASrf
  • fsb/Ready0r
  • +
  • iobs/Clear1
  • +
  • iobs/IOACTr
  • +
  • iobs/IOL1
  • +
  • iobs/IOReady
  • +
  • iobs/Load1
  • +
  • iobs/Once
  • +
  • iobs/PS_FSM_FFd1
  • +
  • iobs/PS_FSM_FFd2
  • +
  • nADoutLE1
  • nAS_FSB
  • +
  • nLDS_FSB
  • ram/BACTr
  • ram/Once
  • -
  • ram/RAMDIS2
  • ram/RAMReady
  • ram/RASEL
  • ram/RS_FSM_FFd1
  • @@ -264,9 +268,9 @@
    Pin Use
    cnt/RefCnt<5>1 1_1 +ram/Once5 1_1 1_2 1_3 1_4 1_5 MC1 STD
    RA<5> 2 2_1 2_2 + 2_1 2_2 MC2 STD5074 I/O O
    cnt/RefCnt<4>ALE0S 1 3_1 + 3_1 MC3 STD(b)
    cs/nOverlay02 4_1 4_2 +ram/RS_FSM_FFd311 3_2 3_3 3_4 3_5 4_1 4_2 4_3 4_4 4_5 5_3 5_4 MC4 STD(b)
    ram/RS_FSM_FFd311 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_3 6_4 6_5 +iobs/PS_FSM_FFd12 5_1 5_2 MC5 STD5276 I/OII
    RA<6> 2 6_1 6_2 + 6_1 6_2 MC6 STD5377 I/O O
    fsb/Ready0r3 7_1 7_2 7_3 +iobs/IOL12 7_1 7_2 MC7 STD(b)
    ram/RS_FSM_FFd15 8_1 8_2 8_3 8_4 8_5 +cs/nOverlay12 8_1 8_2 MC8 STD5478 I/OII
    RA<7> 2 9_1 9_2 + 9_1 9_2 MC9 STD5579 I/O O
    ram/Once5 10_1 10_2 9_3 9_4 9_5 +fsb/Ready0r3 10_1 10_2 10_3 MC10 STD(b)
    ram/RAMDIS27 10_3 10_4 10_5 11_1 11_2 11_3 11_4 +IOL03 11_1 11_2 11_3 MC11 STD5680 I/OII
    RA<8> 2 11_5 12_1 + 12_1 12_2 MC12 STD5881 I/O O
    ram/RS_FSM_FFd213 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_2 14_3 14_4 14_5 +iobs/IOReady4 13_1 13_2 13_3 13_4 MC13 STD(b)
    cnt/RefCnt<7>1 14_1 +ram/RS_FSM_FFd15 14_1 14_2 14_3 14_4 14_5 MC14 STD5982 I/OII
    RA<9>nADoutLE1 2 15_1 15_2 + 15_1 15_2 MC15 STD6085 I/O O
    cnt/RefCnt<6>iobs/Clear1 1 15_3 + 16_1 MC16 STD(b)
    ram/RAMReady16 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_2 +ram/RS_FSM_FFd213 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_2 18_3 18_4 18_5 MC17 STD6186 I/OII
    (unused)0 RefAck1 18_1 + MC18 STD   (b) (b)
    + +
    - +    - +
    diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB7.htm similarity index 69% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB7.htm index 4250038..0d96b05 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB8.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB7.htm @@ -12,8 +12,8 @@ - -
    @@ -37,13 +37,13 @@ - - - + + - + @@ -73,20 +73,19 @@ - + - + - - - + + + - - + + - + @@ -99,25 +98,25 @@ + + + + + + + + + + - - - - - - - - - - - - + + - + @@ -135,18 +134,18 @@ - + - + - - - + + - + @@ -166,46 +165,47 @@ - + - + - + - - + - + - - - + + + - + - - - - - - - - - - - + - + + + + + + + + + + @@ -218,15 +218,22 @@
    Signals Used By Logic in Function Block
    1. ALE0M
    2. ALE0S
    3. -
    4. A_FSB<19>
    5. -
    6. A_FSB<21>
    7. +
    8. A_FSB<22>
    9. E_IOB
    10. -
    11. iobm/IOS_FSM_FFd2
    12. +
    13. cs/nOverlay1
    14. +
    15. fsb/VPA
    16. +
    17. iobm/IOS_FSM_FFd1
    18. iobm/IOS_FSM_FFd3
    19. iobm/IOS_FSM_FFd4
    20. +
    21. nAS_FSB
    22. +
    23. nVPA_IOB
     
    RA<11>1 2_1 +nDinLE2 2_1 2_2 MC2 STD6350 I/O O
      MC5  6452 I/OI 
    RA<10>1 6_1 -(unused)0  MC6STD65 53 I/OOI
    (unused) 
    nADoutLE01 8_1 +MC8STD54I/OO
    (unused) 0  MC8 66I/OI
    nADoutLE01 9_1 - MC9STD67 55 I/OOI
    (unused)  MC11  6856 I/OII
    nAoutOE0  +nVPA_FSB1 12_1 MC12 STD7058 I/O O
      MC14  7159 I/OII
    nDinLEiobm/VPArr 1 15_1 + 15_1 MC15 STD7260 I/OOI
    (unused)0 iobm/VPArf1 16_1 + MC16 STD   (b) 
    iobm/IOS_FSM_FFd11 17_1 -MC17STD73I/OI(b)
    iobm/Er 1 18_1 + 17_1 +MC17STD61I/OI
    $OpTx$FX_DC$3602 18_1 18_2 MC18 STD
    - + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm b/cpld/XC95144/MXSE_html/fit/fbs_FB8.htm similarity index 50% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FB8.htm index 19067b9..9472417 100644 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB1.htm +++ b/cpld/XC95144/MXSE_html/fit/fbs_FB8.htm @@ -6,14 +6,14 @@
    + +    + +
    - +
    @@ -37,15 +37,14 @@ - - - + + + - - + + - + @@ -53,136 +52,134 @@ - - - + + + - - - + + + - + - - - + + + - - + + - + - - - + + + - - + + - + - - - + + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + - - - + + + - - + + - + @@ -195,22 +192,22 @@ - - - - - - - - - - - + + + + + + + + + + + @@ -218,8 +215,8 @@
    (b)
    nDTACK_FSB23 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 3_1 3_2 3_3 3_4 3_5 4_3 4_4 4_5 -(unused)0  MC2STD11 63 I/OOI
    (unused)  MC3  12I/OI (b)(b)
    $OpTx$FX_DC$6065 4_1 4_2 5_1 5_2 5_3 -(unused)0  MC4STD    (b) (b)
    (unused)0 nDTACK_FSB22 3_1 3_2 4_1 4_2 4_3 4_4 4_5 5_1 5_2 5_3 5_4 5_5 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 + MC5 13STD64 I/OIO
    nBERR_FSB3 6_1 6_2 6_3 -(unused)0  MC6STD14 65 I/OOI
    fsb/Ready1r7 6_4 6_5 7_1 7_2 7_3 7_4 7_5 -(unused)0  MC7STD    (b) (b)
    (unused)0 MC8 15I/OI
    (unused)0 MC9 16I/OI
    fsb/VPA__or00001/fsb/VPA__or00001_D28 10_1 10_2 10_3 10_4 10_5 9_1 9_2 9_3 -MC10STD (b)(b)
    nOE1 11_1 -MC11STD17I/OO
    fsb/Ready2r 9 11_2 11_3 11_4 11_5 12_1 12_2 12_3 12_4 12_5 + 8_1 8_2 8_3 8_4 8_5 9_1 9_3 9_4 9_5 MC12MC8 STD1866 I/OII
    fsb/Ready1r7 10_1 10_2 10_3 10_4 10_5 11_1 9_1 +MC9STD67I/OI
    (unused) 0  MC13MC10     (b) (b)
    nAoutOE0  +MC11STD68I/OO
    (unused)0 MC12 70I/OI
    iobs/Once17 12_1 12_2 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 +MC13STD (b)(b)
    (unused) 0   MC14  1971 I/OII
    fsb/VPA22 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 16_1 16_2 16_3 16_4 16_5 17_3 17_4 -(unused)0  MC15STD20 72 I/OII
    (unused)(b)
    $OpTx$FX_DC$6022 17_1 17_2 -MC17STD22I/O/GCK1GCK
    (unused) 0  MC18MC17  73I/OI
    fsb/VPA25 16_1 16_2 16_3 16_4 16_5 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 +MC18STD   (b) (b)

    Signals Used By Logic in Function Block
      -
    1. $OpTx$FX_DC$602
    2. -
    3. $OpTx$FX_DC$606
    4. +
    5. $OpTx$$OpTx$FX_DC$355_INV$439
    6. +
    7. $OpTx$FX_DC$360
    8. A_FSB<10>
    9. A_FSB<11>
    10. A_FSB<12>
    11. @@ -247,8 +244,10 @@
    12. fsb/Ready1r
    13. fsb/Ready2r
    14. fsb/VPA
    15. -
    16. fsb/VPA__or00001/fsb/VPA__or00001_D2
    17. iobs/IOReady
    18. +
    19. iobs/Once
    20. +
    21. iobs/PS_FSM_FFd1
    22. +
    23. iobs/PS_FSM_FFd2
    24. nADoutLE1
    25. nAS_FSB
    26. nDTACK_FSB
    27. @@ -256,7 +255,7 @@
    28. ram/RAMReady
    - + diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm b/cpld/XC95144/MXSE_html/fit/fbs_FBdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbs_FBdoc.htm rename to cpld/XC95144/MXSE_html/fit/fbs_FBdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm b/cpld/XC95144/MXSE_html/fit/fbsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbsdoc.htm rename to cpld/XC95144/MXSE_html/fit/fbsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/fbview.jpg b/cpld/XC95144/MXSE_html/fit/fbview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/fbview.jpg rename to cpld/XC95144/MXSE_html/fit/fbview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/functionblock.gif b/cpld/XC95144/MXSE_html/fit/functionblock.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/functionblock.gif rename to cpld/XC95144/MXSE_html/fit/functionblock.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/genmsg.htm b/cpld/XC95144/MXSE_html/fit/genmsg.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/genmsg.htm rename to cpld/XC95144/MXSE_html/fit/genmsg.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/header.gif b/cpld/XC95144/MXSE_html/fit/header.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/header.gif rename to cpld/XC95144/MXSE_html/fit/header.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/home.gif b/cpld/XC95144/MXSE_html/fit/home.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/home.gif rename to cpld/XC95144/MXSE_html/fit/home.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/index.htm b/cpld/XC95144/MXSE_html/fit/index.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/index.htm rename to cpld/XC95144/MXSE_html/fit/index.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleft.htm b/cpld/XC95144/MXSE_html/fit/inputleft.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/inputleft.htm rename to cpld/XC95144/MXSE_html/fit/inputleft.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleft.js b/cpld/XC95144/MXSE_html/fit/inputleft.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/inputleft.js rename to cpld/XC95144/MXSE_html/fit/inputleft.js diff --git a/cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm b/cpld/XC95144/MXSE_html/fit/inputleftdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/inputleftdoc.htm rename to cpld/XC95144/MXSE_html/fit/inputleftdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/leftnav.htm b/cpld/XC95144/MXSE_html/fit/leftnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/leftnav.htm rename to cpld/XC95144/MXSE_html/fit/leftnav.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/leftnav.js b/cpld/XC95144/MXSE_html/fit/leftnav.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/leftnav.js rename to cpld/XC95144/MXSE_html/fit/leftnav.js diff --git a/cpld/XC95144XL/MXSE_html/fit/legend.gif b/cpld/XC95144/MXSE_html/fit/legend.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/legend.gif rename to cpld/XC95144/MXSE_html/fit/legend.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/legend.jpg b/cpld/XC95144/MXSE_html/fit/legend.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/legend.jpg rename to cpld/XC95144/MXSE_html/fit/legend.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm b/cpld/XC95144/MXSE_html/fit/logic_legXC95.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logic_legXC95.htm rename to cpld/XC95144/MXSE_html/fit/logic_legXC95.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm b/cpld/XC95144/MXSE_html/fit/logic_legXbr.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logic_legXbr.htm rename to cpld/XC95144/MXSE_html/fit/logic_legXbr.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm b/cpld/XC95144/MXSE_html/fit/logic_legXpla3.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logic_legXpla3.htm rename to cpld/XC95144/MXSE_html/fit/logic_legXpla3.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleft.htm b/cpld/XC95144/MXSE_html/fit/logicleft.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleft.htm rename to cpld/XC95144/MXSE_html/fit/logicleft.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleft.js b/cpld/XC95144/MXSE_html/fit/logicleft.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleft.js rename to cpld/XC95144/MXSE_html/fit/logicleft.js diff --git a/cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm b/cpld/XC95144/MXSE_html/fit/logicleftdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logicleftdoc.htm rename to cpld/XC95144/MXSE_html/fit/logicleftdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegend.htm b/cpld/XC95144/MXSE_html/fit/logiclegend.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logiclegend.htm rename to cpld/XC95144/MXSE_html/fit/logiclegend.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm b/cpld/XC95144/MXSE_html/fit/logiclegendV.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/logiclegendV.htm rename to cpld/XC95144/MXSE_html/fit/logiclegendV.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/macrocell.gif b/cpld/XC95144/MXSE_html/fit/macrocell.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/macrocell.gif rename to cpld/XC95144/MXSE_html/fit/macrocell.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm b/cpld/XC95144/MXSE_html/fit/mapinput_00.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm rename to cpld/XC95144/MXSE_html/fit/mapinput_00.htm index a1fa8f4..ffdb152 100644 --- a/cpld/XC95144XL/MXSE_html/fit/mapinput_00.htm +++ b/cpld/XC95144/MXSE_html/fit/mapinput_00.htm @@ -24,110 +24,6 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -135,15 +31,103 @@ - - - - + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -151,50 +135,66 @@ + + + + + + + + + + + + + + + + - - + + - - + + - - - + + + - - - + + + - - + + - - - + + + @@ -224,41 +224,41 @@ - - - + + + - - - + + + - - - + + + - - - + + + - - - + + + @@ -272,25 +272,25 @@ - - - + + + - - - + + + - - + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm b/cpld/XC95144/MXSE_html/fit/mapinput_01.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm rename to cpld/XC95144/MXSE_html/fit/mapinput_01.htm index 01c4e3a..f6716f1 100644 --- a/cpld/XC95144XL/MXSE_html/fit/mapinput_01.htm +++ b/cpld/XC95144/MXSE_html/fit/mapinput_01.htm @@ -15,7 +15,7 @@ - + @@ -23,31 +23,23 @@ - + - - + + - - - - - - - - - + @@ -55,15 +47,7 @@ - - - - - - - - - + @@ -87,7 +71,7 @@ - + @@ -103,7 +87,7 @@ - + @@ -127,23 +111,15 @@ - + - - + + - - - - - - - - - + @@ -151,7 +127,7 @@ - + @@ -159,23 +135,15 @@ - + - - - - - - - - - + - + @@ -183,7 +151,7 @@ - + @@ -191,7 +159,7 @@ - + @@ -199,7 +167,7 @@ - + @@ -207,7 +175,7 @@ - + @@ -215,23 +183,23 @@ - + - - + + - + - - + + - + @@ -239,7 +207,7 @@ - + @@ -249,21 +217,37 @@ + + + + + + + + - + - - + + - + + + + + + + + + @@ -271,15 +255,23 @@ - + - - + + - + + + + + + + + + @@ -287,7 +279,15 @@ - + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm b/cpld/XC95144/MXSE_html/fit/mapinput_02.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm rename to cpld/XC95144/MXSE_html/fit/mapinput_02.htm index ddd0d2f..0397166 100644 --- a/cpld/XC95144XL/MXSE_html/fit/mapinput_02.htm +++ b/cpld/XC95144/MXSE_html/fit/mapinput_02.htm @@ -15,7 +15,7 @@ - + @@ -23,7 +23,7 @@ - + @@ -31,31 +31,23 @@ - + - - + + - - - - - - - - - + @@ -63,15 +55,7 @@ - - - - - - - - - + @@ -95,7 +79,7 @@ - + @@ -112,30 +96,22 @@ - - - - - - - - - - + + - + - - + + - + @@ -143,7 +119,7 @@ - + @@ -153,21 +129,37 @@ + + + + + + + + - + - - + + - + + + + + + + + + @@ -175,15 +167,23 @@ - + - - + + - + + + + + + + + + @@ -191,7 +191,15 @@ - + + + + + + + + + @@ -199,7 +207,7 @@ - + @@ -207,7 +215,7 @@ - + @@ -215,7 +223,7 @@ - + @@ -223,7 +231,7 @@ - + @@ -231,7 +239,7 @@ - + @@ -247,23 +255,15 @@ - + - - + + - - - - - - - - - + @@ -271,7 +271,7 @@ - + @@ -279,10 +279,10 @@ - + - - + + diff --git a/cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm b/cpld/XC95144/MXSE_html/fit/mapinputdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/mapinputdoc.htm rename to cpld/XC95144/MXSE_html/fit/mapinputdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic.js b/cpld/XC95144/MXSE_html/fit/maplogic.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/maplogic.js rename to cpld/XC95144/MXSE_html/fit/maplogic.js diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm b/cpld/XC95144/MXSE_html/fit/maplogic_00.htm similarity index 91% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm rename to cpld/XC95144/MXSE_html/fit/maplogic_00.htm index 539a58a..457a03a 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_00.htm +++ b/cpld/XC95144/MXSE_html/fit/maplogic_00.htm @@ -22,7 +22,7 @@ - + @@ -36,45 +36,45 @@ - - + + - - - + + + - - + + - + + + + + + + + + + + + + + - - - - - - - - - - - - - - - + + @@ -89,20 +89,20 @@ - + - - - + + + - - + + @@ -114,8 +114,8 @@ - - + + @@ -127,34 +127,34 @@ - - + + - - - + + + - + - - - + + + - - - + + + - - - - - + + + + + @@ -166,6 +166,45 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -176,33 +215,7 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -215,27 +228,14 @@ - - - - - - - - - - - - - - + - + @@ -244,11 +244,11 @@ - - + + - + @@ -257,11 +257,11 @@ - + - + @@ -270,11 +270,11 @@ - + - + @@ -283,11 +283,11 @@ - + - + @@ -296,11 +296,11 @@ - + - + @@ -309,11 +309,11 @@ - - + + - + @@ -323,19 +323,32 @@ - + - - - + + + - + + + + + + + + + + + + + + @@ -345,23 +358,36 @@ - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -371,7 +397,228 @@ - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -384,271 +631,11 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + @@ -660,12 +647,12 @@ - - + + - - + + @@ -673,7 +660,20 @@ - + + + + + + + + + + + + + + @@ -683,23 +683,10 @@ - - - - - - - - - - - - - - + @@ -712,7 +699,7 @@ - + @@ -725,25 +712,25 @@ - - + + - - - + + + - - + + - - + + @@ -751,8 +738,8 @@ - - + + @@ -764,13 +751,13 @@ - - + + - - - + + + @@ -778,37 +765,37 @@ - + - - + + - - - - + + + + - + - + + - - + - - + + @@ -827,100 +814,22 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + - + - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -930,7 +839,7 @@ - + @@ -943,24 +852,50 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + - - + + - - - + + + - - + + @@ -973,24 +908,24 @@ - + - - - + + + - - + + - - + + @@ -998,8 +933,8 @@ - - + + @@ -1011,12 +946,12 @@ - - + + - - + + @@ -1024,21 +959,21 @@ - - + + - - - + + + - - + + @@ -1050,37 +985,37 @@ - - + + - + - + - + - + - + - - + + - + @@ -1089,110 +1024,19 @@ - - + + - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -1203,9 +1047,100 @@ - + + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1219,11 +1154,11 @@ - - + + - + @@ -1232,11 +1167,11 @@ - - + + - + @@ -1245,11 +1180,11 @@ - - + + - + @@ -1271,11 +1206,11 @@ - - + + - + @@ -1284,19 +1219,19 @@ - - + + - + - - + + @@ -1310,11 +1245,11 @@ - - + + - + @@ -1323,11 +1258,11 @@ - - + + - + @@ -1336,8 +1271,8 @@ - - + + @@ -1349,8 +1284,8 @@ - - + + @@ -1362,47 +1297,47 @@ - - + + - + - + - - + + - - - + + + - - + + - - - + + + - - + + @@ -1414,11 +1349,11 @@ - - + + - + @@ -1427,26 +1362,26 @@ - - + + - - - + + + - - + + - - - + + +
    A_FSB<11>FB6MC1786I/OI
    A_FSB<12>FB4MC992I/OI
    A_FSB<13>FB6MC1482I/OI
    A_FSB<14>FB4MC1193I/OI
    A_FSB<15>FB6MC1180I/OI
    A_FSB<16>FB4MC1495I/OI
    A_FSB<17>FB4MC1596I/OI
    A_FSB<18>FB6MC576I/OI
    A_FSB<19>FB8MC1773I/OI
    A_FSB<1>FB4MC690I/OI
    A_FSB<20>FB8MC1471I/OI
    A_FSB<21>FB8MC1168I/OI
    A_FSB<22>FB2MC159I/OI
    A_FSB<23> FB8 MC8 66I
    A_FSB<2>FB1MC916A_FSB<12>FB8MC665 I/O I
    A_FSB<3>A_FSB<13>FB1MC312I/OI
    A_FSB<14>FB8MC263I/OI
    A_FSB<15>FB6MC1786I/OI
    A_FSB<16>FB4MC891I/OI
    A_FSB<17>FB6MC1482I/OI
    A_FSB<18>FB4MC1193I/OI
    A_FSB<19>FB7MC1761I/OI
    A_FSB<1>FB8MC1572I/OI
    A_FSB<20>FB1MC614I/OI
    A_FSB<21>FB6MC1180I/OI
    A_FSB<22>FB4MC1495I/OI
    A_FSB<23> FB6 MC8 78I
    A_FSB<2>FB7MC955I/OI
    A_FSB<3>FB8MC967I/OI
    A_FSB<4> FB7MC1156MC1459 I/O I
    A_FSB<5> FB1MC815MC916 I/O I
    A_FSB<6>FB1MC1419FB4MC1797 I/O I
    A_FSB<7>FB1MC1520FB6MC576 I/O I
    A_FSB<8> FB8MC564MC1773 I/O I
    A_FSB<9>FB1MC312FB8MC1471 I/O I
    E_IOBFB5MC1749FB7MC653 I/O I
    nAS_FSBFB1MC513FB8MC1270 I/O I
    nBERR_IOBFB1MC1218FB7MC1156 I/O I
    nDTACK_IOBFB7MC854FB1MC1520 I/O I
    nLDS_FSBFB3MC625FB2MC159 I/O I
    nUDS_FSBFB7MC552FB1MC1218 I/O I
    nVPA_IOBFB7MC1459FB3MC625 I/O I
    nWE_FSB FB7MC1761MC1560 I/O I
    Pin Use
    A_FSB<9>A_FSB<13> FB1 MC3 12I
    nAS_FSBA_FSB<20> FB1MC513MC614 I/O I
    A_FSB<5> FB1MC815I/OI
    A_FSB<2>FB1 MC9 16 I/O I
    nBERR_IOBnUDS_FSB FB1 MC12 18I
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>nDTACK_IOB FB1 MC15 20GSR/I
    A_FSB<22>nLDS_FSB FB2 MC15 9GCK
    nLDS_FSBnVPA_IOB FB3 MC6 25I
    A_FSB<1>A_FSB<16> FB4MC690MC891 I/O I
    A_FSB<12>FB4MC992I/OI
    A_FSB<14>A_FSB<18> FB4 MC11 93I
    A_FSB<16>A_FSB<22> FB4 MC14 95I
    A_FSB<17>A_FSB<6> FB4MC1596I/OI
    E_IOBFB5 MC174997 I/O I
    A_FSB<18>A_FSB<7> FB6 MC5 76I
    A_FSB<3>A_FSB<23> FB6 MC8 78I
    A_FSB<15>A_FSB<21> FB6 MC11 80I
    A_FSB<13>A_FSB<17> FB6 MC14 82I
    A_FSB<11>A_FSB<15> FB6 MC17 86I
    nUDS_FSBE_IOB FB7MC552MC653 I/O I
    nDTACK_IOBA_FSB<2> FB7MC854MC955 I/O I
    A_FSB<4>nBERR_IOB FB7 MC11 56I
    nVPA_IOBA_FSB<4> FB7 MC14 59
    nWE_FSB FB7MC1560I/OI
    A_FSB<19>FB7 MC17 61 I/O I
    A_FSB<8>A_FSB<14> FB8MC564MC263 I/O I
    A_FSB<23>A_FSB<12>FB8MC665I/OI
    A_FSB<11> FB8 MC8 66I
    A_FSB<21>A_FSB<3> FB8MC1168MC967 I/O I
    A_FSB<20>nAS_FSBFB8MC1270I/OI
    A_FSB<9> FB8 MC14 71I
    A_FSB<19>A_FSB<1>FB8MC1572I/OI
    A_FSB<8> FB8 MC17 73Pin Use
    A_FSB<22>nLDS_FSB FB2 MC15 9I
    A_FSB<9>A_FSB<13> FB1 MC3 12I
    nAS_FSBA_FSB<20> FB1MC513MC614 I/O I
    A_FSB<5> FB1MC815I/OI
    A_FSB<2>FB1 MC9 16 I/O I
    nBERR_IOBnUDS_FSB FB1 MC12 18I
    A_FSB<6>FB1MC1419I/OI
    A_FSB<7>nDTACK_IOB FB1 MC15 20GCK
    nLDS_FSBnVPA_IOB FB3 MC6 25
    E_IOBFB5MC1749I/OI
    nUDS_FSB FB7MC552MC653 I/O I
    nDTACK_IOBA_FSB<2> FB7MC854MC955 I/O I
    A_FSB<4>nBERR_IOB FB7 MC11 56I
    nVPA_IOBA_FSB<4> FB7 MC14 59
    nWE_FSB FB7MC1560I/OI
    A_FSB<19>FB7 MC17 61 I/O I
    A_FSB<8>A_FSB<14> FB8MC564MC263 I/O I
    A_FSB<23>A_FSB<12>FB8MC665I/OI
    A_FSB<11> FB8 MC8 66I
    A_FSB<21>A_FSB<3> FB8MC1168MC967 I/O I
    A_FSB<20>nAS_FSBFB8MC1270I/OI
    A_FSB<9> FB8 MC14 71I
    A_FSB<19>A_FSB<1>FB8MC1572I/OI
    A_FSB<8> FB8 MC17 73I
    A_FSB<18>A_FSB<7> FB6 MC5 76I
    A_FSB<3>A_FSB<23> FB6 MC8 78I
    A_FSB<15>A_FSB<21> FB6 MC11 80I
    A_FSB<13>A_FSB<17> FB6 MC14 82I
    A_FSB<11>A_FSB<15> FB6 MC17 86I
    A_FSB<1>A_FSB<16> FB4MC690MC891 I/O I
    A_FSB<12>FB4MC992I/OI
    A_FSB<14>A_FSB<18> FB4 MC11 93I
    A_FSB<16>A_FSB<22> FB4 MC14 95I
    A_FSB<17>A_FSB<6> FB4MC1596MC1797 I/O I
    ALE0M 275 FB5 MC13 STDALE0S 1 2FB3MC8FB6MC3 STD  27I/O/GCK3GCK/I (b)(b) RESET
    BERR_IOBS 4 8FB5MC15FB1MC12 STD  4618I/OIRESET
    IOACT613FB5MC17STD 49 I/O (b) RESET
    IOACT1015FB5MC1STD  (b)(b)RESET
    IOBERR811914 FB5 MC18 STD3 5 FB6MC16MC11 STD   (b)(b)80I/OI RESET
    IOREQ 14 19FB3MC13FB1MC7 STD    IORW0 18 20FB3MC3FB1MC16 STD    IOU0 3 5FB6MC14FB4MC18 STD  82I/OI (b)(b) RESET
    $OpTx$FX_DC$602$OpTx$FX_DC$360 25FB1MC172FB7MC18 STD  22I/O/GCK1GCK (b)(b)  
    $OpTx$FX_DC$606512FB1MC4$OpTx$$OpTx$FX_DC$355_INV$43912FB5MC7 STD    RA<0> 2 3FB3MC11STDFAST29I/OO 
    RA<10>11FB1MC14STDFAST19I/OO 
    RA<11>11FB3MC15STDFAST33I/OO 
    RA<1>23 FB4 MC2 STD 
    RA<10>11FB8MC6STDFAST65I/OO 
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<1>RA<2> 2 3 FB5 
    RA<2>23FB4MC8STDFAST91I/OO 
    RA<3> 2 3 FB5MC8MC6 STD FAST3937 I/O O  RA<4> 2 3FB4MC12FB5MC9 STD FAST9440 I/O O  RA<5> 2 3FB7FB6 MC2 STD FAST5074 I/O O  RA<6> 2 3FB7FB6 MC6 STD FAST5377 I/O O  RA<7> 2 3FB7FB6 MC9 STD FAST5579 I/O O  RA<8> 2 3FB7FB6 MC12 STD FAST5881 I/O O  RA<9> 2 3FB7MC15FB5MC12 STD FAST6042 I/O O  1 2 FB6MC5MC18 STD  76I/OI (b)(b) RESET
    TimeoutA 3 10FB2FB4MC17STD 97I/OIRESET
    TimeoutB312FB4 MC16 STD  RESET
    TimeoutB312FB2MC15STD 9I/OIRESET
    cnt/RefCnt<0> 0 0 FB5MC5STD 36I/O(b)RESET
    cnt/RefCnt<1>11FB5MC4STD  (b)(b)RESET
    cnt/RefCnt<2>12FB4 MC10 STD  RESET
    cnt/RefCnt<1>cnt/RefCnt<3>13FB4MC8STD 91I/OIRESET
    cnt/RefCnt<4>14FB4MC7STD  (b)(b)RESET
    cnt/RefCnt<5>15FB4MC5STD 89I/OIRESET
    cnt/RefCnt<6>16FB4MC4STD  (b)(b)RESET
    cnt/RefCnt<7>17FB4MC3STD  (b)(b)RESET
    cnt/RefDone210FB4MC13STD  (b)(b)RESET
    cnt/TimeoutBPre311FB4MC14STD 95I/OIRESET
    cs/nOverlay027FB1MC15STD 20I/OIRESET
    cs/nOverlay123FB6MC8STD 78I/OIRESET
    fsb/ASrf11FB5MC3STD  (b)(b)RESET
    fsb/BERR0r38FB1MC13STD  (b)(b)RESET
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    fsb/Ready0r38FB6MC10STD  (b)(b)RESET
    fsb/Ready1r717FB8MC9STD 67I/OIRESET
    fsb/Ready2r922FB8MC8STD 66I/OIRESET
    fsb/VPA2531FB8MC18STD  (b)(b)RESET
    iobm/BERRrf11FB2MC9STD 4I/O/GTS2(b)RESET
    iobm/BERRrr 1 1 FB2RESET
    cnt/RefCnt<2>12FB2MC7STD  (b)(b)RESET
    cnt/RefCnt<3>13FB2MC6STD 2I/O/GTS4(b)RESET
    cnt/RefCnt<4>14FB7MC3STD  (b)(b)RESET
    cnt/RefCnt<5>15FB7MC1STD  (b)(b)RESET
    cnt/RefCnt<6>16FB7MC16STD  (b)(b)RESET
    cnt/RefCnt<7>17FB7MC14STD 59I/OIRESET
    cnt/RefDone210FB2MC10STD  (b)(b)RESET
    cnt/TimeoutBPre311FB2MC13STD  (b)(b)RESET
    cs/nOverlay027FB7MC4STD  (b)(b)RESET
    cs/nOverlay123FB4MC3STD  (b)(b)RESET
    fsb/ASrf11FB3MC7STD  (b)(b)RESET
    fsb/BERR0r38FB4MC16STD  (b)(b)RESET
    fsb/BERR1r24FB2MC9STD 4I/O/GTS2(b)RESET
    fsb/Ready0r38FB7MC7STD  (b)(b)RESET
    fsb/Ready1r717FB1MC7STD  (b)(b)RESET
    fsb/Ready2r922FB1MC12STD 18I/OIRESET
    fsb/VPA2230FB1MC15STD 20I/OIRESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2820FB1MC10STD  (b)(b) 
    iobm/BERRrf11FB5MC9STD 40I/O(b)RESET
    iobm/BERRrr11FB5MC7STD  (b)(b)RESET
    iobm/DTACKrf 1 1FB6MC4FB2MC7 STD    iobm/DTACKrr 1 1FB6MC3FB2MC6 STD   (b)2I/O/GTS4 (b) RESET
    iobm/ES<0> 3 7FB6FB2MC15STD 9I/OIRESET
    iobm/ES<1>34FB2 MC13 STD  RESET
    iobm/ES<1>34FB6MC11STD 80I/OIRESET
    iobm/ES<2> 5 7FB6FB2 MC18 STD  iobm/ES<3> 3 6FB6FB2 MC10 STD  iobm/ES<4> 4 7FB6MC17FB2MC16 STD  86I/OI (b)(b) RESET
    iobm/ETACK 1 6FB3MC14FB2MC5 STD  32I/O1I/O/GTS3 (b) RESET
    iobm/Er2 1 1FB6MC1FB2MC4 STD    iobm/Er 1 1FB8MC18FB7MC17 STD   (b)(b)61I/OI RESET
    1 1 FB2MC5MC3 STD  1I/O/GTS3 (b) (b) RESET
    iobm/IOS_FSM_FFd111FB8MC1724FB5MC11 STD  7341 I/OI(b) RESET
    iobm/IOS_FSM_FFd22 49 FB5MC14MC10 STD  43I/O (b) (b) RESET
    iobm/IOS_FSM_FFd411FB5MC6STD 37I/O(b)RESET
    iobm/IOS_FSM_FFd511FB5MC5STD 36I/O(b)RESET
    iobm/IOS_FSM_FFd611FB5MC4STD  (b)(b)RESET
    iobm/IOS_FSM_FFd713FB5MC3STD  (b)(b)RESET
    iobm/IOS_FSM_FFd82 46 FB5MC11MC14 STD  4143 I/O (b)SETRESET
    iobm/RESrf 1 1 FB2MC4STD  (b)(b)RESET
    iobm/RESrr11FB2MC3STD  (b)(b)RESET
    iobm/VPArf11FB2 MC2 STD  RESET
    iobm/VPArriobm/RESrr 1 1 FB2RESET
    iobm/VPArf11FB7MC16STD  (b)(b)RESET
    iobm/VPArr11FB7MC15STD 60I/OIRESET
    iobs/Clear1 1 3FB3MC6FB6MC16 STD  25I/OI (b)(b) RESET
    iobs/IOACTr 1 1FB3MC4FB5MC1 STD    2 2 FB6MC8MC7 STD  78I/OI (b)(b) RESET
    iobs/IORW1 16 19FB3MC17FB1MC4 STD  34I/O (b) (b) RESET
    iobs/IOReady 4 8FB2MC18FB6MC13 STD    iobs/IOU1 2 2FB6MC7FB5MC8 STD   (b)39I/O (b) RESET
    iobs/Load1 14 18FB4MC6FB3MC10 STD  90I/OI (b)(b) RESET
    iobs/Once 17 18FB4MC10FB8MC13 STD    iobs/PS_FSM_FFd1 2 3FB3MC12FB6MC5 STD  3076 I/O(b)I RESET
    iobs/PS_FSM_FFd2 14 19FB3FB1 MC9 STD  2816 I/O(b)I RESET
    nADoutLE0 1 2FB8MC9FB7MC8 STD FAST6754 I/O O  nADoutLE1 2 3FB3MC11FB6MC15 STD FAST2985 I/O O SET
    nAS_IOB15FB2MC17STDFAST10I/OORESET
    nAoutOE00FB8MC12STDFAST70I/OO 
    nBERR_FSB39FB1MC6STDFAST14I/OO 
    nCAS11FB6MC2STDFAST74I/OORESET
    nDTACK_FSB2332FB1MC2STDFAST11I/OORESET
    nDinLE1 2FB8MC15STDFAST72I/OORESET
    nDinOE26FB4MC17STDFAST97I/OO 
    nDoutOE274 FB2 MC14 STDRESET
    nLDS_IOBnAoutOE00FB8MC11STDFAST68I/OO 
    nBERR_FSB 379FB1MC2STDFAST11I/OO 
    nCAS11FB5MC15STDFAST46I/OORESET
    nDTACK_FSB2233FB8MC5STDFAST64I/OORESET
    nDinLE23FB7MC2STDFAST50I/OORESET
    nDinOE26FB1MC5STDFAST13I/OO 
    nDoutOE24FB2MC17STDFAST10I/OORESET
    nLDS_IOB46 FB2 MC11 STDnOE 1 2FB1MC11FB4MC6 STD FAST1790 I/O O  nRAMLWE 1 5FB6MC6FB4MC9 STD FAST7792 I/O O  nRAMUWE 1 5FB6MC9FB4MC12 STD FAST7994 I/O O  nROMCS 2 5FB5MC12FB1MC8 STD FAST4215 I/O O  nROMWE 1 2FB6MC12FB4MC15 STD FAST8196 I/O O  
    nUDS_IOB3746 FB2 MC12 STDnVMA_IOB 2 9FB3MC15FB1MC11 STD FAST3317 I/O O RESETnVPA_FSB 1 2FB6MC15FB7MC12 STD FAST8558 I/O O  ram/BACTr 1 2FB3MC18FB4MC1 STD    ram/Once 5 10FB7MC10FB6MC1 STD    ram/RAMDIS1 18 15FB4MC14FB3MC17 STD  9534 I/OI(b) RESET
    ram/RAMDIS2 7 15FB7MC11FB3MC8 STD  56I/OI27I/O/GCK3GCK/I RESET
    ram/RAMReady 16 15FB7MC17FB3MC13 STD  61I/OI (b)(b) RESET
    ram/RASEL 20 15FB4MC1FB3MC4 STD    ram/RS_FSM_FFd1 5 10FB7MC8FB6MC14 STD  5482 I/O I RESETram/RS_FSM_FFd2 13 14FB7MC13FB6MC17 STD   (b)(b)86I/OI RESET
    ram/RS_FSM_FFd3 11 14FB7MC5FB6MC4 STD  52I/OI (b)(b) RESET
    diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm b/cpld/XC95144/MXSE_html/fit/maplogic_01.htm similarity index 91% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm rename to cpld/XC95144/MXSE_html/fit/maplogic_01.htm index 0db044c..110a81b 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_01.htm +++ b/cpld/XC95144/MXSE_html/fit/maplogic_01.htm @@ -20,9 +20,9 @@ - - - + + + @@ -30,12 +30,12 @@ - + - - - + + + @@ -43,25 +43,25 @@ - + - - - + + + - + - + - - - + + + @@ -72,22 +72,35 @@ - - - + + + - + - - - - + + + + - - + + + + + + + + + + + + + + + @@ -95,12 +108,12 @@ - + - - - + + + @@ -111,9 +124,35 @@ - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -124,20 +163,20 @@ - - - + + + - + - - - + + + - + @@ -150,7 +189,7 @@ - + @@ -163,7 +202,7 @@ - + @@ -176,7 +215,7 @@ - + @@ -189,9 +228,9 @@ - - + + @@ -202,9 +241,9 @@ - + + - @@ -215,9 +254,9 @@ - + + - @@ -228,7 +267,7 @@ - + @@ -241,9 +280,9 @@ - - - + + + @@ -254,9 +293,9 @@ - - - + + + @@ -268,8 +307,8 @@ - - + + @@ -281,8 +320,8 @@ - - + + @@ -293,9 +332,9 @@ - + - + @@ -306,9 +345,9 @@ - + - + @@ -319,9 +358,9 @@ - + - + @@ -332,9 +371,9 @@ - - - + + + @@ -345,9 +384,9 @@ - - - + + + @@ -358,9 +397,9 @@ - - - + + + @@ -371,22 +410,9 @@ - - + - - - - - - - - - - - - - + @@ -410,35 +436,9 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + @@ -449,20 +449,20 @@ - + - + - + - - + + - + @@ -472,25 +472,12 @@ - - - - - - - - - - - - - - - - + + + @@ -501,22 +488,9 @@ - + + - - - - - - - - - - - - - - @@ -524,12 +498,12 @@ - + - - - + + + @@ -543,19 +517,6 @@ - - - - - - - - - - - - - @@ -566,139 +527,243 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -709,9 +774,9 @@ - + + - @@ -722,7 +787,7 @@ - + @@ -735,9 +800,9 @@ - - - + + + @@ -748,22 +813,22 @@ - - - + + + - + - - + + - - + + @@ -771,38 +836,38 @@ - + - + + - - + - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + @@ -813,7 +878,7 @@ - + @@ -823,12 +888,12 @@ - + - + - + @@ -841,7 +906,7 @@ - + @@ -852,9 +917,9 @@ - + - + @@ -865,16 +930,16 @@ - - - + + + - + - + @@ -891,9 +956,22 @@ + + + + + + + + + + + + + - - + + @@ -904,244 +982,10 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - @@ -1154,34 +998,21 @@ - + - + - + - - - - - - - - - - - - - - - + + @@ -1193,11 +1024,24 @@ - + + + + + + + + + + + + + + - + @@ -1206,11 +1050,50 @@ - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1219,8 +1102,47 @@ - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -1232,58 +1154,97 @@ - - + + - + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - + + - @@ -1294,48 +1255,22 @@ - - - - - - - - - - - - - - + + - - + - + - - - - - - - - - - - - - - + + - @@ -1346,9 +1281,9 @@ - - - + + + @@ -1359,87 +1294,87 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + - - + + - + - + - - - + + + - - - - - - - - - - - - - - + - - - + + + - - - + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm b/cpld/XC95144/MXSE_html/fit/maplogic_02.htm similarity index 91% rename from cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm rename to cpld/XC95144/MXSE_html/fit/maplogic_02.htm index 533b41d..dbe71a6 100644 --- a/cpld/XC95144XL/MXSE_html/fit/maplogic_02.htm +++ b/cpld/XC95144/MXSE_html/fit/maplogic_02.htm @@ -20,9 +20,9 @@ - - + + @@ -33,9 +33,9 @@ - + + - @@ -46,7 +46,7 @@ - + @@ -59,9 +59,9 @@ - - - + + + @@ -73,8 +73,8 @@ - - + + @@ -86,8 +86,8 @@ - - + + @@ -98,9 +98,9 @@ - + - + @@ -111,9 +111,9 @@ - + - + @@ -124,9 +124,9 @@ - - - + + + @@ -137,9 +137,9 @@ - - - + + + @@ -147,25 +147,51 @@ - + - - - + + + - + - + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -173,12 +199,12 @@ - + - - - + + + @@ -189,9 +215,22 @@ - - - + + + + + + + + + + + + + + + + @@ -202,19 +241,6 @@ - - - - - - - - - - - - - @@ -228,22 +254,9 @@ - - - - - - - - - - - - - - - - + + + @@ -254,20 +267,7 @@ - - - - - - - - - - - - - - + @@ -277,38 +277,12 @@ - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - @@ -316,12 +290,12 @@ - + - - - + + + @@ -332,7 +306,7 @@ - + @@ -345,9 +319,9 @@ - - - + + + @@ -358,46 +332,46 @@ - - - - - - - - - - - - - - + - + - - - + + + - + - + - + + + + + + + + + + + + + + @@ -407,12 +381,12 @@ - + - + - + @@ -423,9 +397,9 @@ - + - + @@ -436,20 +410,33 @@ - - - + + + - + + + + + + + + + + + + + + - + @@ -459,77 +446,25 @@ - - - - - - - - - - - - - - + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - - - - - - - - - - - - - - + + - @@ -540,35 +475,22 @@ - + + - - + - + - - - - - - - - - - - - - - - - + + + @@ -579,87 +501,61 @@ - - - + + + - + - + - + - - - + + + - + - - - - + + + + - - - + + + - + - - + + - + - + - - + - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -667,12 +563,12 @@ - + - - + + @@ -683,9 +579,9 @@ - - - + + + @@ -696,9 +592,9 @@ - - + + @@ -709,9 +605,9 @@ - - - + + + @@ -722,9 +618,9 @@ - + - + @@ -735,9 +631,9 @@ - - + + @@ -748,9 +644,9 @@ - - + + @@ -761,9 +657,9 @@ - - + + @@ -771,12 +667,12 @@ - + - - - + + + @@ -787,7 +683,7 @@ - + @@ -800,36 +696,75 @@ - - - + + + - + - + - + + - - + - + - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -839,9 +774,9 @@ - - - + + + @@ -852,20 +787,33 @@ - + + - - + - + - + + + + + + + + + + + + + + @@ -878,9 +826,9 @@ - - - + + + @@ -888,15 +836,15 @@ + - - + - - - + + + @@ -910,23 +858,39 @@ - + + - - + + - - + - + + + + + + + + + + + + + + + + + @@ -942,7 +906,7 @@ - + @@ -958,7 +922,7 @@ - + @@ -974,9 +938,9 @@ - + + - @@ -984,33 +948,17 @@ - - - - - - - - - - - - - - - - - + - + - + @@ -1022,9 +970,25 @@ - + - + + + + + + + + + + + + + + + + + @@ -1038,9 +1002,9 @@ - - - + + + @@ -1048,31 +1012,15 @@ - + - - + - - - - - - - - - - - - - - - - + @@ -1086,11 +1034,11 @@ - - - + + + - + @@ -1102,9 +1050,9 @@ - - - + + + @@ -1121,22 +1069,6 @@ - - - - - - - - - - - - - - - - @@ -1150,9 +1082,9 @@ - - - + + + @@ -1160,112 +1092,48 @@ - + - - - + + + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - - + + - + - - - - + + + + @@ -1278,9 +1146,137 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + @@ -1311,8 +1307,8 @@ - - + + @@ -1326,9 +1322,9 @@ - - - + + + @@ -1336,15 +1332,15 @@ - + - - + + @@ -1358,9 +1354,9 @@ - - - + + + @@ -1368,13 +1364,13 @@ - + - + @@ -1390,9 +1386,9 @@ - + - + @@ -1400,15 +1396,15 @@ - + - - - + + + @@ -1422,9 +1418,9 @@ - + + - @@ -1438,9 +1434,9 @@ - - - + + + @@ -1454,59 +1450,11 @@ - + - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - + @@ -1518,57 +1466,41 @@ - - - + + + - + - - + + - - - - + + + + - + - - - - - - - - - - - - - - - - - - - + + + diff --git a/cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm b/cpld/XC95144/MXSE_html/fit/maplogicdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/maplogicdoc.htm rename to cpld/XC95144/MXSE_html/fit/maplogicdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/newappletref.htm b/cpld/XC95144/MXSE_html/fit/newappletref.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/newappletref.htm rename to cpld/XC95144/MXSE_html/fit/newappletref.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/next.jpg b/cpld/XC95144/MXSE_html/fit/next.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/next.jpg rename to cpld/XC95144/MXSE_html/fit/next.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/ns4plugin.js b/cpld/XC95144/MXSE_html/fit/ns4plugin.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/ns4plugin.js rename to cpld/XC95144/MXSE_html/fit/ns4plugin.js diff --git a/cpld/XC95144XL/MXSE_html/fit/options.htm b/cpld/XC95144/MXSE_html/fit/options.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/options.htm rename to cpld/XC95144/MXSE_html/fit/options.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm b/cpld/XC95144/MXSE_html/fit/optionsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/optionsdoc.htm rename to cpld/XC95144/MXSE_html/fit/optionsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/paths.js b/cpld/XC95144/MXSE_html/fit/paths.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/paths.js rename to cpld/XC95144/MXSE_html/fit/paths.js diff --git a/cpld/XC95144XL/MXSE_html/fit/pin.gif b/cpld/XC95144/MXSE_html/fit/pin.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin.gif rename to cpld/XC95144/MXSE_html/fit/pin.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm b/cpld/XC95144/MXSE_html/fit/pin_legXC95.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin_legXC95.htm rename to cpld/XC95144/MXSE_html/fit/pin_legXC95.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm b/cpld/XC95144/MXSE_html/fit/pin_legXbr.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin_legXbr.htm rename to cpld/XC95144/MXSE_html/fit/pin_legXbr.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm b/cpld/XC95144/MXSE_html/fit/pin_legXpla3.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pin_legXpla3.htm rename to cpld/XC95144/MXSE_html/fit/pin_legXpla3.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pindiagram.gif b/cpld/XC95144/MXSE_html/fit/pindiagram.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pindiagram.gif rename to cpld/XC95144/MXSE_html/fit/pindiagram.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegend.htm b/cpld/XC95144/MXSE_html/fit/pinlegend.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinlegend.htm rename to cpld/XC95144/MXSE_html/fit/pinlegend.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm b/cpld/XC95144/MXSE_html/fit/pinlegendV.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinlegendV.htm rename to cpld/XC95144/MXSE_html/fit/pinlegendV.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.htm b/cpld/XC95144/MXSE_html/fit/pins.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pins.htm rename to cpld/XC95144/MXSE_html/fit/pins.htm index 2c7fd7c..6df490a 100644 --- a/cpld/XC95144XL/MXSE_html/fit/pins.htm +++ b/cpld/XC95144/MXSE_html/fit/pins.htm @@ -49,67 +49,67 @@ - + - + - + - + - + - + - + - + - + - + - + - + - + @@ -134,7 +134,7 @@ - + @@ -154,7 +154,7 @@ - + @@ -174,7 +174,7 @@ - + @@ -184,7 +184,7 @@ - + @@ -194,7 +194,7 @@ - + @@ -204,12 +204,12 @@ - + - + @@ -219,7 +219,7 @@ - + @@ -239,7 +239,7 @@ - + @@ -254,12 +254,12 @@ - + - + @@ -269,27 +269,27 @@ - + - + - + - + - + @@ -299,22 +299,22 @@ - + - + - + - + @@ -324,32 +324,32 @@ - + - + - + - + - + - + @@ -359,27 +359,27 @@ - + - + - + - + - + @@ -389,37 +389,37 @@ - + - + - + - + - + - + - + @@ -434,17 +434,17 @@ - + - + - + @@ -459,42 +459,42 @@ - + - + - + - + - + - + - + - + diff --git a/cpld/XC95144XL/MXSE_html/fit/pins.js b/cpld/XC95144/MXSE_html/fit/pins.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pins.js rename to cpld/XC95144/MXSE_html/fit/pins.js diff --git a/cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm b/cpld/XC95144/MXSE_html/fit/pinsdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinsdoc.htm rename to cpld/XC95144/MXSE_html/fit/pinsdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/pinview.jpg b/cpld/XC95144/MXSE_html/fit/pinview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/pinview.jpg rename to cpld/XC95144/MXSE_html/fit/pinview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/plugin.js b/cpld/XC95144/MXSE_html/fit/plugin.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/plugin.js rename to cpld/XC95144/MXSE_html/fit/plugin.js diff --git a/cpld/XC95144XL/MXSE_html/fit/prev.jpg b/cpld/XC95144/MXSE_html/fit/prev.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/prev.jpg rename to cpld/XC95144/MXSE_html/fit/prev.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/print.jpg b/cpld/XC95144/MXSE_html/fit/print.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/print.jpg rename to cpld/XC95144/MXSE_html/fit/print.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/products.gif b/cpld/XC95144/MXSE_html/fit/products.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/products.gif rename to cpld/XC95144/MXSE_html/fit/products.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/purchase.gif b/cpld/XC95144/MXSE_html/fit/purchase.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/purchase.gif rename to cpld/XC95144/MXSE_html/fit/purchase.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/report.htm b/cpld/XC95144/MXSE_html/fit/report.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/report.htm rename to cpld/XC95144/MXSE_html/fit/report.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/result.htm b/cpld/XC95144/MXSE_html/fit/result.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/result.htm rename to cpld/XC95144/MXSE_html/fit/result.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/search.gif b/cpld/XC95144/MXSE_html/fit/search.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/search.gif rename to cpld/XC95144/MXSE_html/fit/search.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/spacer.gif b/cpld/XC95144/MXSE_html/fit/spacer.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/spacer.gif rename to cpld/XC95144/MXSE_html/fit/spacer.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/style.css b/cpld/XC95144/MXSE_html/fit/style.css similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/style.css rename to cpld/XC95144/MXSE_html/fit/style.css diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.htm b/cpld/XC95144/MXSE_html/fit/summary.htm similarity index 93% rename from cpld/XC95144XL/MXSE_html/fit/summary.htm rename to cpld/XC95144/MXSE_html/fit/summary.htm index be94ff0..97ed597 100644 --- a/cpld/XC95144XL/MXSE_html/fit/summary.htm +++ b/cpld/XC95144/MXSE_html/fit/summary.htm @@ -30,7 +30,7 @@ - +
    Reg Init State
    nDTACK_FSB2332nBERR_FSB39 FB1 MC2 STD11 I/O ORESET 
    $OpTx$FX_DC$606512iobs/IORW11619 FB1 MC4 STD  (b) (b) RESET
    nBERR_FSB39nDinOE26 FB1MC6MC5 STD FAST1413 I/O O  
    fsb/Ready1r717IOREQ1419 FB1 MC7 STDRESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2820nROMCS25 FB1MC10MC8 STD  (b)(b)FAST15I/OO  
    nOE1iobs/PS_FSM_FFd21419FB1MC9STD 16I/OIRESET
    nVMA_IOB 29 FB1 MC11 STD17 I/O O RESET
    fsb/Ready2r922BERR_IOBS48 FB1 MC12 STDRESET
    fsb/VPA2230fsb/BERR0r38FB1MC13STD  (b)(b)RESET
    RA<10>11FB1MC14STDFAST19I/OO 
    cs/nOverlay027 FB1 MC15 STDRESET
    $OpTx$FX_DC$60225IORW01820 FB1MC17MC16 STD  22I/O/GCK1GCK  (b)(b)RESET
    iobm/VPArriobm/RESrr 1 1 FB2RESET
    iobm/VPArfiobm/RESrf 1 1 FB2RESET
    iobm/RESrriobm/IOREQr 1 1 FB2RESET
    iobm/RESrfiobm/Er2 1 1 FB2RESET
    iobm/IOREQr1iobm/ETACK 16 FB2 MC5 STDRESET
    cnt/RefCnt<3>iobm/DTACKrr1 13 FB2 MC6 STDRESET
    cnt/RefCnt<2>iobm/DTACKrf1 12 FB2 MC7 STDRESET
    cnt/RefCnt<1>iobm/BERRrr 1 1 FB2RESET
    fsb/BERR1r24iobm/BERRrf11 FB2 MC9 STDRESET
    cnt/RefDone210iobm/ES<3>36 FB2 MC10 STD
    nLDS_IOB3746 FB2 MC11 STD
    nUDS_IOB3746 FB2 MC12 STDRESET
    cnt/TimeoutBPreiobm/ES<1> 3114 FB2 MC13 STDRESET
    nDoutOEnAS_IOB 274 FB2 MC14 STDRESET
    TimeoutBiobm/ES<0> 3127 FB2 MC15 STDRESET
    TimeoutA310iobm/ES<4>47 FB2 MC16 STDRESET
    nAS_IOB15nDoutOE24 FB2 MC17 STDRESET
    iobs/IOReady48iobm/ES<2>57 FB2 MC18 STDRESET
    IORW018ram/RASEL 20FB3MC3STD  (b)(b)RESET
    iobs/IOACTr1115 FB3 MC4 STD 
    iobs/Clear113FB3MC6STD 25I/OIRESET
    fsb/ASrf11FB3MC7STD  (b)(b)RESET
    ALE0S12ram/RAMDIS2715 FB3 MC8 STDRESET
    iobs/PS_FSM_FFd2iobs/Load1 141918 FB3MC9MC10 STD  28I/O (b) (b) RESET
    nADoutLE1RA<0> 2 3 FB329 I/O OSET
    iobs/PS_FSM_FFd123FB3MC12STD  30I/O(b)RESET
    IOREQ1419ram/RAMReady1615 FB3 MC13 STDRESET
    iobm/ETACKRA<11>1 16FB3MC14STD 32I/O(b)RESET
    nVMA_IOB29 FB3 MC15 STD33 I/O ORESET 
    iobs/IORW11619ram/RAMDIS11815 FB3 MC17 STDram/BACTr 1 2FB3MC18STD  (b)(b)RESET
    ram/RASEL2015 FB4 MC1 STDRESET
    RA<0>23FB4MC2STDFAST87I/OO 
    cs/nOverlay123FB4MC3STD  (b)(b)RESET
    iobs/Load11418FB4MC6STD 90I/OIRESET
    RA<2>23FB4MC8STDFAST91I/OO 
    iobs/Once1718FB4MC10STD  (b)(b)RESET
    RA<4>23FB4MC12STDFAST94I/OO 
    ram/RAMDIS11815FB4MC14STD 95I/OIRESET
    fsb/BERR0r38FB4MC16STD  (b)(b)RESET
    nDinOE26FB4MC17STDFAST97I/OO 
    IOACT1015FB5MC1STD  (b)(b)RESET
    RA<1> 2 3FB4MC2STDFAST87I/OO 
    cnt/RefCnt<7>17FB4MC3STD  (b)(b)RESET
    cnt/RefCnt<6>16FB4MC4STD  (b)(b)RESET
    cnt/RefCnt<5>15FB4MC5STD 89I/OIRESET
    nOE12FB4MC6STDFAST90I/OO 
    cnt/RefCnt<4>14FB4MC7STD  (b)(b)RESET
    cnt/RefCnt<3>13FB4MC8STD 91I/OIRESET
    nRAMLWE15FB4MC9STDFAST92I/OO 
    cnt/RefCnt<2>12FB4MC10STD  (b)(b)RESET
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    nRAMUWE15FB4MC12STDFAST94I/OO 
    cnt/RefDone210FB4MC13STD  (b)(b)RESET
    cnt/TimeoutBPre311FB4MC14STD 95I/OIRESET
    nROMWE12FB4MC15STDFAST96I/OO 
    TimeoutB312FB4MC16STD  (b)(b)RESET
    TimeoutA310FB4MC17STD 97I/OIRESET
    IOU035FB4MC18STD  (b)(b)RESET
    iobs/IOACTr11FB5MC1STD  (b)(b)RESET
    RA<2>23 FB5 MC2 STD 
    iobm/IOS_FSM_FFd7fsb/ASrf1 13 FB5 MC3 STDRESET
    iobm/IOS_FSM_FFd6cnt/RefCnt<1> 1 1 FB5RESET
    iobm/IOS_FSM_FFd511cnt/RefCnt<0>00 FB5 MC5 STDRESET
    iobm/IOS_FSM_FFd411RA<3>23 FB5 MC6 STD FAST 37 I/O(b)RESETO 
    iobm/BERRrr1$OpTx$$OpTx$FX_DC$355_INV$439 12 FB5 MC7 STD  (b) (b)RESET 
    RA<3>iobs/IOU12 23 FB5 MC8 STDFAST  39 I/OO 
    iobm/BERRrf11FB5MC9STD 40I/O (b) RESET
    cnt/RefCnt<0>00RA<4>23FB5MC9STDFAST40I/OO 
    iobm/IOS_FSM_FFd224 FB5 MC10 STDRESET
    iobm/IOS_FSM_FFd8iobm/IOS_FSM_FFd1 2 4 FB541 I/O (b)SETRESET
    nROMCSRA<9> 253 FB5 MC12 STD
    ALE0M 275 FB5 MC13 STDRESET
    iobm/IOS_FSM_FFd2iobm/IOS_FSM_FFd4 496 FB5 MC14 STDRESET
    BERR_IOBS48nCAS11 FB5 MC15 STD FAST 46 I/O(b)O RESET
    RESET
    IOACT613FB5MC17STD 49I/O(b)RESET
    IOBERR811914 FB5 MC18 STDRESET
    iobm/Er211FB6MC1STD  (b)(b)RESET
    nCAS11FB6MC2STDFAST74I/OORESET
    iobm/DTACKrr11FB6MC3STD  (b)(b)RESET
    iobm/DTACKrf11FB6MC4STD  (b)(b)RESET
    RefAck12FB6MC5STD 76I/OIRESET
    nRAMLWE1ram/Once 510 FB6MC6STDFAST77I/OO 
    iobs/IOU122FB6MC7STD  (b)(b)RESET
    iobs/IOL122FB6MC8STD 78I/OIRESET
    nRAMUWE15FB6MC9STDFAST79I/OO 
    iobm/ES<3>36FB6MC10STD  (b)(b)RESET
    iobm/ES<1>34FB6MC11STD 80I/OIRESET
    nROMWE12FB6MC12STDFAST81I/OO 
    iobm/ES<0>37FB6MC13STD  (b)(b)RESET
    IOU035FB6MC14STD 82I/OIRESET
    nVPA_FSB12FB6MC15STDFAST85I/OO 
    IOL035FB6MC16STD  (b)(b)RESET
    iobm/ES<4>47FB6MC17STD 86I/OIRESET
    iobm/ES<2>57FB6MC18STD  (b)(b)RESET
    cnt/RefCnt<5>15FB7 MC1 STD  RA<5> 2 3FB7FB6 MC2 STD FAST5074 I/O O  
    cnt/RefCnt<4>ALE0S 14FB7MC3STD  (b)(b)RESET
    cs/nOverlay0 27FB7MC4FB6MC3 STD    ram/RS_FSM_FFd3 11 14FB7FB6MC4STD  (b)(b)RESET
    iobs/PS_FSM_FFd123FB6 MC5 STD  5276 I/O I RESETRA<6> 2 3FB7FB6 MC6 STD FAST5377I/OO 
    iobs/IOL122FB6MC7STD  (b)(b)RESET
    cs/nOverlay123FB6MC8STD 78I/OIRESET
    RA<7>23FB6MC9STDFAST79 I/O O  fsb/Ready0r 3 8FB7MC7FB6MC10STD  (b)(b)RESET
    IOL035FB6MC11STD 80I/OIRESET
    RA<8>23FB6MC12STDFAST81I/OO 
    iobs/IOReady48FB6MC13 STD    ram/RS_FSM_FFd1 5 10FB7MC8FB6MC14 STD  5482 I/O I RESET
    RA<7>nADoutLE123FB6MC15STDFAST85I/OOSET
    iobs/Clear113FB6MC16STD  (b)(b)RESET
    ram/RS_FSM_FFd21314FB6MC17STD 86I/OIRESET
    RefAck12FB6MC18STD  (b)(b)RESET
    nDinLE 2 3 FB7MC9MC2 STD FAST5550I/OORESET
    nADoutLE012FB7MC8STDFAST54 I/O O  
    ram/Once510FB7MC10STD  (b)(b)RESET
    ram/RAMDIS2715FB7MC11STD 56I/OIRESET
    RA<8>nVPA_FSB1 23 FB7 MC12 STD 
    ram/RS_FSM_FFd21314FB7MC13STD  (b)(b)RESET
    cnt/RefCnt<7>iobm/VPArr1 17 FB7MC14MC15 STD  5960 I/O I RESET
    RA<9>23FB7MC15STDFAST60I/OO 
    cnt/RefCnt<6>iobm/VPArf1 16 FB7 MC16 STDRESET
    ram/RAMReady1615iobm/Er11 FB7 MC17 STDRESET
    RA<11>11FB8MC2STDFAST63I/OO 
    RA<10>11FB8MC6STDFAST65I/OO 
    nADoutLE01$OpTx$FX_DC$360 22FB7MC18STD  (b)(b) 
    nDTACK_FSB2233FB8MC5STDFAST64I/OORESET
    fsb/Ready2r922FB8MC8STD 66I/OIRESET
    fsb/Ready1r717 FB8 MC9 STDFAST  67 I/OO IRESET
    nAoutOE 0 0 FB8MC12MC11 STD FAST7068 I/O O  
    nDinLE12iobs/Once1718 FB8MC15STDFAST72I/OORESET
    iobm/IOS_FSM_FFd111FB8MC17MC13 STD  73I/OI (b)(b) RESET
    iobm/Er11fsb/VPA2531 FB8 MC18 STDReg Init State
    iobm/IOREQr1iobm/ETACK 16 FB2 MC5 STDRESET
    cnt/RefCnt<3>iobm/DTACKrr1 13 FB2 MC6 STDRESET
    cnt/RefCnt<1>iobm/BERRrr 1 1 FB2RESET
    fsb/BERR1r24iobm/BERRrf11 FB2 MC9 STD
    nLDS_IOB3746 FB2 MC11 STD
    nUDS_IOB3746 FB2 MC12 STDRESET
    nDoutOEnAS_IOB 274 FB2 MC14 STDRESET
    TimeoutBiobm/ES<0> 3127 FB2 MC15 STDRESET
    nAS_IOB15nDoutOE24 FB2 MC17 STDRESET
    nDTACK_FSB2332nBERR_FSB39 FB1 MC2 STD11 I/O ORESET 
    nBERR_FSB39nDinOE26 FB1MC6MC5 STD FAST1413 I/O O  
    nOE1nROMCS 25FB1MC8STDFAST15I/OO 
    iobs/PS_FSM_FFd21419FB1MC9STD 16I/OIRESET
    nVMA_IOB29 FB1 MC11 STD17 I/O O RESET
    fsb/Ready2r922BERR_IOBS48 FB1 MC12 STDRESET
    fsb/VPA2230RA<10>11FB1MC14STDFAST19I/OO 
    cs/nOverlay027 FB1 MC15 STDRESET
    $OpTx$FX_DC$60225FB1MC17STD 22I/O/GCK1GCK 
    nRAS 3 8 
    iobs/Clear113FB3MC6STD 25I/OIRESET
    ALE0S12ram/RAMDIS2715 FB3 MC8 STDRESET
    iobs/PS_FSM_FFd21419FB3MC9STD 28I/O(b)RESET
    nADoutLE1RA<0> 2 3 FB329 I/O OSET
    iobs/PS_FSM_FFd123FB3MC12STD  30I/O(b)RESET
    iobm/ETACKRA<11>1 16FB3MC14STD 32I/O(b)RESET
    nVMA_IOB29 FB3 MC15 STD33 I/O ORESET 
    iobs/IORW11619ram/RAMDIS11815 FB3 MC17 STDRESET
    RA<1>RA<2> 2 3 FB5 
    iobm/IOS_FSM_FFd511cnt/RefCnt<0>00 FB5 MC5 STDRESET
    iobm/IOS_FSM_FFd411FB5MC6STD 37I/O(b)RESET
    RA<3> 2 3 FB5MC8MC6 STD FAST3937 I/O O  
    iobm/BERRrf11iobs/IOU122 FB5MC9MC8 STD  4039 I/O (b) RESET
    iobm/IOS_FSM_FFd8RA<4>23FB5MC9STDFAST40I/OO 
    iobm/IOS_FSM_FFd1 2 4 FB541 I/O (b)SETRESET
    nROMCSRA<9> 253 FB5 MC12 STD 
    iobm/IOS_FSM_FFd2iobm/IOS_FSM_FFd4 496 FB5 MC14 STDRESET
    BERR_IOBS48nCAS11 FB5 MC15 STD FAST 46 I/OORESET
    IOACT613FB5MC17STD 49I/O (b) RESET
    RA<5>nDinLE 2 3 FB750 I/O O 
    ram/RS_FSM_FFd31114FB7MC5STD 52I/OI RESET
    RA<6>nADoutLE01 23FB7MC6STDFAST53I/OO 
    ram/RS_FSM_FFd1510 FB7 MC8 STD 54I/OIRESET
    RA<7>23FB7MC9STD FAST5554 I/O O  
    ram/RAMDIS2715FB7MC11STD 56I/OIRESET
    RA<8>nVPA_FSB1 23 FB7 MC12 STD 
    cnt/RefCnt<7>iobm/VPArr1 17 FB7MC14MC15 STD  5960 I/O I RESET
    RA<9>23FB7MC15STDFAST60I/OO 
    ram/RAMReady1615iobm/Er11 FB7 MC17 STDRESET
    RA<11>11nDTACK_FSB2233 FB8MC2MC5 STD FAST6364 I/O O RESET
    RA<10>11fsb/Ready2r922 FB8MC6MC8 STDFAST65I/OO  66I/OIRESET
    nADoutLE012fsb/Ready1r717 FB8 MC9 STDFAST  67 I/OO IRESET
    nAoutOE 0 0 FB8MC12MC11 STD FAST7068 I/O O  
    nDinLE1RA<5> 2FB8MC15STDFAST72I/OORESET
    iobm/IOS_FSM_FFd111FB8MC17STD 73I/OIRESET
    nCAS113 FB6 MC2 STD74 I/O ORESET 
    RefAck1iobs/PS_FSM_FFd1 23 FB6 MC5 STDRESET
    nRAMLWE15RA<6>23 FB6 MC6 STD 
    iobs/IOL12cs/nOverlay1 23 FB6 MC8 STDRESET
    nRAMUWE15RA<7>23 FB6 MC9 STD 
    iobm/ES<1>IOL0 345 FB6 MC11 STDRESET
    nROMWE1RA<8> 23 FB6 MC12 STD 
    IOU03ram/RS_FSM_FFd1 510 FB6 MC14 STDRESET
    nVPA_FSB1nADoutLE1 23 FB6 MC15 STD85 I/O O SET
    iobm/ES<4>47ram/RS_FSM_FFd21314 FB6 MC17 STDRESET
    RA<0>RA<1> 2 3 FB4 
    iobs/Load11418cnt/RefCnt<5>15 FB4MC6MC5 STD  9089 I/O I RESET
    RA<2>nOE1 23 FB4MC8MC6 STD FAST9190 I/O O  
    RA<4>2cnt/RefCnt<3>1 3 FB4MC8STD 91I/OIRESET
    nRAMLWE15FB4MC9STDFAST92I/OO 
    fsb/BERR1r24FB4MC11STD 93I/OIRESET
    nRAMUWE15FB4 MC12 STD FAST 
    ram/RAMDIS11815cnt/TimeoutBPre311 FB4 MC14 STDRESET
    nDinOEnROMWE1 26 FB4MC17MC15 STD FAST9796 I/O O  
    iobm/VPArfTimeoutA310FB4MC17STD 97I/OIRESET
    iobm/RESrf 1 1 FB2RESET
    $OpTx$FX_DC$606512iobs/IORW11619 FB1 MC4 STD  (b) (b)T      RESET
    fsb/Ready1r717IOREQ1419 FB1 MC7 STDRESET
    fsb/VPA__or00001/fsb/VPA__or00001_D2fsb/BERR0r3 820 FB1MC10MC13 STD     (b) (b)D      RESET
    iobm/VPArrIORW01820FB1MC16STD  (b)(b)T  RESET
    iobm/RESrr 1 1 FB2RESET
    iobm/RESrriobm/IOREQr 1 1 FB2RESET
    iobm/RESrfiobm/Er2 1 1 FB2RESET
    cnt/RefCnt<2>iobm/DTACKrf1 12 FB2 MC7 STD  (b) (b)T  RESET
    cnt/RefDone210FB2MC10STD  (b)(b) D     RESET
    cnt/TimeoutBPreiobm/ES<3> 3116 FB2MC13MC10 STD    RESET
    TimeoutAiobm/ES<1> 3104FB2MC13STD  (b)(b)D  RESET
    iobm/ES<4>47 FB2 MC16 STDRESET
    iobs/IOReady48iobm/ES<2>57 FB2 MC18 STD  (b) (b)TD     RESET
    IORW018ram/RASEL 20FB3MC3STD  (b)(b)T  RESET
    iobs/IOACTr1115 FB3 MC4 STDRESET
    fsb/ASrf11iobs/Load11418 FB3MC7MC10 STD    RESET
    IOREQ1419ram/RAMReady1615 FB3 MC13 STDram/BACTr 1 2FB3MC18STD  (b)(b)D  RESET
    ram/RASEL2015 FB4 MC1 STDRESET
    cs/nOverlay123cnt/RefCnt<7>17 FB4 MC3 STD  (b) (b)DT     RESET
    iobs/Once1718cnt/RefCnt<6>16 FB4MC10STD  (b)(b)D  RESET
    fsb/BERR0r38FB4MC16STD  (b)(b)D  RESET
    IOACT1015FB5MC1STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd713FB5MC3STD  (b)(b)D  RESET
    iobm/IOS_FSM_FFd611FB5 MC4 STD     (b) (b)DT     RESET
    iobm/BERRrrcnt/RefCnt<4> 11FB54FB4 MC7 STD     (b) (b)DT     RESET
    cnt/RefCnt<0>00FB5cnt/RefCnt<2>12FB4 MC10 STD  RESET
    cnt/RefDone210FB4MC13STD  (b)(b)D  RESET
    TimeoutB312FB4MC16STD  (b)(b)T  RESET
    IOU035FB4MC18STD  (b)(b)D  RESET
    iobs/IOACTr11FB5MC1STD  (b)(b)D  RESET
    fsb/ASrf11FB5MC3STD  (b)(b)D  RESET
    cnt/RefCnt<1>11FB5MC4STD  (b)(b)T  RESET
    $OpTx$$OpTx$FX_DC$355_INV$43912FB5MC7STD  (b)(b)    
    iobm/IOS_FSM_FFd224FB5MC10STD  (b)(b)D  RESET
    ALE0M 275 FB5 MC13 STD
    IOBERR811914 FB5 MC18 STDRESET
    iobm/Er211ram/Once510 FB6 MC1 STD  (b) (b)DT     RESET
    iobm/DTACKrr1ALE0S 12 FB6 MC3 STDRESET
    iobm/DTACKrf11ram/RS_FSM_FFd31114 FB6 MC4 STD  (b) (b)DT     RESET
    iobs/IOU1iobs/IOL1 2 2 FB6RESET
    iobm/ES<3>fsb/Ready0r 368 FB6 MC10 STD  (b) (b)TD     RESET
    iobm/ES<0>37iobs/IOReady48 FB6 MC13 STDRESET
    IOL0iobs/Clear11 35 FB6 MC16 STDRESET
    iobm/ES<2>57RefAck12 FB6 MC18 STDRESET
    cnt/RefCnt<5>iobm/VPArf 15FB7MC1STD  (b)(b)T  RESET
    cnt/RefCnt<4> 14 FB7MC3STD  (b)(b)T  RESET
    cs/nOverlay027FB7MC4STD  (b)(b)T  RESET
    fsb/Ready0r38FB7MC7MC16 STD    RESET
    ram/Once510$OpTx$FX_DC$36022 FB7MC10MC18 STD     (b) (b)T    RESET  
    ram/RS_FSM_FFd21314FB7iobs/Once1718FB8 MC13 STD     (b) (b)TD     RESET
    cnt/RefCnt<6>16FB7MC16STD  (b)(b)T  RESET
    iobm/Er11fsb/VPA2531 FB8 MC18 STD
    8 I/OnDoutOEnAS_IOB
    9 I/OA_FSB<22>nLDS_FSB
    10 I/OnAS_IOBnDoutOE
    11 I/OnDTACK_FSBnBERR_FSB
    12 I/OA_FSB<9>A_FSB<13>
    13 I/OnAS_FSBnDinOE
    14 I/OnBERR_FSBA_FSB<20>
    15 I/OA_FSB<5>nROMCS
    16 I/OA_FSB<2>A_FSB<5>
    17 I/OnOEnVMA_IOB
    18 I/OnBERR_IOBnUDS_FSB
    19 I/OA_FSB<6>RA<10>
    20 I/OA_FSB<7>nDTACK_IOB
    21
    25 I/OnLDS_FSBnVPA_IOB
    26
    29 I/OnADoutLE1RA<0>
    30
    33 I/OnVMA_IOBRA<11>
    34
    35 I/ORA<1>RA<2>
    36
    37 I/OKPRRA<3>
    38
    39 I/ORA<3>KPR
    40 I/OKPRRA<4>
    41
    42 I/OnROMCSRA<9>
    43
    46 I/OKPRnCAS
    47
    49 I/OE_IOBKPR
    50 I/ORA<5>nDinLE
    51
    52 I/OnUDS_FSBKPR
    53 I/ORA<6>E_IOB
    54 I/OnDTACK_IOBnADoutLE0
    55 I/ORA<7>A_FSB<2>
    56 I/OA_FSB<4>nBERR_IOB
    57
    58 I/ORA<8>nVPA_FSB
    59 I/OnVPA_IOBA_FSB<4>
    60 I/ORA<9>nWE_FSB
    61 I/OnWE_FSBA_FSB<19>
    62
    63 I/ORA<11>A_FSB<14>
    64 I/OA_FSB<8>nDTACK_FSB
    65 I/ORA<10>A_FSB<12>
    66 I/OA_FSB<23>A_FSB<11>
    67 I/OnADoutLE0A_FSB<3>
    68 I/OA_FSB<21>nAoutOE
    69
    70 I/OnAoutOEnAS_FSB
    71 I/OA_FSB<20>A_FSB<9>
    72 I/OnDinLEA_FSB<1>
    73 I/OA_FSB<19>A_FSB<8>
    74 I/OnCASRA<5>
    75
    76 I/OA_FSB<18>A_FSB<7>
    77 I/OnRAMLWERA<6>
    78 I/OA_FSB<3>A_FSB<23>
    79 I/OnRAMUWERA<7>
    80 I/OA_FSB<15>A_FSB<21>
    81 I/OnROMWERA<8>
    82 I/OA_FSB<13>A_FSB<17>
    83
    85 I/OnVPA_FSBnADoutLE1
    86 I/OA_FSB<11>A_FSB<15>
    87 I/ORA<0>RA<1>
    88
    90 I/OA_FSB<1>nOE
    91 I/ORA<2>A_FSB<16>
    92 I/OA_FSB<12>nRAMLWE
    93 I/OA_FSB<14>A_FSB<18>
    94 I/ORA<4>nRAMUWE
    95 I/OA_FSB<16>A_FSB<22>
    96 I/OA_FSB<17>nROMWE
    97 I/OnDinOEA_FSB<6>
    98
     Date  12-11-2021, 6:24AM  2- 7-2022, 0:04AM

    RESOURCES SUMMARY
    @@ -42,11 +42,11 @@ - - - + + + - +
    Function Block Inputs Used
    110/144  (77%)439/720  (61%)84/144  (59%)105/144  (73%)427/720  (60%)80/144  (56%) 67/81  (83%)244/432  (57%)234/432  (55%)

    PIN RESOURCES
    @@ -137,7 +137,7 @@
    - + @@ -145,7 +145,7 @@ - +
     Macrocells in high performance mode (MCHP) 110 105
     Macrocells in low power mode (MCLP)
     Total macrocells used (MC) 110 105
    diff --git a/cpld/XC95144XL/MXSE_html/fit/summary.js b/cpld/XC95144/MXSE_html/fit/summary.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/summary.js rename to cpld/XC95144/MXSE_html/fit/summary.js diff --git a/cpld/XC95144XL/MXSE_html/fit/summarydoc.htm b/cpld/XC95144/MXSE_html/fit/summarydoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/summarydoc.htm rename to cpld/XC95144/MXSE_html/fit/summarydoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/support.gif b/cpld/XC95144/MXSE_html/fit/support.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/support.gif rename to cpld/XC95144/MXSE_html/fit/support.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/time.htm b/cpld/XC95144/MXSE_html/fit/time.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/time.htm rename to cpld/XC95144/MXSE_html/fit/time.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/tooltips.js b/cpld/XC95144/MXSE_html/fit/tooltips.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/tooltips.js rename to cpld/XC95144/MXSE_html/fit/tooltips.js diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.htm b/cpld/XC95144/MXSE_html/fit/topnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/topnav.htm rename to cpld/XC95144/MXSE_html/fit/topnav.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/topnav.js b/cpld/XC95144/MXSE_html/fit/topnav.js similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/topnav.js rename to cpld/XC95144/MXSE_html/fit/topnav.js diff --git a/cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm b/cpld/XC95144/MXSE_html/fit/unmapinputdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/unmapinputdoc.htm rename to cpld/XC95144/MXSE_html/fit/unmapinputdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm b/cpld/XC95144/MXSE_html/fit/unmaplogicdoc.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/unmaplogicdoc.htm rename to cpld/XC95144/MXSE_html/fit/unmaplogicdoc.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/verboseview.jpg b/cpld/XC95144/MXSE_html/fit/verboseview.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/verboseview.jpg rename to cpld/XC95144/MXSE_html/fit/verboseview.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/view.gif b/cpld/XC95144/MXSE_html/fit/view.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/view.gif rename to cpld/XC95144/MXSE_html/fit/view.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/wait.htm b/cpld/XC95144/MXSE_html/fit/wait.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/wait.htm rename to cpld/XC95144/MXSE_html/fit/wait.htm diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif b/cpld/XC95144/MXSE_html/fit/xc9500_logo.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500_logo.gif rename to cpld/XC95144/MXSE_html/fit/xc9500_logo.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg b/cpld/XC95144/MXSE_html/fit/xc9500_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500_logo.jpg rename to cpld/XC95144/MXSE_html/fit/xc9500_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.gif b/cpld/XC95144/MXSE_html/fit/xc9500xl_logo.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.gif rename to cpld/XC95144/MXSE_html/fit/xc9500xl_logo.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg b/cpld/XC95144/MXSE_html/fit/xc9500xl_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500xl_logo.jpg rename to cpld/XC95144/MXSE_html/fit/xc9500xl_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg b/cpld/XC95144/MXSE_html/fit/xc9500xv_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xc9500xv_logo.jpg rename to cpld/XC95144/MXSE_html/fit/xc9500xv_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xcenter.gif b/cpld/XC95144/MXSE_html/fit/xcenter.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xcenter.gif rename to cpld/XC95144/MXSE_html/fit/xcenter.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xlogo.gif b/cpld/XC95144/MXSE_html/fit/xlogo.gif similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xlogo.gif rename to cpld/XC95144/MXSE_html/fit/xlogo.gif diff --git a/cpld/XC95144XL/MXSE_html/fit/xml5.jpg b/cpld/XC95144/MXSE_html/fit/xml5.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml5.jpg rename to cpld/XC95144/MXSE_html/fit/xml5.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml6.jpg b/cpld/XC95144/MXSE_html/fit/xml6.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml6.jpg rename to cpld/XC95144/MXSE_html/fit/xml6.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml7.jpg b/cpld/XC95144/MXSE_html/fit/xml7.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml7.jpg rename to cpld/XC95144/MXSE_html/fit/xml7.jpg diff --git a/cpld/XC95144XL/MXSE_html/fit/xml8.jpg b/cpld/XC95144/MXSE_html/fit/xml8.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/fit/xml8.jpg rename to cpld/XC95144/MXSE_html/fit/xml8.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg b/cpld/XC95144/MXSE_html/images/acr2_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/acr2_logo.jpg rename to cpld/XC95144/MXSE_html/images/acr2_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/blackBar.jpg b/cpld/XC95144/MXSE_html/images/blackBar.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/blackBar.jpg rename to cpld/XC95144/MXSE_html/images/blackBar.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/cpldBanner.jpg b/cpld/XC95144/MXSE_html/images/cpldBanner.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/cpldBanner.jpg rename to cpld/XC95144/MXSE_html/images/cpldBanner.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg b/cpld/XC95144/MXSE_html/images/cr2s_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/cr2s_logo.jpg rename to cpld/XC95144/MXSE_html/images/cr2s_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg b/cpld/XC95144/MXSE_html/images/fitterRpt.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/fitterRpt.jpg rename to cpld/XC95144/MXSE_html/images/fitterRpt.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/logo.jpg b/cpld/XC95144/MXSE_html/images/logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/logo.jpg rename to cpld/XC95144/MXSE_html/images/logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/spacer.jpg b/cpld/XC95144/MXSE_html/images/spacer.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/spacer.jpg rename to cpld/XC95144/MXSE_html/images/spacer.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/timingRpt.jpg b/cpld/XC95144/MXSE_html/images/timingRpt.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/timingRpt.jpg rename to cpld/XC95144/MXSE_html/images/timingRpt.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xa9500xl_logo.jpg b/cpld/XC95144/MXSE_html/images/xa9500xl_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xa9500xl_logo.jpg rename to cpld/XC95144/MXSE_html/images/xa9500xl_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg b/cpld/XC95144/MXSE_html/images/xbr_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xbr_logo.jpg rename to cpld/XC95144/MXSE_html/images/xbr_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg b/cpld/XC95144/MXSE_html/images/xc9500_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xc9500_logo.jpg rename to cpld/XC95144/MXSE_html/images/xc9500_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg b/cpld/XC95144/MXSE_html/images/xc9500xl_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xc9500xl_logo.jpg rename to cpld/XC95144/MXSE_html/images/xc9500xl_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg b/cpld/XC95144/MXSE_html/images/xc9500xv_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xc9500xv_logo.jpg rename to cpld/XC95144/MXSE_html/images/xc9500xv_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg b/cpld/XC95144/MXSE_html/images/xpla3_logo.jpg similarity index 100% rename from cpld/XC95144XL/MXSE_html/images/xpla3_logo.jpg rename to cpld/XC95144/MXSE_html/images/xpla3_logo.jpg diff --git a/cpld/XC95144XL/MXSE_html/tim/cpldta_glossary.htm b/cpld/XC95144/MXSE_html/tim/cpldta_glossary.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/cpldta_glossary.htm rename to cpld/XC95144/MXSE_html/tim/cpldta_glossary.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/cpldta_style.css b/cpld/XC95144/MXSE_html/tim/cpldta_style.css similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/cpldta_style.css rename to cpld/XC95144/MXSE_html/tim/cpldta_style.css diff --git a/cpld/XC95144XL/MXSE_html/tim/genreport.htm b/cpld/XC95144/MXSE_html/tim/genreport.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/genreport.htm rename to cpld/XC95144/MXSE_html/tim/genreport.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/leftnav.htm b/cpld/XC95144/MXSE_html/tim/leftnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/leftnav.htm rename to cpld/XC95144/MXSE_html/tim/leftnav.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/report.htm b/cpld/XC95144/MXSE_html/tim/report.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/report.htm rename to cpld/XC95144/MXSE_html/tim/report.htm diff --git a/cpld/XC95144XL/MXSE_html/tim/timing_report.htm b/cpld/XC95144/MXSE_html/tim/timing_report.htm similarity index 79% rename from cpld/XC95144XL/MXSE_html/tim/timing_report.htm rename to cpld/XC95144/MXSE_html/tim/timing_report.htm index d147136..e61dade 100644 --- a/cpld/XC95144XL/MXSE_html/tim/timing_report.htm +++ b/cpld/XC95144/MXSE_html/tim/timing_report.htm @@ -27,7 +27,7 @@ - @@ -38,24 +38,35 @@
    XC95144XL, -10 (3.0)
    Date Created Sat Dec 11 06:24:30 2021 + Date Created Mon Feb 07 00:05:04 2022

    Summary

    + + + + + + + + + + +
    Notes and Warnings
    Note: This design contains no timing constraints.
    Note: A default set of constraints using a delay of 0.000ns will be used for analysis.

    - + - + - + @@ -63,7 +74,7 @@ - + @@ -81,33 +92,61 @@ - - + + - - - - + + + + - - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Performance Summary
    Min. Clock Period20.100 ns.19.100 ns.
    Max. Clock Frequency (fSYSTEM)49.751 MHz. 52.356 MHz.
    Limited by Cycle Time for CLK_FSB
    Clock to Setup (tCYC)20.100 ns. 19.100 ns.
    Pad to Pad Delay (tPD)
    Setup to Clock at the Pad (tSU)16.600 ns. 15.600 ns.
    Clock Pad to Output Pad Delay (tCO)Paths Failing
    TS_CLK_IOB142.8TS10000.0 0.0 0 0
    TS_CLK_FSB40.020.1273TS10010.00.00 0
    TS_CLK2X_IOB66.611.0120TS10020.00.0 00
    AUTO_TS_F2F0.019.1398398
    AUTO_TS_P2P0.014.57979
    AUTO_TS_P2F0.017.4216216
    AUTO_TS_F2P0.012.73535


    - -

    Constraint: TS_CLK_IOB

    +
    +

    Constraint: TS1000

    - + @@ -117,10 +156,36 @@
    Description: PERIOD:CLK_IOB:142.857nS:HIGH:71.428nSDescription: PERIOD:PERIOD_CLK_IOB:0.000 nS
    Path Requirement (ns)


    -
    -

    Constraint: TS_CLK_FSB

    +
    +

    Constraint: TS1001

    - + + + + + + + +
    Description: PERIOD:CLK_FSB:40.000nS:HIGH:20.000nSDescription: PERIOD:PERIOD_CLK_FSB:0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    +
    +
    +
    +

    Constraint: TS1002

    + + + + + + + + +
    Description: PERIOD:PERIOD_CLK2X_IOB:0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    +
    +
    +
    +

    Constraint: AUTO_TS_F2F

    + + @@ -128,19 +193,19 @@ - - - - - + + + + + + + + + + + + + - - - - - + + + + + +
    Description: MAXDELAY:FROM:FFS(*):TO:FFS(*):0.000 nS
    Path Requirement (ns)Slack (ns)
    TimeoutA.Q to fsb/VPA.D40.00020.10019.900cs/nOverlay1.Q to nDTACK_FSB.D0.00019.100-19.100
    fsb/ASrf.Q to fsb/VPA.D0.00019.100-19.100
    cs/nOverlay1.Q to fsb/VPA.D40.00020.10019.900BERR_IOBS.Q to fsb/VPA.D0.00011.400-11.400
    +
    +
    + +

    Constraint: AUTO_TS_P2P

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: MAXDELAY:FROM:PADS(*):TO:PADS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    CLK_FSB to nBERR_FSB0.00014.500-14.500
    CLK_FSB to nRAS0.00014.500-14.500
    CLK_FSB to nROMCS0.00014.500-14.500
    +
    +
    + +

    Constraint: AUTO_TS_P2F

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + +
    Description: MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    A_FSB<22> to nDTACK_FSB.D0.00017.400-17.400
    nAS_FSB to fsb/VPA.D0.00017.400-17.400
    A_FSB<10> to fsb/VPA.D0.0009.700-9.700
    +
    +
    + +

    Constraint: AUTO_TS_F2P

    + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - - - - - - - - - - - - - - - - - - - - - - - - -
    Description: MAXDELAY:FROM:FFS(*):TO:PADS(*):0.000 nS
    PathRequirement (ns)Delay (ns)Slack (ns)
    BERR_IOBS.Q to nBERR_FSB0.00012.700-12.700
    RefAck.Q to nRAS0.00012.700-12.700
    cs/nOverlay1.Q to nRAS0.00012.700-12.700
    fsb/Ready2r.Q to fsb/VPA.D40.00020.10019.900
    iobm/BERRrf.Q to IOACT.D33.30011.00022.300
    iobm/BERRrf.Q to IOBERR.D33.30011.00022.300
    -
    -
    -
    Number of constraints not met: 0
    +
    Number of constraints not met: 4

    Data Sheet Report

    @@ -378,7 +737,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() {
    CLK_FSB49.75152.356 Limited by Cycle Time for CLK_FSB
    A_FSB<10>16.6007.900 0.000
    A_FSB<11>16.6007.900 0.000
    A_FSB<12>16.6007.900 0.000
    A_FSB<13>16.6007.900 0.000
    A_FSB<14>16.6007.900 0.000
    A_FSB<15>16.6007.900 0.000
    A_FSB<16>16.6007.900 0.000
    A_FSB<17>16.6007.900 0.000
    A_FSB<18>16.6007.900 0.000
    A_FSB<19>16.6007.900 0.000
    A_FSB<20>16.6007.900 0.000
    A_FSB<21>16.6007.900 0.000
    A_FSB<22>16.60015.600 0.000
    A_FSB<23>16.6007.900 0.000
    A_FSB<8>16.6007.900 0.000
    A_FSB<9>16.6007.900 0.000
    nAS_FSB7.90015.600 0.000
    nWE_FSB16.6007.900 0.000

    @@ -554,13 +913,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() {
    Clock (edge) to Pad
    RA<0>nBERR_FSB 14.500
    RA<8>nRAS 14.500
    nROMCS14.500
    RA<0>13.500
    RA<1> 13.500RA<7> 13.500
    RA<8>13.500
    RA<9> 13.500nADoutLE0 13.500
    nBERR_FSB13.500
    nRAMLWE 13.500nRAMUWE 13.500
    nRAS13.500
    nROMCS13.500
    nVPA_FSB 13.500Destination Delay
    TimeoutA.Qfsb/VPA.D20.100
    cs/nOverlay1.Qfsb/VPA.D20.100
    fsb/Ready2r.Qfsb/VPA.D20.100
    nADoutLE1.Qfsb/VPA.D20.100
    TimeoutB.Qfsb/VPA.DnDTACK_FSB.D 19.100
    TimeoutB.QnDTACK_FSB.Dfsb/ASrf.Qfsb/VPA.D 19.100
    TimeoutA.Qfsb/VPA.D11.400
    TimeoutA.QnDTACK_FSB.D11.400
    TimeoutB.Qfsb/VPA.D11.400
    TimeoutB.Q nDTACK_FSB.D 11.400
    IORW0.D 11.400
    cs/nOverlay1.Qfsb/Ready1r.D11.400
    cs/nOverlay1.Qfsb/VPA.D11.400
    cs/nOverlay1.Q iobs/IORW1.D
    cs/nOverlay1.QnDTACK_FSB.Diobs/PS_FSM_FFd2.D 11.400
    IORW0.D 11.400
    fsb/ASrf.Qfsb/VPA.D11.400
    fsb/ASrf.Q ram/RASEL.D11.400
    fsb/Ready0r.Qfsb/VPA.Dfsb/Ready1r.Qfsb/Ready1r.D 11.400
    nDTACK_FSB.D 11.400
    fsb/Ready2r.Qfsb/VPA.D11.400
    fsb/Ready2r.Q nDTACK_FSB.Dfsb/VPA.D 11.400
    iobs/IOReady.Qfsb/Ready1r.D11.400
    iobs/IOReady.Q fsb/VPA.DIORW0.D 11.400
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.400
    iobs/PS_FSM_FFd2.Q IORW0.D 11.400
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.400
    nADoutLE1.Q IORW0.D 11.400
    nADoutLE1.Qfsb/Ready1r.D11.400
    nADoutLE1.Qiobs/PS_FSM_FFd2.D11.400
    nADoutLE1.QnDTACK_FSB.D11.400
    nDTACK_FSB.Q nDTACK_FSB.Dram/RASEL.D 11.400
    ram/RAMReady.Qfsb/VPA.D11.400
    ram/RS_FSM_FFd1.Q ram/RAMDIS1.Dram/RASEL.D 11.400
    BERR_IOBS.QBERR_IOBS.D11.000
    TimeoutA.Q fsb/Ready2r.D 11.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D11.000
    cnt/RefCnt<5>.Q ram/RAMDIS2.Dram/RS_FSM_FFd3.D 11.000
    cs/nOverlay0.Qcs/nOverlay0.D11.000
    cs/nOverlay1.Q IOREQ.D 11.000
    cs/nOverlay1.Qfsb/Ready1r.D11.000
    cs/nOverlay1.Q fsb/Ready2r.Diobs/Load1.D 11.000
    cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.000
    cs/nOverlay1.Qram/Once.D11.000
    cs/nOverlay1.Q ram/RAMDIS2.Dram/RS_FSM_FFd3.D 11.000
    fsb/ASrf.QBERR_IOBS.D11.000
    fsb/ASrf.Qcs/nOverlay0.D11.000
    fsb/ASrf.Q fsb/Ready2r.D
    fsb/ASrf.Qram/Once.Diobs/PS_FSM_FFd2.D 11.000
    fsb/Ready0r.QnDTACK_FSB.Dfsb/VPA.D 11.000
    fsb/Ready1r.Qfsb/Ready1r.Dfsb/Ready0r.QnDTACK_FSB.D 11.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.DBERR_IOBS.D 11.000
    11.000
    iobs/IOReady.Qfsb/Ready1r.Diobs/Once.QBERR_IOBS.D 11.000
    11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D11.000
    iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.Diobs/PS_FSM_FFd2.QBERR_IOBS.D 11.000
    11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D11.000
    iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.DnADoutLE1.QBERR_IOBS.D 11.000
    nADoutLE1.Qfsb/Ready1r.Dfsb/VPA.D 11.000
    iobs/Once.D 11.000
    nADoutLE1.Qiobs/PS_FSM_FFd2.D11.000
    nADoutLE1.QnDTACK_FSB.D11.000
    ram/BACTr.Q ram/RAMDIS1.Dram/RASEL.D 11.000
    ram/Once.Qram/Once.D11.000
    ram/Once.Q ram/RAMDIS1.D
    ram/RAMReady.QnDTACK_FSB.Dfsb/VPA.D 11.000
    ram/RS_FSM_FFd1.Qram/Once.Dram/RAMReady.QnDTACK_FSB.D 11.000
    ram/RS_FSM_FFd3.D 11.000
    ram/RS_FSM_FFd2.Qram/Once.D11.000
    ram/RS_FSM_FFd2.Q ram/RAMDIS1.Dram/RS_FSM_FFd3.D 11.000
    ram/RS_FSM_FFd3.Qram/Once.D11.000
    ram/RS_FSM_FFd3.Q ram/RAMDIS1.Dram/RS_FSM_FFd3.D 11.000
    BERR_IOBS.QBERR_IOBS.D10.000
    BERR_IOBS.Q fsb/BERR1r.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<0>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<0>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<1>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<1>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<2>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<2>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<3>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<3>.Q cnt/RefCnt<7>.Dcnt/RefCnt<5>.D 10.000
    cnt/RefCnt<4>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<4>.Q cnt/RefCnt<7>.DTimeoutB.D 10.000
    cnt/RefCnt<5>.Qcnt/RefCnt<6>.D10.000
    cnt/RefCnt<5>.Q cnt/RefCnt<7>.Dcnt/TimeoutBPre.D 10.000
    cs/nOverlay0.Qcs/nOverlay0.D10.000
    cs/nOverlay0.Q cs/nOverlay1.D
    cs/nOverlay1.Qram/RS_FSM_FFd1.Dram/Once.D 10.000
    fsb/ASrf.QBERR_IOBS.Dcs/nOverlay1.Qram/RS_FSM_FFd1.D 10.000
    cnt/TimeoutBPre.D 10.000
    fsb/ASrf.Qcs/nOverlay0.D10.000
    fsb/ASrf.Q cs/nOverlay1.CEiobs/Once.D 10.000
    fsb/ASrf.Qiobs/PS_FSM_FFd2.D10.000
    fsb/ASrf.Q nDTACK_FSB.Dram/BACTr.D 10.000
    fsb/ASrf.Qram/Once.D10.000
    fsb/ASrf.Q ram/RS_FSM_FFd1.DnADoutLE1.D 10.000
    iobs/IOACTr.QBERR_IOBS.D10.000
    iobs/IOACTr.Q IOREQ.Diobs/IOReady.D 10.000
    iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
    iobs/IOACTr.Q iobs/PS_FSM_FFd2.DnADoutLE1.D 10.000
    iobs/Once.QBERR_IOBS.D10.000
    iobs/Once.Q IOREQ.D10.000
    iobs/PS_FSM_FFd2.QALE0S.Diobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D 10.000
    iobs/PS_FSM_FFd2.QBERR_IOBS.DALE0S.D 10.000
    10.000
    nADoutLE1.QBERR_IOBS.Diobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D 10.000
    ram/RS_FSM_FFd2.D 10.000
    ram/Once.Qram/Once.D10.000
    ram/Once.Q ram/RS_FSM_FFd1.DRefAck.D 10.000
    ram/RS_FSM_FFd1.Qram/Once.D10.000
    ram/RS_FSM_FFd1.Q ram/RS_FSM_FFd1.DRefAck.D 10.000
    ram/RS_FSM_FFd2.Qram/Once.D10.000
    ram/RS_FSM_FFd2.Q ram/RS_FSM_FFd1.D 10.000
    ram/RS_FSM_FFd3.Qram/Once.D10.000
    ram/RS_FSM_FFd3.Q ram/RS_FSM_FFd1.DDestination Delay
    IOACT.QnVMA_IOB.D11.000
    IOBERR.Q IOBERR.D 11.000
    iobm/BERRrf.QIOACT.D11.000
    iobm/BERRrf.Q IOBERR.D 11.000
    iobm/BERRrr.QIOACT.D11.000
    iobm/BERRrr.Q IOBERR.D 11.000
    iobm/DTACKrf.QIOACT.D11.000
    iobm/DTACKrf.Q IOBERR.D 11.000
    iobm/DTACKrr.QIOACT.D11.000
    iobm/DTACKrr.Q IOBERR.D 11.000
    iobm/IOREQr.Qiobm/ES<0>.QnVMA_IOB.D11.000
    iobm/ES<1>.QnVMA_IOB.D11.000
    iobm/ES<2>.QnVMA_IOB.D11.000
    iobm/ES<3>.QnVMA_IOB.D11.000
    iobm/ES<4>.QnVMA_IOB.D11.000
    iobm/ETACK.QIOBERR.D11.000
    iobm/IOS_FSM_FFd1.Q IOACT.D 11.000
    iobm/IOS_FSM_FFd1.QIOBERR.D11.000
    iobm/IOS_FSM_FFd2.QIOACT.D11.000
    iobm/IOS_FSM_FFd2.QIOBERR.D11.000
    iobm/IOS_FSM_FFd3.Q IOBERR.D11.000
    iobm/IOS_FSM_FFd5.QIOACT.Diobm/IOS_FSM_FFd4.QIOBERR.D 11.000
    iobm/IOS_FSM_FFd6.QIOACT.D11.000
    iobm/IOS_FSM_FFd7.QIOACT.D11.000
    iobm/IOS_FSM_FFd8.QIOACT.Diobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D 11.000
    IOBERR.D 11.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.D11.000
    iobm/RESrr.Q IOACT.D11.000
    IOACT.Qiobm/RESrr.Qiobm/IOS_FSM_FFd3.D11.000
    iobm/VPArf.Q nVMA_IOB.D10.00011.000
    iobm/VPArr.QnVMA_IOB.D11.000
    nVMA_IOB.QnVMA_IOB.D11.000
    iobm/BERRrf.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/BERRrr.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.DIOACT.D 10.000
    iobm/ETACK.D 10.000
    iobm/ES<0>.QnVMA_IOB.D10.000
    iobm/ES<1>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<1>.QnVMA_IOB.D10.000
    iobm/ES<2>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<2>.QnVMA_IOB.D10.000
    iobm/ES<3>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<3>.QnVMA_IOB.D10.000
    iobm/ES<4>.Q iobm/ES<0>.Diobm/ETACK.D 10.000
    iobm/ES<4>.QnVMA_IOB.D10.000
    iobm/ETACK.Q IOACT.D 10.000
    iobm/ETACK.QIOBERR.D10.000
    iobm/ETACK.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/ETACK.Q iobm/IOS_FSM_FFd3.D
    iobm/IOREQr.Qiobm/IOS_FSM_FFd7.DIOACT.D 10.000
    iobm/IOREQr.Qiobm/IOS_FSM_FFd8.Diobm/IOS_FSM_FFd4.D 10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd8.DALE0M.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd1.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnDinLE.D10.000
    iobm/IOS_FSM_FFd1.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd1.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QALE0M.D 10.000
    iobm/IOS_FSM_FFd1.D 10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd2.D10.000
    iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd4.D10.000
    iobm/IOS_FSM_FFd2.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd2.Q nDoutOE.D 10.000
    iobm/IOS_FSM_FFd2.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd2.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.Q ALE0M.DIOACT.D 10.000
    iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd1.D10.000
    iobm/IOS_FSM_FFd3.Q iobm/IOS_FSM_FFd2.D
    iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QALE0M.D10.000
    iobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd3.D10.000
    iobm/IOS_FSM_FFd4.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnDinLE.D10.000
    iobm/IOS_FSM_FFd4.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd5.QALE0M.D10.000
    iobm/IOS_FSM_FFd5.Q iobm/IOS_FSM_FFd4.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.Q nAS_IOB.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.QnDinLE.D10.000
    iobm/IOS_FSM_FFd3.Q nDoutOE.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.Q nLDS_IOB.D 10.000
    iobm/IOS_FSM_FFd5.Qiobm/IOS_FSM_FFd3.Q nUDS_IOB.D 10.000
    iobm/IOS_FSM_FFd6.Qiobm/IOS_FSM_FFd4.Q ALE0M.D 10.000
    iobm/IOS_FSM_FFd6.Qiobm/IOS_FSM_FFd5.Diobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd1.D 10.000
    iobm/IOS_FSM_FFd6.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd6.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd6.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QALE0M.D10.000
    iobm/IOS_FSM_FFd7.Qiobm/IOS_FSM_FFd6.D10.000
    iobm/IOS_FSM_FFd7.QnAS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnDoutOE.D10.000
    iobm/IOS_FSM_FFd7.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd7.QnUDS_IOB.D10.000
    iobm/IOS_FSM_FFd8.QALE0M.D10.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd7.D10.000
    iobm/IOS_FSM_FFd8.Qiobm/IOS_FSM_FFd8.D10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd4.Q iobm/IOS_FSM_FFd2.D 10.000
    iobm/RESrf.Qiobm/IOS_FSM_FFd3.Diobm/IOS_FSM_FFd4.Qiobm/IOS_FSM_FFd4.D 10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd2.Diobm/IOS_FSM_FFd4.QnAS_IOB.D 10.000
    iobm/RESrr.Qiobm/IOS_FSM_FFd3.Diobm/IOS_FSM_FFd4.QnDinLE.D 10.000
    iobm/VPArf.QnVMA_IOB.Diobm/IOS_FSM_FFd4.QnDoutOE.D 10.000
    iobm/VPArr.QnVMA_IOB.Diobm/IOS_FSM_FFd4.QnLDS_IOB.D10.000
    iobm/IOS_FSM_FFd4.QnUDS_IOB.D 10.000
    iobm/ETACK.D 10.000
    nVMA_IOB.QnVMA_IOB.D10.000


    @@ -2663,49 +2972,64 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { Delay - A_FSB<10> - RA<0> - 11.000 - - - A_FSB<1> - RA<0> + A_FSB<20> + nBERR_FSB 11.000 A_FSB<20> - nDinOE + nROMCS 11.000 A_FSB<21> - nDinOE + nBERR_FSB + 11.000 + + + A_FSB<21> + nRAS + 11.000 + + + A_FSB<21> + nROMCS 11.000 A_FSB<22> - nDinOE + nBERR_FSB + 11.000 + + + A_FSB<22> + nRAS 11.000 A_FSB<23> - nDinOE + nBERR_FSB 11.000 - A_FSB<9> - RA<8> + A_FSB<23> + nRAS + 11.000 + + + A_FSB<23> + nROMCS 11.000 nAS_FSB - nDinOE + nRAS 11.000 - nWE_FSB - nDinOE - 11.000 + A_FSB<10> + RA<0> + 10.000 A_FSB<11> @@ -2757,6 +3081,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { RA<9> 10.000 + + A_FSB<1> + RA<0> + 10.000 + A_FSB<20> RA<9> @@ -2764,12 +3093,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { A_FSB<20> - nBERR_FSB - 10.000 - - - A_FSB<20> - nROMCS + nDinOE 10.000 @@ -2779,27 +3103,12 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { A_FSB<21> - nBERR_FSB - 10.000 - - - A_FSB<21> - nRAS - 10.000 - - - A_FSB<21> - nROMCS + nDinOE 10.000 A_FSB<22> - nBERR_FSB - 10.000 - - - A_FSB<22> - nRAS + nDinOE 10.000 @@ -2809,17 +3118,7 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { A_FSB<23> - nBERR_FSB - 10.000 - - - A_FSB<23> - nRAS - 10.000 - - - A_FSB<23> - nROMCS + nDinOE 10.000 @@ -2857,11 +3156,21 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { RA<7> 10.000 + + A_FSB<9> + RA<8> + 10.000 + nAS_FSB nBERR_FSB 10.000 + + nAS_FSB + nDinOE + 10.000 + nAS_FSB nOE @@ -2877,11 +3186,6 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { nRAMUWE 10.000 - - nAS_FSB - nRAS - 10.000 - nAS_FSB nROMWE @@ -2902,6 +3206,11 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() { nRAMUWE 10.000 + + nWE_FSB + nDinOE + 10.000 + nWE_FSB nOE @@ -2926,10 +3235,10 @@ function TS_CLK2X_IOB_iobm_BERRrf_Q_to_IOBERR_D() {


    Number of paths analyzed: -393 +728
    Number of Timing errors: -0 -
    Analysis Completed: Sat Dec 11 06:24:30 2021 +728 +
    Analysis Completed: Mon Feb 07 00:05:04 2022
    diff --git a/cpld/XC95144XL/MXSE_html/tim/toc.css b/cpld/XC95144/MXSE_html/tim/toc.css similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/toc.css rename to cpld/XC95144/MXSE_html/tim/toc.css diff --git a/cpld/XC95144XL/MXSE_html/tim/topnav.htm b/cpld/XC95144/MXSE_html/tim/topnav.htm similarity index 100% rename from cpld/XC95144XL/MXSE_html/tim/topnav.htm rename to cpld/XC95144/MXSE_html/tim/topnav.htm diff --git a/cpld/XC95144XL/MXSE_ngdbuild.xrpt b/cpld/XC95144/MXSE_ngdbuild.xrpt similarity index 90% rename from cpld/XC95144XL/MXSE_ngdbuild.xrpt rename to cpld/XC95144/MXSE_ngdbuild.xrpt index 43afc64..de1456b 100644 --- a/cpld/XC95144XL/MXSE_ngdbuild.xrpt +++ b/cpld/XC95144/MXSE_ngdbuild.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    - + @@ -54,7 +54,7 @@ - + @@ -66,35 +66,32 @@
    - - - - - - + + + + + - + - - - + + +
    - - - - - + + + + - + - - - - + + +
    diff --git a/cpld/XC95144XL/MXSE_summary.html b/cpld/XC95144/MXSE_summary.html similarity index 60% rename from cpld/XC95144XL/MXSE_summary.html rename to cpld/XC95144/MXSE_summary.html index 14e9283..c103e9f 100644 --- a/cpld/XC95144XL/MXSE_summary.html +++ b/cpld/XC95144/MXSE_summary.html @@ -2,10 +2,10 @@
    - + - + @@ -13,7 +13,7 @@ - + @@ -43,7 +43,7 @@ No Errors @@ -53,22 +53,6 @@ System Settings - 
    MXSE Project Status (10/23/2021 - 06:51:21)
    MXSE Project Status (02/07/2022 - 00:19:10)
    Project File:MXSE.xiseWarpSE.xise Parser Errors: No Errors
    Module Name: MXSE Implementation State:FittedTranslated
    Target Device:
    Environment: - + System Settings
    • Final Timing Score:
    - - -
    Current Errors [-]
    No Errors Found
    - - - - 
    - - -
    Current Warnings [-]
    No Warnings Found
    - - - - - @@ -81,18 +65,17 @@ System Settings Detailed Reports [-] Report NameStatusGenerated ErrorsWarningsInfos -Synthesis ReportCurrentSat Dec 11 06:24:06 2021000 -Translation ReportCurrentSat Dec 11 06:24:10 2021000 -CPLD Fitter Report (Text)CurrentSat Dec 11 06:24:23 202101 Warning (1 new)0 +Synthesis ReportCurrentMon Feb 7 00:19:05 2022000 +Translation ReportCurrentMon Feb 7 00:19:08 2022000 +CPLD Fitter Report (Text)CurrentMon Feb 7 00:19:20 202201 Warning (1 new)3 Infos (3 new) Power Report       
    -
    Secondary Reports [-]
    Report NameStatusGenerated
    ISIM Simulator LogOut of DateSat Dec 11 07:14:04 2021
    Post-Fit Simulation Model Report  
    -
    Date Generated: 12/11/2021 - 07:16:19
    +
    Date Generated: 02/07/2022 - 00:19:45
    \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE_xst.xrpt b/cpld/XC95144/MXSE_xst.xrpt similarity index 90% rename from cpld/XC95144XL/MXSE_xst.xrpt rename to cpld/XC95144/MXSE_xst.xrpt index 4ce5df1..f2a2ce6 100644 --- a/cpld/XC95144XL/MXSE_xst.xrpt +++ b/cpld/XC95144/MXSE_xst.xrpt @@ -5,14 +5,14 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
    - + @@ -104,19 +104,19 @@
    - - - - + + + + - - + + - - - + + + diff --git a/cpld/XC95144/WarpSE.gise b/cpld/XC95144/WarpSE.gise new file mode 100644 index 0000000..e9fdc77 --- /dev/null +++ b/cpld/XC95144/WarpSE.gise @@ -0,0 +1,125 @@ + + + + + + + + + + + + + + + + + + + + 11.1 + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + diff --git a/cpld/XC95144XL/MXSE.xise b/cpld/XC95144/WarpSE.xise similarity index 55% rename from cpld/XC95144XL/MXSE.xise rename to cpld/XC95144/WarpSE.xise index 1bc0387..bb538be 100644 --- a/cpld/XC95144XL/MXSE.xise +++ b/cpld/XC95144/WarpSE.xise @@ -15,104 +15,166 @@ - - - - - - - - - - - - - - - - - - - - - - - - - - - - + - + - + - - - + + + - - - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -124,8 +186,15 @@ + + + + + + + @@ -137,14 +206,25 @@ - + + + + + + - + + + + + + + @@ -152,11 +232,16 @@ + + + + + @@ -164,37 +249,75 @@ + + + + + + + + + + + + + - + + + + + + + + + + + + + + + + + + + + + + + - - + + + + + @@ -203,18 +326,34 @@ + - + + + + + + + + + + + + + + + + @@ -224,28 +363,41 @@ + + + + + + + + + + + + + - - + + @@ -254,8 +406,8 @@ - - + + diff --git a/cpld/XC95144/_ngo/netlist.lst b/cpld/XC95144/_ngo/netlist.lst new file mode 100644 index 0000000..d6e9f83 --- /dev/null +++ b/cpld/XC95144/_ngo/netlist.lst @@ -0,0 +1,2 @@ +C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144\MXSE.ngc 1644211145 +OK diff --git a/cpld/XC95144/_pace.ucf b/cpld/XC95144/_pace.ucf new file mode 100644 index 0000000..75cb5dd --- /dev/null +++ b/cpld/XC95144/_pace.ucf @@ -0,0 +1,76 @@ +#PACE: Start of Constraints generated by PACE + +#PACE: Start of PACE I/O Pin Assignments +NET "A_FSB[10]" LOC = "P8" ; +NET "A_FSB[11]" LOC = "P9" ; +NET "A_FSB[12]" LOC = "P10" ; +NET "A_FSB[13]" LOC = "P11" ; +NET "A_FSB[14]" LOC = "P12" ; +NET "A_FSB[15]" LOC = "P13" ; +NET "A_FSB[16]" LOC = "P14" ; +NET "A_FSB[17]" LOC = "P15" ; +NET "A_FSB[18]" LOC = "P16" ; +NET "A_FSB[19]" LOC = "P17" ; +NET "A_FSB[1]" LOC = "P94" ; +NET "A_FSB[20]" LOC = "P18" ; +NET "A_FSB[21]" LOC = "P19" ; +NET "A_FSB[22]" LOC = "P20" ; +NET "A_FSB[23]" LOC = "P24" ; +NET "A_FSB[2]" LOC = "P95" ; +NET "A_FSB[3]" LOC = "P96" ; +NET "A_FSB[4]" LOC = "P97" ; +NET "A_FSB[5]" LOC = "P2" ; +NET "A_FSB[6]" LOC = "P3" ; +NET "A_FSB[7]" LOC = "P4" ; +NET "A_FSB[8]" LOC = "P6" ; +NET "A_FSB[9]" LOC = "P7" ; +NET "CLK2X_IOB" LOC = "P22" ; +NET "CLK_FSB" LOC = "P27" ; +NET "CLK_IOB" LOC = "P23" ; +NET "E_IOB" LOC = "P25" ; +NET "nADoutLE0" LOC = "P85" ; +NET "nADoutLE1" LOC = "P82" ; +NET "nAoutOE" LOC = "P87" ; +NET "nAS_FSB" LOC = "P32" ; +NET "nAS_IOB" LOC = "P81" ; +NET "nBERR_FSB" LOC = "P92" ; +NET "nBERR_IOB" LOC = "P76" ; +NET "nCAS" LOC = "P36" ; +NET "nDinLE" LOC = "P86" ; +NET "nDinOE" LOC = "P90" ; +NET "nDoutOE" LOC = "P89" ; +NET "nDTACK_FSB" LOC = "P28" ; +NET "nDTACK_IOB" LOC = "P78" ; +NET "nLDS_FSB" LOC = "P30" ; +NET "nLDS_IOB" LOC = "P79" ; +NET "nOE" LOC = "P37" ; +NET "nRAMLWE" LOC = "P65" ; +NET "nRAMUWE" LOC = "P66" ; +NET "nRAS" LOC = "P64" ; +NET "nRES" LOC = "P91" ; +NET "nROMCS" LOC = "P35" ; +NET "nROMWE" LOC = "P34" ; +NET "nUDS_FSB" LOC = "P33" ; +NET "nUDS_IOB" LOC = "P80" ; +NET "nVMA_IOB" LOC = "P74" ; +NET "nVPA_FSB" LOC = "P93" ; +NET "nVPA_IOB" LOC = "P77" ; +NET "nWE_FSB" LOC = "P29" ; +NET "RA[0]" LOC = "P53" ; +NET "RA[10]" LOC = "P55" ; +NET "RA[11]" LOC = "P63" ; +NET "RA[1]" LOC = "P50" ; +NET "RA[2]" LOC = "P43" ; +NET "RA[3]" LOC = "P41" ; +NET "RA[4]" LOC = "P40" ; +NET "RA[5]" LOC = "P42" ; +NET "RA[6]" LOC = "P46" ; +NET "RA[7]" LOC = "P52" ; +NET "RA[8]" LOC = "P54" ; +NET "RA[9]" LOC = "P56" ; + +#PACE: Start of PACE Area Constraints + +#PACE: Start of PACE Prohibit Constraints + +#PACE: End of Constraints generated by PACE diff --git a/cpld/XC95144/_xmsgs/cpldfit.xmsgs b/cpld/XC95144/_xmsgs/cpldfit.xmsgs new file mode 100644 index 0000000..2c34018 --- /dev/null +++ b/cpld/XC95144/_xmsgs/cpldfit.xmsgs @@ -0,0 +1,20 @@ + + + +Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. + + +Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + +Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + +Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. + + + diff --git a/cpld/XC95144XL/_xmsgs/hprep6.xmsgs b/cpld/XC95144/_xmsgs/hprep6.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/hprep6.xmsgs rename to cpld/XC95144/_xmsgs/hprep6.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs b/cpld/XC95144/_xmsgs/ngdbuild.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/ngdbuild.xmsgs rename to cpld/XC95144/_xmsgs/ngdbuild.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144/_xmsgs/pn_parser.xmsgs similarity index 73% rename from cpld/XC95144XL/_xmsgs/pn_parser.xmsgs rename to cpld/XC95144/_xmsgs/pn_parser.xmsgs index f66074b..a38ed26 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144/_xmsgs/pn_parser.xmsgs @@ -8,8 +8,5 @@ -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v" into library work - - diff --git a/cpld/XC95144XL/_xmsgs/taengine.xmsgs b/cpld/XC95144/_xmsgs/taengine.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/taengine.xmsgs rename to cpld/XC95144/_xmsgs/taengine.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/tsim.xmsgs b/cpld/XC95144/_xmsgs/tsim.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/tsim.xmsgs rename to cpld/XC95144/_xmsgs/tsim.xmsgs diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144/_xmsgs/xst.xmsgs similarity index 100% rename from cpld/XC95144XL/_xmsgs/xst.xmsgs rename to cpld/XC95144/_xmsgs/xst.xmsgs diff --git a/cpld/XC95144XL/iseconfig/MXSE.xreport b/cpld/XC95144/iseconfig/MXSE.xreport similarity index 97% rename from cpld/XC95144XL/iseconfig/MXSE.xreport rename to cpld/XC95144/iseconfig/MXSE.xreport index 6bba386..eb72b36 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.xreport +++ b/cpld/XC95144/iseconfig/MXSE.xreport @@ -1,17 +1,17 @@
    - 2021-12-11T06:56:18 + 2022-02-06T23:52:59 MXSE - 2021-10-23T06:51:21 - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/MXSE.xreport - C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL\ - 2021-10-07T05:05:00 + 2022-02-06T23:52:20 + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144/iseconfig/MXSE.xreport + C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144 + 2022-02-06T23:49:21 false
    - + diff --git a/cpld/XC95144XL/iseconfig/MXSE.projectmgr b/cpld/XC95144/iseconfig/WarpSE.projectmgr similarity index 80% rename from cpld/XC95144XL/iseconfig/MXSE.projectmgr rename to cpld/XC95144/iseconfig/WarpSE.projectmgr index 186eac5..3c4ab7f 100644 --- a/cpld/XC95144XL/iseconfig/MXSE.projectmgr +++ b/cpld/XC95144/iseconfig/WarpSE.projectmgr @@ -1,8 +1,8 @@ - + - + @@ -20,16 +20,16 @@ 1 - Design Utilities + Design Utilities/Compile HDL Simulation Libraries - + Design Utilities 0 0 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 false - + Design Utilities @@ -38,9 +38,9 @@ 0 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000002d70000000100000000 + 000000ff000000000000000100000000000000000100000000000000000000000000000000000002d7000000040101000100000000000000000000000064ffffffff0000008100000000000000040000004200000001000000000000002400000001000000000000006600000001000000000000020b0000000100000000 false - ..\CNT.v + @@ -55,6 +55,36 @@ work + + 1 + Implement Design/Configure Target Device + Implement Design/Optional Implementation Tools/Generate Timing + Implement Design/Synthesize - XST + + + Fit + + 4 + 0 + 000000ff000000000000000100000001000000000000000000000000000000000000000000000000e7000000010000000100000000000000000000000064ffffffff000000810000000000000001000000e70000000100000000 + false + Fit + + + + 2 + /MXSE C:|Users|zanek|Documents|GitHub|Warp-SE|cpld|MXSE.v + + + Unassigned User Library Modules + + 0 + 0 + 000000ff0000000000000001000000010000000000000000000000000000000002020000000100000001000000640000009f000000020000000000000000000000000200000064ffffffff0000008100000003000000020000009f0000000100000003000000000000000100000003 + false + Unassigned User Library Modules + + 1 Design Utilities @@ -68,26 +98,10 @@ false - 000000ff00000000000000020000017b0000017b01000000050100000002 - Behavioral Simulation - - - 2 - /test C:|Users|zanek|Documents|GitHub|SE-030|cpld|XC95144XL|test.v - - - fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000da000000020000000000000000000000000200000064ffffffff000000810000000300000002000000da0000000100000003000000000000000100000003 - false - fsb - FSB (C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v) - - + 1 - Design Utilities/Compile HDL Simulation Libraries + User Constraints @@ -98,17 +112,6 @@ false - - - 1 - - - Simulate Behavioral Model - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - Simulate Behavioral Model - + 000000ff0000000000000002000000ce0000010601000000050100000002 + Implementation diff --git a/cpld/XC95144/tmperr.err b/cpld/XC95144/tmperr.err new file mode 100644 index 0000000..70510e7 --- /dev/null +++ b/cpld/XC95144/tmperr.err @@ -0,0 +1,17 @@ +WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will + use the default filename of 'MXSE.ise'. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK2X_IOB' based upon the LOC + constraint 'P22'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_FSB' based upon the LOC + constraint 'P27'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. +INFO:Cpld - Inferring BUFG constraint for signal 'CLK_IOB' based upon the LOC + constraint 'P23'. It is recommended that you declare this BUFG explicitedly + in your design. Note that for certain device families the output of a BUFG + constraint can not drive a gated clock, and the BUFG constraint will be + ignored. diff --git a/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144/xlnx_auto_0_xdb/cst.xbcd new file mode 100644 index 0000000000000000000000000000000000000000..7c701aa73fcd26d3636fe57ac3ed0f32933a678a GIT binary patch literal 4802 zcmYjTdpuMB|KE(c4LK#oDzv$q`#pCuo0Jf-#9XS65>c)pmwb=wXbdSn6rYMD%5n{J zE4QVPRE%88ZLCNxztexe{j;4tU$5uo^}alg`{jr;ItI2@ve3s++sE(kx0be#PsI3A z5q}t_JF**|11P69$Md#bTfE2k)Y#nU507Mc3dpj;WZi9?_Q4XcSRN{j3gABGrIBak zC0{8xr8?8t2PzCZl^sJ;os0hePeYwXlhDSmi!opOqGKX^quZnRiES(`U5%@)4XqE| zW4$XcFaJ(1*EWg0xi-SI`ZYS-f1+&=`1L8=r^ozS==MJ{(G%u6#pnhjGczrN@Sn38 zGW9ymI%RGOPyO(Yed}})x5m8MIOGpRV(iXhXZ9|!xHZ8vq-%rUt|59m>Y>+C3nRxg z^xqK!?V-r=>iZ)4<30DK1Lq#x*lYKG*g8Af-r7|$XYj1BJj_7#L)7=n8XM2-uD8Ce z{p|_kAhKGcYlJIBolod*f~( zOYhT!aevxo@4=I+>t9>+Z%PIxENg4)nwVX?!S4N?{j}iYBi7`0t=IGa?Q|5I_U(Q) za(}dCr(?ZdR=ZwM@TA~-A9rGVaejuoO`aWRa+!vZ=${R`jXxIY)(f}+XVS`k)!qHgnvldEQ(JCGw5$}+Bm&ZeX{%9Z6>*B z9!|-WpWR2nW1~L7Sm6H9v+-d{4-#eXiGF!{xq@17QZqEZpdvpqsUR!gs7DQ(FY0|z zDFE(sP`(^d5R_2B??9(C2n=WBNA{>GBcC_yrQ_ZTJ?wto4F3UgM&Xo@k%oG6Oa)$Rv(mikQhETeZ>Y6i=t7KzP zOM@S2nj+1`_zn5K7^*=P2=-#6eqsI@3#IxDg2zIGCqjYg`-m>6W&`6l5n38Dcx(Fp z9jOgW@D=0tj5*oq`};GZ9pT*Kr1Ck1KUvn?BX(co7cE!>WKw4L1>pVkw|c^oP0c5}!RJ{vFZ9(llq)l*}+y~!{E7aUu?_yfGAo507vnn00v19$&R zUNIK+RuCa|V)vs@&LBO?SJfm8>;qnCA25%EXATOlCIkUVqo-$OHixH-RD<&VRG)C% zP-vi7OxBwDT)LAf?lM|&D#0qEC8x-SzVSR{0QLqw6jHjo>@RckSvlGB{$iru_W0vV z)m0vg<7?U?BOi&b=;g$$1rnl2>FNid^I+AbQ%-Thxxan0>@*~dBa=&?t<>N25r)g&r?H2GzX+dp5NwE z)i?XFf7-c5w!6Yu+w8+|cSV%0nS^l7&L7TB^sBDjn|^z-Te~~KdtOhq@E`cM9)sc| zxzndly~`w7>RllV2~GVD-(SR3?v-R0t($cjtppmfe)kwG>sF+E2z2>U`M=U%h3Jv< zWgVPA-Qt;B20ND%QqGliYz2lH-pI)F^=*IEB81sLvy`p$Po$G+hGcHLmfXnwIi%{U z@9{FzveNsKBhHaN%8OaQv0|IKz_IsNPpue8t*2#>=5B5xMFDhi5* zK?!&ARa9rEi!g6`7Ytdbvsy>4*7A1sca5v1yg2JP93bA&--QMrJ+j(vGL-6IX_lfN zS+yV4I^^2ZDHWNXJ*ruH_CG890Kvghf_=sHwG^Mo(ZWa-?6`{7l1nfJCF#qMKF(dT zYy)+GJ13GU=}t^3Talw%FH26P>j2IUK@(+3TExF4@po4V4r;HGrOMK^-avm`iTC`U z4lryV)KqmWed>}FYq8aHrq-TBUmm%+cedc3R7TvB2GaUB#fhNSpp?W~ol(VZg}G^& zmElF*E1wcG4*eN+>sg5r>p6G#az6sKa-r3d$A`kM$zHa9*|xa(^V8nlL0%nC9p`PC znK5g#`CkL+wH-IVu~f5mt4)b3wKE-Vh0D2ids!xWgQCr0j~;=oNv+w((T2Z|-Ve+? zY@p671F|i+;m=zvwo^{>t%gSroW(?6;uhnamV+!S>om8%-FX?)vglQ#_jP_YVU=&S z;^X4JyoX2Huidqdcx4o0=}f$X#!c{%Q#Fe73@eK`WVW<^2cYsM@}<4Ft}^B<(rX<)ma z7yHJFVuY_@TC{iIGEW16Qj=1+wv8|hp=ckV8Vp9Gu*SjQ9U9XEX=rQDJ0&U0QpTQP z10)gbV}?yej(a!E4A*v^#fM$q4?KbDMX(Ja;9(-g6j4-;-8^z3-lm%BoU zwv@p<+l;{u55X^mi5Bcwxf&$hJxJE68+Tg?6hQ^&V6k#x>5ujZNC_`fgAoEKh2}cBoma7q` zy9dg0r0^U=Tum}OKp8R{YC-WL)UkmdC~OcLs8<53P^-MSHqUi?*$_{{<#ybN4B=QZ z>DUST%f9g!l%S4k9-#UlaD`!$c8eJp3|>$MQHZ9$`F3? z5KY;C5d~RJfLsk9ee9wv=OCFKNu=;2nsRd{qoZZ05slQbR8pNZp$S2cxRo>33tRa+ zlonw^6J($Rr;jEy@zW!+bH+MhD-Zu7VuYsi1ixTV9|4L@0!JQTZ|J;EQ4%y$Ue&W; z2PQLbkSVpdn4?pGh7%AiHTgqX(CqW7hy`0FnJG%9K(-C0fFtGDU)rw?oPn6~Y0r3O zy%2bh!90TiuXfWInZz^0g}`$RCZx5}jx)2eXP{O;(|GrI|Cm~^qm!9I zWO-j%&P@i>P8pO(#F*pS%x8CD)J;pd8h-j%kSxcV!R%HN%!0?}=S&uR$MF)j|D%qj zkrp+nn_6V{G|dKt#}?&GZit%0v9YHKiygQd(uC~;c#a^kv=3%}!hW?erx*^Ht73mm z1C1zbtSEgGiwmK_WAEoo8mPCzu(3`A%xl~Y8NxPz=O_~sD!Sqdawfx1wDMqMT?rT_ z?w1r{TN=-~L}VKxwvBOZE9_VVVY{4am_%CCqi(8`**Y|)J#zC3G2v_Ejwp5WoLq<$ zee8lPCz`>8*4G)e`iI8b`tuJ$mXl(|7QokhwP0rjgM&_h1ai|C>Pp=eq;5LPu`)>* zD3=bIy-s5aA~!>c2`3G<`KX&Dx$I7yD3nVA&*3AMCc<|Mb0&2T#UZe}X9zRFpfwVR zOCiN%=gj-Xw^m>`!#d1iVB7&f6dy8X!7dI4p_Oz+ZeAcJ42EqBQ#ak@vb%AaP%Ifd z$Ddf*1>1vmzjE##4A@h~3NdUNZ!zPhfb;)iW00Fyp{9ixJzSLpfx)mDv9P*ane9I0 zKnT-%-5y|-FsP-@BmSx}$wr*sgC>H1x@fJ5X@DtP|035kpi^`CsyC1g? z(|LUj%2ZSZ15}f3(DWV|LS;Mdj5A=0dUhL16c%`kX)HH21@IxDV{0Rf3n_%_p8{f1 zgO5@#N&t2tf}<9c{dm@K`y!wCen{f^1P}J55a`RWVOdaQ@Y(-jqtM4LMGD58bjzpqXKF1Q(JI-+~l$F)$#}s=XWM z2n{@o^j;Y}iqeGcJHwf?vRZ1`hF~!D7So8#K89lrz$BFf505qpU=QyDHl~1kNPr#; z@+*UC2y!_#qKGRet0n*UVt#y!*}-5c;j(?>wTKB9yt{8PA+L#~_b9AFF!+R))BziX zm|B`eu?oq|OXPL~Y6LGmf|k>x^IC+MV3$-8&#a>wCX&L?guY22%L(8^MnLCMd=~Pg zh>Z#n4C!Tx-Ph1ZQ9?P1Vn-gTZ@v0}xq(c&)?nXSH!r9owAWg(F-`MIy7no3&7~6E zSXO=_C{$6>PlO%aYAyVHxm$Te_<12MsEPm7H|@v9nJ>MLKP(mFsUvUeCY4o5nm?LX zvv`XmT?ncBnS+y9f`E&<=@20OXub@lPUpYZ!5Vp+=)$#+&r6FMn7;VK)>NedIdn~V zfDdz}`GB462CR;35h`xysZrv@+JRb{kHwc&(VPF9mxEed@lzLnbgHOq@jxmHV(g1Q za0)70+7{+&Lv%>8eV>FWL}t`&Z8#ofl#<#4J&1P+KrWO1Exigc8*?touOB@k+%n2xWh1YNy&7`Stp;$*4g+x63^2~NCvL5WfY%hxhsgd za%jelHHfH7|9ZZYHNuIO*n!LpZR)li zJ=Z*;tjhPB%2o$Vy<;*9vaiWVjYXIEC;dTYzRV1MGM@#jLwTK?ns>P4zv^Kdcc8?d z93^e%+5OO!+YR%$U34M1?t0Hz+c->#zwU1>i21}BRx#`%S}7J|=gFVy%2kAWbj3sC zzm9UZjT0*Ij|dmF^GpeM+T;fmT{mkJgX@64AW{Hh3NC|r3MWrPkUvRRds}@7?-8hHN&CeaTjjT zxqVAUkTV-+7JnG0#sC`SW`1hWXmZOJXdhaiS7|6o`==QV6~Az)s}Oc?=7Vfhx`d_R z5B@v`*|U8#{}|uLJp)k$oMwG)5P0_q3e9V8yRnd9eYKlaQK*(^tnuTeJ|BpVX{V8(h{bRg_%vl5I3c;?v@m;Yb^*W@xAn}%bm8`8x2uz lKCqWDbCN%*!JaocfR5B~d}W=Ty)i{I!JvO1sT^4Q{C{fx>U{tJ literal 0 HcmV?d00001 diff --git a/cpld/XC95144/xst/work/hdllib.ref b/cpld/XC95144/xst/work/hdllib.ref new file mode 100644 index 0000000..5389f3f --- /dev/null +++ b/cpld/XC95144/xst/work/hdllib.ref @@ -0,0 +1,7 @@ +MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1644211143 +MO CS NULL ../CS.v vlg22/_c_s.bin 1644211143 +MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1644211143 +MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1644211143 +MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1644211143 +MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1644211143 +MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1644211143 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144/xst/work/vlg14/_r_a_m.bin similarity index 99% rename from cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin rename to cpld/XC95144/xst/work/vlg14/_r_a_m.bin index af3c10e76318ef13486423425e637d0b05313c1c..1fd1aaa9f426100ed6a25847925fd3295ba0889a 100644 GIT binary patch delta 18 acmdnh$GEGHal diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144/xst/work/vlg37/_f_s_b.bin similarity index 98% rename from cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin rename to cpld/XC95144/xst/work/vlg37/_f_s_b.bin index 48d07e913d1b0472a1f4ee635c6c07ef173fc2eb..0f90f7eca94ba5c5eddf7adedd1464a9b2545790 100644 GIT binary patch delta 16 XcmZoQYc<tnH!zIH?An diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144/xst/work/vlg65/_c_n_t.bin similarity index 97% rename from cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin rename to cpld/XC95144/xst/work/vlg65/_c_n_t.bin index da00ced733fcbc27a5e153f8b380c4fb72fa77ef..72fd8b4fc0faa171e09c97fc2f71c2173dd593fd 100644 GIT binary patch delta 17 Ycmdm?xI=M64>L;=!_th+6PPu40Xsqk-~a#s delta 17 Zcmdm?xI=M64>L>R`dy8iCopU90sukU2D|_O diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin similarity index 62% rename from cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin rename to cpld/XC95144/xst/work/vlg73/_i_o_b_m.bin index 65d737701d04f66c6db02395e60decf4fba84e98..401a422d58a1e63a1ef0bc2fe54bc26a9a1994fc 100644 GIT binary patch delta 3962 zcma);32aqG6o&8I_B{|#i;9TwZ1Jf@rO*Xfbx5Ta(x7qU4bFEy=eM>JR-`kJA3X1VNa9mBJPW(z0l?w(gydX=D4& z9!4KhxL7=XIMX>8&H|j-$*OvXwFvg%8E4?>Y*F${+eR@fGx;Yfp8PX@= zy$N=+$C823OGP#81;Hvd8z8qMHMw=pF95XqEph#TrET1+sO3Y z73t;z@lKGQm1)==9%o`!b`R`c*aPC9$R5qD%rNK+bw>p&E2)fEHkkqN+sb6F?gP4? zKE1p$-CQWDv!rKb55gYuI1-u49)<65*b4DaVUOlkW*GDd-L;C9l~>h7?w(C!0Q|Nx znX4y&o}`Zr{-)e0mfJAqb~Tu@?x$c+dmOGH41!3lhx0>mo-K*Lqt|15^04iB*l#}L z9BVuaYxi<)3?jH(XtaTP9^&Wn@E5^f@VEk71zYWLrs6yE3TzE*o%pA-M>8i=#1VuB zqEG6MA*E3VMz*XOqVVSGRiu24J~qhD$&Iq^=V{sZPCmmhXK8P^v6#OOTbs=`%lT1N5%w`8eiP0DB4cGVD$I zz-NiZeQynOa>~4$dLMxwFhDOKmTpdR1lerq`D%Uy``F`##6O2Un)^u^ z27MRZHDf-hO#EX%j{!_5z0B1{xVu+1K4BA(l~Fr2B{F8=e` zqixWI)iwCA>#D1wc{!AIH_9-l8kwuD$hA%MtXAq!UxROPxa^$z1pHIj5A;DVAHRAA zY$fc+Y&J3uxxLiGfRDg_k^s4H)tz8>!G0DlzYuB(*izUI;iGcBS_FpQEwxklaN$$I zronazug>!B0+=LVKB~kE9E5hx9t}^}c{cM2_MfX?b6nwOQNQaoBhqF3eWB-)jO|Z@CDM_6Ekx#_r$CQ2N50)>yszv5#W8}Iit>_{I8@(A~+QfMx8;} z4_54Pdpd4WM?u%$)8R)t2ut8NS{!EQk(DRZ1D5tUZm95>I19Uj9ZSZBSbO>h$oxv< zh`&mPah+BK4{(LD`0;TTo&epzID^t$nPCHb&9Ft{zluHD4hnR6eOh;~8;}?T#b8}s z*H72h_f3^!R!I>gMo%qR1#F03UzbT$VKgj{VkmgEE~(F?G8m1>qZkfes~6U%qZ*7( z%A*(wezM28wRLe8mVwoiv7tQkgc$B-tsdLFKhuL6MkY>0j?;8oLpn7IqcLt2Bfv(( zPS-0NO8dwiom&Skz6N7qD;m?$ z6pWhkCI80??d>Crg)_nIrNJ{4e|RFs!M~-$7FGZN delta 3907 zcma)932aqG6n*nb7Znr*rHHk_Q$HX`X@$1d(!~XpV#_ATW(xu?Y%VM&Brj3al9*T> zHMpZD#$B=QiHd@WyP}DJA`mqoDu{|wMg5*L?@pONtb}D&GAgSVoy~1+R@MUCB7Vy=H7mOVxD&WXHxG*{ zyNIeG>D6uU+|Dr@BE}}8jLHmWD$6ToWktoAm5rBJo7>u~>|WqL@mt^dqIwK)bzj61Cb2&o++P5HU9yrQ3ARvI<=6hO&}a zGO8`mekuO;4FvZQ@G-Dca>%7tPk?(8_*Qs%hSdGwRs*|)mxoi`3Qhyx2`@hh{nhm# zZveg*$Ec)N%fMX&{Gc1ld&RrOTPEIdV$a92a9o4X+U(J`fwS8&pF~Gn?M-;~6K45Y zGz-|HedXxE#U=r-|2}%*G(z;`e$C|6Z{XT8Ii{mFR4$M4W;#61J+#R@aS+(w0b5~5 z5l%Md_yaiLCPe;h&!+BA;BSv-jd)Z{jm{#~@A=$iirJu&)vNt_Q+YH7L}-6N7m9*w zwIvG|W`naQ-0Bc(_9c?IMS4Sik9ZL+v;%Z=fX;I6!VSj^|3iaChlc`(xmv{9qvPbS z&72e>26qJx_ktPU4e0LiS$b_npK@CsqmKZN@>GmI66h)X71DP>bZSTsc#i2L;%M;s zUPLw{;$H9^>v=dwZ{RqOx38d`lQs4Mj`wtoF8~UKw`<}DJ_P7{kdMuG!n+jqJ4liX z^ML;3*pShl2wTMB#FKID3S9vN>>IF0D2%y~K?PKG{mqAzI=@@?+aJt9y{8Xl?hC-5rgFud? zkPZCOWR%9+-TwV7w^cWctdDVr!!ukpg!aQ2C2TJ3OyF!!N9kFB{1&y(<~~O@2g^Oz zi^q}83D1G!JUy){(z#Uw3hH2)|DYhZ4dpbWfqGq6Ra$U9Mq|B1R5SqN_3El99*5Dy zP81WsU*Pe)H8sE_k7v2^2bZlQtOjTP3>ED%_GmjXy}caZ%wQwKGJugd}13KD!1(#wp zuM@@P;1}q%HPK@HB@o)T$*p4{c>Bg#o)t_3uJkf;bFRwd!l~e{CdY=Ny7d DLg4o+ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin similarity index 99% rename from cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin rename to cpld/XC95144/xst/work/vlg79/_i_o_b_s.bin index 43a1da4814908ec2f386a2c772afc7b1555c9a2b..9bfc1493bb3bbda57f9b6bbd08a342ab385c0de3 100644 GIT binary patch delta 16 XcmeyK^gU@qCMWarUs0QLIJq?dN~8yF delta 16 YcmeyK^gU@qCMWakS#LMzaB^z^07(`HRR910 diff --git a/cpld/XC95144XL/IOBM_isim_beh.exe b/cpld/XC95144XL/IOBM_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/IOBM_isim_beh1.wdb b/cpld/XC95144XL/IOBM_isim_beh1.wdb deleted file mode 100644 index 0a4aadb18802146eb11979c43ed038f853108610..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7791 zcmeH~U2Ggz8HR^qXbLT)C2312bR0J|rHR+;f2aBJdUv{Uz0R85bzG@QC$?j!OP#f` z*GXCeDKs?v6q=?j0a{9h1V~guLW+=(3Wy7k0C58s6;hC>goKKaT0w=lpbGCZdrp`= zW!PMBnNNP_+wYub-Z?XK=FIrDu^*ov`OfgJ3kx0Jd;XR7pLpKeJmO5W^}Iit{ItoP zjc#xCsz$@b|8wB_!efntm_wNndER+5hNNV9J9fBHsYB7U&*nz>q)Q!8QTXdg+7G4brXB`=oYhgTyg5N?W8GCHA>VxI_;v`yM1r6fGl(k)W2MD$6V zO25Qa9+2KH4NA95cS(5fmOd+N(<61>9E8YACL%c#fWs~^>xP{c=G(r z8=5-LscxmeUhR2r=tA$%#YCs1f9b-VP)5|Pg6n;^5p0`uoQ(;tGqGOcd^rbZHusCH z6a^gjhM=9WzvJ0g@u0LU!EpR|Fps#W+(#SMH=ccU?o~rs!slTNoBU2?=H9ImUhMmh z|B`*R{aJ(myE)NnjM2|1@aKok*EO2Gc=pxyPkFR4c*Vygd(5ao8@s>FoGTH}zUph_ zvC;T&ty=lm+Z@mMY?4Q(@mahKAD&+#o_*C@44< z5BH9UXJ7TCJPsJ2CDpBb*oW|=Z6ou0RvtCu!+dPzbGz9;p7B|g$4TS!@yqbBb32~# zIVF!X#%JX+d|2a%c=lC4Bad$xpHLWR9_3aUX=l9L8@~5o*GYtBX(O(YV81PGlW3=nNMc`9UrK^~mqaA7KTw~Y&+m@N zWcG*Z=lc@hYaQ0!8|DDxeMz$O^11|;_b=?vq<#tC_eg{tuk~fV!2Vp~TNaj@a9Lk% ztR26U1|?W(0+wv=1@nZy-$-{!u-8jO68l^A?UrC~kccGqW%XqxSbYjdk;Gn5Z(f4k z5Rq^7W$rNEKS|>f>_&-jeJ%T{`ic_ljS`W>zOKHq1bdT2xGeLJG5kZCmSAs|h$Qw+ z_1!1I@@-C7*4|_06uxbO_AA5M?{AldL)QvAU|7~FB8lA~_I~x_dkdfl!_r2$Y)Wmg_Xy&DQCPlh z$%wGyjyfbFfvhpH_ywQzBq_cI|js=U`XFenuH~t3K+a~DC%CPo-5tnT@>;^$k7<)==S)Om$eS)4+hTSOI;RYqkqDRFsy5gc z1$|W+c2puwT} zU8i>5^*Y{3bmjMz>u_Zb{O>uyGh?5Z`0qBg6Q=JX&nRK**tg(GwOw>;Sl&t5?Ww8N z`IXhw!!xz{2UEGFxwRwnwfbr*U#;(1n@vs6tSoOU2dTN`#Y3sF;^;)zQTDmFx>&6p zPo?|122*3@Ak39XsiX5N)y1X5snwOa)ZxY1MZsZxX}PgsZ!g+p(*qM;c4)#2yjrf3 z$&P!qeUlllHX4*lUab_Ay;^2ztzHa-mx7OKTga8Qor<>8f!Ek6!r8I0hxP)iLDlw6 z$S>D0$rOTa71de+!%ViKZM1LXzS3}8v3bFhr7E@y9-N9OEpQ+eY!^I@GFZ`Hj~7Iz zFjg#koO5H7FPFT0rR?Q3+R0KO=Pie8%VGVuJg@d*el*M%MhjjxTNp1k ze%@2b0|=|NI)jKZgNS}a{@KiAWvUd!_S8uQX4i-) z?-{#0UQbQtGL@zsruJ3>d$h(bK_MM;EC+?2=CZfB?2DCC<)Fk_#|>;-+{L!Vt!$g| zD;2Zh^jNO4$E#fWm03JC^U!LT?hU)S`4gUP7!1=*4L!YKPorV)d_6Zmw;1*ehrP`$ zz1?A-(~=JRotB<(pwVKE)H@UoHa851Lro2R-QjR^!%&!R9&X=om~I|#|3H{F1I{kh z>e@kw8LvIZba$9G1HROh4%24Dmzs1b%#iJ=rw4SoqB$LT_h~%wa#)w@KfV3kx=v9! XR;$*lGmBw=kFHZw%RslTQ`GWrQb|Fp diff --git a/cpld/XC95144XL/MXSE.cmd_log b/cpld/XC95144XL/MXSE.cmd_log deleted file mode 100644 index 4493a01..0000000 --- a/cpld/XC95144XL/MXSE.cmd_log +++ /dev/null @@ -1,166 +0,0 @@ -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n MXSE -i MXSE --cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm --cwd "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL" timingan_cpld -intstyle ise "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.vm6" -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -hprep6 -s IEEE1149 -n MXSE -i MXSE -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/SE-030/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -xst -intstyle ise -ifn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.xst" -ofn "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/MXSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/MXSE.ucf -p xc95144xl-TQ100-10 MXSE.ngc MXSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper MXSE.ngd -XSLTProcess MXSE_build.xml -tsim -intstyle ise MXSE MXSE.nga -taengine -intstyle ise -f MXSE -w --format html1 -l MXSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n MXSE -i MXSE diff --git a/cpld/XC95144XL/MXSE.gise b/cpld/XC95144XL/MXSE.gise deleted file mode 100644 index ae9ee5c..0000000 --- a/cpld/XC95144XL/MXSE.gise +++ /dev/null @@ -1,234 +0,0 @@ - - - - - - - - - - - - - - - - - - - - 11.1 - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - diff --git a/cpld/XC95144XL/MXSE.gyd b/cpld/XC95144XL/MXSE.gyd deleted file mode 100644 index d1053fd..0000000 --- a/cpld/XC95144XL/MXSE.gyd +++ /dev/null @@ -1,117 +0,0 @@ -Pin Freeze File: version P.20131013 - -95144XL100 XC95144XL-10-TQ100 -A_FSB<10> S:PIN89 -A_FSB<11> S:PIN86 -A_FSB<12> S:PIN92 -A_FSB<13> S:PIN82 -A_FSB<14> S:PIN93 -A_FSB<15> S:PIN80 -A_FSB<16> S:PIN95 -A_FSB<17> S:PIN96 -A_FSB<18> S:PIN76 -A_FSB<19> S:PIN73 -A_FSB<1> S:PIN90 -A_FSB<20> S:PIN71 -A_FSB<21> S:PIN68 -A_FSB<22> S:PIN9 -A_FSB<23> S:PIN66 -A_FSB<2> S:PIN16 -A_FSB<3> S:PIN78 -A_FSB<4> S:PIN56 -A_FSB<5> S:PIN15 -A_FSB<6> S:PIN19 -A_FSB<7> S:PIN20 -A_FSB<8> S:PIN64 -A_FSB<9> S:PIN12 -CLK2X_IOB S:PIN22 -CLK_FSB S:PIN23 -CLK_IOB S:PIN27 -E_IOB S:PIN49 -nAS_FSB S:PIN13 -nBERR_IOB S:PIN18 -nDTACK_IOB S:PIN54 -nLDS_FSB S:PIN25 -nRES S:PIN99 -nUDS_FSB S:PIN52 -nVPA_IOB S:PIN59 -nWE_FSB S:PIN61 -RA<11> S:PIN63 -RA<10> S:PIN65 -RA<0> S:PIN87 -RA<1> S:PIN35 -RA<2> S:PIN91 -RA<3> S:PIN39 -RA<4> S:PIN94 -RA<5> S:PIN50 -RA<6> S:PIN53 -RA<7> S:PIN55 -RA<8> S:PIN58 -RA<9> S:PIN60 -nADoutLE0 S:PIN67 -nADoutLE1 S:PIN29 -nAS_IOB S:PIN10 -nAoutOE S:PIN70 -nBERR_FSB S:PIN14 -nCAS S:PIN74 -nDTACK_FSB S:PIN11 -nDinLE S:PIN72 -nDinOE S:PIN97 -nDoutOE S:PIN8 -nLDS_IOB S:PIN6 -nOE S:PIN17 -nRAMLWE S:PIN77 -nRAMUWE S:PIN79 -nRAS S:PIN24 -nROMCS S:PIN42 -nROMWE S:PIN81 -nUDS_IOB S:PIN7 -nVMA_IOB S:PIN33 -nVPA_FSB S:PIN85 - - -;The remaining section of the .gyd file is for documentation purposes only. -;It shows where your internal equations were placed in the last successful fit. - -PARTITION FB1_1 EXP14_ nDTACK_FSB_OBUF EXP15_ $OpTx$FX_DC$606 - EXP16_ nBERR_FSB_OBUF fsb/Ready1r -PARTITION FB1_9 EXP17_ fsb/VPA__or00001/fsb/VPA__or00001_D2 nOE_OBUF fsb/Ready2r - EXP18_ EXP19_ fsb/VPA EXP20_ - $OpTx$FX_DC$602 EXP21_ -PARTITION FB2_1 iobm/VPArr iobm/VPArf iobm/RESrr iobm/RESrf - iobm/IOREQr cnt/RefCnt<3> cnt/RefCnt<2> cnt/RefCnt<1> - fsb/BERR1r cnt/RefDone nLDS_IOB_OBUF nUDS_IOB_OBUF - cnt/TimeoutBPre nDoutOE_OBUF TimeoutB TimeoutA - nAS_IOB_OBUF iobs/IOReady -PARTITION FB3_1 EXP22_ EXP23_ IORW0 iobs/IOACTr - nRAS_OBUF iobs/Clear1 fsb/ASrf ALE0S - iobs/PS_FSM_FFd2 EXP24_ nADoutLE1_OBUF iobs/PS_FSM_FFd1 - IOREQ iobm/ETACK nVMA_IOB_OBUF EXP25_ - iobs/IORW1 ram/BACTr -PARTITION FB4_1 ram/RASEL RA_0_OBUF cs/nOverlay1 -PARTITION FB4_5 EXP26_ iobs/Load1 EXP27_ RA_2_OBUF - EXP28_ iobs/Once EXP29_ RA_4_OBUF - EXP30_ ram/RAMDIS1 EXP31_ fsb/BERR0r - nDinOE_OBUF EXP32_ -PARTITION FB5_1 IOACT RA_1_OBUF iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd6 - iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/BERRrr RA_3_OBUF - iobm/BERRrf cnt/RefCnt<0> iobm/IOS_FSM_FFd8 nROMCS_OBUF - ALE0M iobm/IOS_FSM_FFd2 BERR_IOBS iobm/IOS_FSM_FFd3 - EXP33_ IOBERR -PARTITION FB6_1 iobm/Er2 nCAS_OBUF iobm/DTACKrr iobm/DTACKrf - RefAck nRAMLWE_OBUF iobs/IOU1 iobs/IOL1 - nRAMUWE_OBUF iobm/ES<3> iobm/ES<1> nROMWE_OBUF - iobm/ES<0> IOU0 nVPA_FSB_OBUF IOL0 - iobm/ES<4> iobm/ES<2> -PARTITION FB7_1 cnt/RefCnt<5> RA_5_OBUF cnt/RefCnt<4> cs/nOverlay0 - ram/RS_FSM_FFd3 RA_6_OBUF fsb/Ready0r ram/RS_FSM_FFd1 - RA_7_OBUF ram/Once ram/RAMDIS2 RA_8_OBUF - ram/RS_FSM_FFd2 cnt/RefCnt<7> RA_9_OBUF cnt/RefCnt<6> - ram/RAMReady EXP34_ -PARTITION FB8_2 A_FSB_19_IBUF$BUF0 -PARTITION FB8_6 A_FSB_21_IBUF$BUF0 -PARTITION FB8_9 nADoutLE0_OBUF -PARTITION FB8_12 nAoutOE_OBUF -PARTITION FB8_15 nDinLE_OBUF -PARTITION FB8_17 iobm/IOS_FSM_FFd1 iobm/Er - diff --git a/cpld/XC95144XL/MXSE.jed b/cpld/XC95144XL/MXSE.jed deleted file mode 100644 index 9f5a12e..0000000 --- a/cpld/XC95144XL/MXSE.jed +++ /dev/null @@ -1,1714 +0,0 @@ -Programmer Jedec Bit Map -Date Extracted: Sat Dec 11 06:24:30 2021 - -QF93312* -QP100* -QV0* -F0* -X0* -J0 0* -N VERSION P.20131013* -N DEVICE XC95144XL-10-TQ100* -N PPMAP 2 1* -N PPMAP 17 10* -N PPMAP 18 11* -N PPMAP 19 12* -N PPMAP 21 13* -N PPMAP 22 14* -N PPMAP 23 15* -N PPMAP 24 16* -N PPMAP 26 17* -N PPMAP 28 18* -N PPMAP 29 19* -N PPMAP 4 2* -N PPMAP 30 20* -N PPMAP 33 22* -N PPMAP 35 23* -N PPMAP 36 24* -N PPMAP 37 25* -N PPMAP 42 27* -N PPMAP 44 28* -N PPMAP 47 29* -N PPMAP 6 3* -N PPMAP 49 30* -N PPMAP 54 32* -N PPMAP 56 33* -N PPMAP 57 34* -N PPMAP 58 35* -N PPMAP 59 36* -N PPMAP 60 37* -N PPMAP 62 39* -N PPMAP 8 4* -N PPMAP 63 40* -N PPMAP 64 41* -N PPMAP 68 42* -N PPMAP 69 43* -N PPMAP 72 46* -N PPMAP 77 49* -N PPMAP 79 50* -N PPMAP 82 52* -N PPMAP 86 53* -N PPMAP 88 54* -N PPMAP 90 55* -N PPMAP 92 56* -N PPMAP 95 58* -N PPMAP 96 59* -N PPMAP 11 6* -N PPMAP 97 60* -N PPMAP 98 61* -N PPMAP 102 63* -N PPMAP 103 64* -N PPMAP 104 65* -N PPMAP 105 66* -N PPMAP 107 67* -N PPMAP 109 68* -N PPMAP 12 7* -N PPMAP 112 70* -N PPMAP 114 71* -N PPMAP 116 72* -N PPMAP 117 73* -N PPMAP 118 74* -N PPMAP 123 76* -N PPMAP 125 77* -N PPMAP 127 78* -N PPMAP 130 79* -N PPMAP 13 8* -N PPMAP 134 80* -N PPMAP 135 81* -N PPMAP 136 82* -N PPMAP 139 85* -N PPMAP 140 86* -N PPMAP 141 87* -N PPMAP 143 89* -N PPMAP 15 9* -N PPMAP 144 90* -N PPMAP 145 91* -N PPMAP 146 92* -N PPMAP 147 93* -N PPMAP 149 94* -N PPMAP 153 95* -N PPMAP 155 96* -N PPMAP 157 97* -N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0000064 00010000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0000128 01000000 00001100 00000000 00000000 00000000 00011000 00000000 00000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000256 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000320 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* -L0000384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000448 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* -L0000512 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0000576 000100 000000 000000 000000 000001 000000 000000 000000* -L0000624 000000 000000 000000 000100 001000 000000 010100 000000* -L0000672 001001 000010 000000 100000 000001 000000 001010 000000* -L0000720 001100 000010 000000 000000 000000 000000 000000 000000* -L0000768 000101 000000 000000 000000 000000 000000 000000 000000* -L0000816 000101 000000 000000 000000 000000 000000 000000 000000* -L0000864 00010000 00000000 00000000 00000000 00000000 00011000 00000000 00000000* -L0000928 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000992 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001056 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001120 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001184 00000000 00000000 00000000 00000000 00000100 00000100 00000000 00000000* -L0001248 00000000 00000000 00000000 00100000 00000100 00000000 10000000 00000000* -L0001312 00010100 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0001376 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001440 000000 000000 000000 000000 000000 000000 000100 000000* -L0001488 001101 000000 000000 000000 000001 000000 001010 000000* -L0001536 000100 000000 000010 000100 000000 000000 010000 000000* -L0001584 000001 000000 000000 100000 000001 000001 000000 000000* -L0001632 100000 000000 000000 000000 000000 000000 000000 000000* -L0001680 100000 000000 000000 000000 000000 000000 000000 000000* -L0001728 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002304 000000 000000 000000 000000 000000 000000 000000 000000* -L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 000000 000000 000000* -L0002448 000000 000000 000000 000000 000000 000000 000000 000000* -L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000000 000000 000000 000000 000000 000000 000000 000000* -L0002592 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002656 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 11000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002976 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003040 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003168 100000 000000 000000 000000 000000 000000 000100 000000* -L0003216 100001 000000 000000 000000 000000 000000 000000 000000* -L0003264 100001 000000 000010 000000 000000 000000 000000 000000* -L0003312 100001 000000 000000 000000 000000 000000 000000 000000* -L0003360 100001 000000 000000 000000 000000 000000 000000 000000* -L0003408 100001 000000 000000 000000 000000 000000 000000 000000* -L0003456 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 01000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0003584 01010000 00000000 01000000 00000000 00000000 00000000 00100000 00000000* -L0003648 10100000 00000000 01000000 00000000 00000000 00000000 00010100 00000000* -L0003712 10100100 00000000 01000100 00000000 00000000 00000000 00010100 00000000* -L0003776 01000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0003904 00010000 00000000 01100000 00000000 00000000 00000000 00001100 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00101100 00000000* -L0004032 000000 000000 000000 000000 000000 000000 001001 000000* -L0004080 101101 000000 000000 000000 000000 000000 000000 000000* -L0004128 001000 000000 000100 000000 000000 000000 000000 000000* -L0004176 001101 000000 000000 000000 000000 000000 010000 000000* -L0004224 000001 000000 000000 000000 000000 000000 001000 000000* -L0004272 000100 000000 000000 000000 000000 000000 001000 000000* -L0004320 00010000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0004384 10010000 00000000 10000000 00000000 00000000 00000000 00110100 00000000* -L0004448 00000100 00000000 10000000 00000000 00000000 00000000 00010100 00000000* -L0004512 00010100 00000000 10000000 00000000 00000000 00000000 01000000 00000000* -L0004576 00010000 00000000 00010000 00000000 00000100 00000000 00000000 00000000* -L0004640 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0004704 01000000 00010000 01000000 00000000 00000100 00000000 00101000 00000000* -L0004768 01000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0004832 00010000 00000000 00000000 00000000 00001000 00000000 00010000 00000000* -L0004896 000100 000000 000010 000000 100001 000000 000000 000000* -L0004944 000000 000000 000000 000000 000000 000000 000000 000000* -L0004992 100101 000100 000000 000000 000000 000000 000000 000000* -L0005040 100000 000000 000000 100000 000000 000000 001000 000000* -L0005088 110100 000000 000010 000000 000000 000000 000000 000000* -L0005136 110001 000000 000000 000000 000001 000000 000100 000000* -L0005184 00000010 00000000 00000000 00000000 00000000 00000000 01000100 00000000* -L0005248 00000000 00001100 00000000 00000000 00000000 00000000 00010000 00000000* -L0005312 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005376 00001010 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0005440 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005504 00000010 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0005568 00000001 00000000 00000000 00000000 00000000 00000000 00110100 00000000* -L0005632 00000000 00000000 00000000 00000000 00000000 00000000 00001100 00000000* -L0005696 00000100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* -L0005760 000000 000000 000000 000000 000000 000000 000001 000000* -L0005808 000000 000000 000000 000000 000000 000000 000000 000000* -L0005856 000000 000010 000000 000000 000000 000000 000000 000000* -L0005904 000000 000001 000000 000000 000000 000000 000000 000000* -L0005952 000000 000000 000000 000000 000000 000000 011001 000000* -L0006000 000000 000000 000000 000000 000000 000000 000100 000000* -L0006048 00000110 00001100 00000000 00000000 00000000 00000000 00110000 00000000* -L0006112 00000110 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006240 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006304 00000010 00000000 00000000 00000000 00000100 00000000 01000000 00000000* -L0006368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0006432 00000010 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0006496 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006560 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0006624 000000 000000 000000 000000 100001 000000 000010 000000* -L0006672 000000 001011 000000 100000 000000 000000 000000 000000* -L0006720 000000 000000 000000 000000 000000 000000 000100 000000* -L0006768 000000 000000 000000 000000 000000 000000 000100 000000* -L0006816 000000 000000 000000 000000 000000 000000 000100 000000* -L0006864 000000 000000 000000 000000 000001 000000 000000 000000* -L0006912 00000000 00000000 00000000 00000000 10001000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007040 00000000 00000000 00010000 00000000 10000000 00000000 00000000 00000000* -L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0007232 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0007296 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007360 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007488 000000 000000 000000 000000 000000 000000 000000 000000* -L0007536 000000 000000 000000 000000 000000 011100 000000 000000* -L0007584 000000 000100 000000 000000 000000 000000 000000 000000* -L0007632 000000 000100 000000 000000 000000 000000 000000 000000* -L0007680 000000 000000 000100 000000 000001 000000 000000 000000* -L0007728 000000 000000 000001 000000 000001 000000 000000 000000* -L0007776 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0007840 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0007904 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0007968 01111000 00000000 11000000 00000100 00000000 00000000 00000000 00000000* -L0008032 11111000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* -L0008096 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008160 01000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0008224 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008288 00010100 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0008352 000100 000000 000000 000100 000000 000000 000000 000000* -L0008400 101101 000000 000000 000000 100000 000000 010000 000000* -L0008448 101101 000000 000000 000000 000000 000000 000000 000000* -L0008496 101101 000000 000000 000000 000000 000000 000000 000000* -L0008544 110101 000000 000000 000000 000000 000000 000000 000000* -L0008592 110101 000000 000000 000000 000000 000000 000000 000000* -L0008640 01000000 00000000 00000000 00000000 10001100 00000000 01000000 00000000* -L0008704 00000000 00011100 00000000 00000000 10001100 00000000 01000000 00000000* -L0008768 10100000 00001100 00000000 00000000 10000100 00000000 01000000 00000000* -L0008832 00000000 00000000 00000100 00000000 10000100 00000000 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009024 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* -L0009152 00000000 00000000 10000000 00000000 10000000 00000000 00000100 00000000* -L0009216 000000 000000 100000 000000 100000 000000 000000 000000* -L0009264 000000 000000 000100 000000 000000 000000 000000 000000* -L0009312 000000 000010 000000 000000 000000 000000 000000 000000* -L0009360 010000 000010 000000 000000 000000 000000 000000 000000* -L0009408 000000 000000 000000 000000 000001 000000 000000 000000* -L0009456 000000 000000 000000 000000 000001 000000 000000 000000* -L0009504 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* -L0009568 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* -L0009632 01011000 00000000 10010000 00000000 00000000 00000000 00100000 00000000* -L0009696 11011000 00000000 10010000 00000000 00000000 00100000 00010000 00000000* -L0009760 01011100 00000000 00010000 00000000 00000000 00000000 00010100 00000000* -L0009824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009888 01000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0009952 01010100 00000000 01001000 00000000 00000000 00000000 00001000 00000000* -L0010016 00010100 00000000 00101000 00000000 00000000 00100000 00101000 00000000* -L0010080 000100 000000 001011 000000 000000 000000 001001 000000* -L0010128 001101 000000 000000 000000 000000 000000 000000 000000* -L0010176 101101 000000 000001 000000 000000 000000 000000 000000* -L0010224 101101 000000 000111 000000 000000 000000 000000 000000* -L0010272 110101 000000 000111 000000 000000 000000 001000 000000* -L0010320 110101 000000 000101 000000 000000 000000 001000 000000* -L0010368 10000101 00000011 00000000 00010111 00000010 00000011 00000011 00000000* -L0010432 00100111 00011111 00000001 00000000 00000011 00000011 00100011 00000010* -L0010496 10100001 00001111 00000010 00000011 00000011 00000011 00100011 00000000* -L0010560 00100010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* -L0010624 10100000 00000011 00000011 00000001 00000011 00000011 00000011 00000000* -L0010688 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* -L0010752 00000010 00000011 00000010 00000001 00000011 00000011 00000010 00000000* -L0010816 00000001 00000011 00000011 00000010 00000010 00000011 00000011 00000001* -L0010880 00000000 00000011 00000011 10000000 00000011 00000011 00000010 00000011* -L0010944 000000 000000 000000 100000 000000 000000 000000 000000* -L0010992 100000 000000 000000 000000 000000 000000 000000 000000* -L0011040 100000 000010 000000 000000 000000 000000 000000 000000* -L0011088 100000 000010 000000 000000 000000 000000 000000 000000* -L0011136 010000 000000 000000 110000 000000 000000 000000 000000* -L0011184 010000 000000 000000 000010 000000 000000 000000 000000* -L0011232 00000010 00000000 00000011 00000000 00000000 00000000 00000000 00000000* -L0011296 00001000 00000000 00000010 00001011 00000000 00000000 00000000 00000000* -L0011360 00000010 00000000 00000001 00000000 00000100 00000000 00000000 00000000* -L0011424 01000001 00000000 00000000 10001001 00000000 00000000 00000000 00000000* -L0011488 00001011 00000000 00000000 00000010 10000000 00000000 00000000 00000000* -L0011552 00000000 00000000 00000000 10000001 00000000 00000000 00000000 00000000* -L0011616 00000001 00000000 00000001 00000110 00001000 00001000 00000001 00000000* -L0011680 00000000 00000000 00000000 10000001 10000101 00000000 00000000 00000000* -L0011744 00000011 00000000 00000000 00000011 00000000 00000000 00000001 00000000* -L0011808 000000 000000 000000 000010 000000 000000 000000 000000* -L0011856 000000 010000 000000 000000 000000 000000 000000 000001* -L0011904 000000 000000 000000 000011 000000 000000 000000 000000* -L0011952 000000 000000 000000 000000 000001 000000 000000 000000* -L0012000 000000 000000 000000 000001 000000 000000 000000 000000* -L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00000001 00000001 00000000 00001011 00000010 00000001 00000001 00000000* -L0012160 00000010 00011101 00000001 10001000 00000011 00000001 00000011 00000000* -L0012224 00000001 00001101 00000010 10001001 00000001 00000000 00000000 00000000* -L0012288 00000010 00000001 00010001 10001000 00000001 00000001 00000011 00000000* -L0012352 00000000 00000001 00000010 10001001 00000001 00000001 00000010 00000000* -L0012416 00000011 00000001 00000001 10001110 00000001 00000000 00000011 00000000* -L0012480 00000010 00000001 00000000 10001101 00000001 00000001 00000010 00000000* -L0012544 00000001 00000000 00000001 10000110 00000010 00000001 00000011 00000000* -L0012608 00000000 00000011 00001010 10001100 00000001 00000001 00000010 00000000* -L0012672 000000 000000 000000 000011 000000 000000 000000 000000* -L0012720 000000 000000 000000 000011 000000 000000 000000 001000* -L0012768 000000 000010 000000 000011 000000 000000 000000 000000* -L0012816 000000 000010 000010 000111 000000 000000 000000 000000* -L0012864 000000 000000 000000 000101 000000 000000 000000 000000* -L0012912 000000 000000 000000 000111 000000 000000 000000 000000* -L0012960 00010010 00100000 00000011 00000000 00000000 00000000 00000010 00000000* -L0013024 01011001 00000000 00000010 00000011 00000000 00000100 00000000 00000000* -L0013088 01011010 00000000 10000001 00000010 00000000 00000000 00000001 00000000* -L0013152 01011001 00000000 11000010 00000001 00000000 00000000 00000000 00000000* -L0013216 01111011 00000000 01000001 00000010 00000000 00000000 00000001 00000000* -L0013280 00000000 00000000 00000000 00000001 00100000 00000000 00000000 00000000* -L0013344 00000001 00000000 00000001 00000010 00000000 00000000 00000001 00000000* -L0013408 01010100 00000000 00000010 00001001 00000001 00000000 00000000 00000000* -L0013472 00010111 00000000 00000001 00000011 00000000 00000000 00000001 00000000* -L0013536 000100 000000 000000 100000 000000 000000 000000 000000* -L0013584 101101 010000 000000 000000 000000 000000 000000 000000* -L0013632 001101 000000 000000 000000 000000 000000 000010 000000* -L0013680 101101 000000 000000 000000 000000 000000 000000 000000* -L0013728 100101 000000 000000 000000 000000 000000 000000 000000* -L0013776 110101 000000 000000 000000 000000 000000 000000 000000* -L0013824 00000001 10000000 10011000 00000011 00000010 00000001 00000000 00000000* -L0013888 00001010 00000001 11010000 00000000 00000000 00000001 00000001 00000000* -L0013952 00001001 00000001 11011110 00000000 00000000 00000000 00000000 00000000* -L0014016 01001000 00000001 11011001 00000000 00000000 00000001 00000001 00000000* -L0014080 01001000 00000000 01011010 00000001 00000001 00000000 00000010 00000000* -L0014144 00000011 10000001 10000000 00000010 00000001 00000000 00000000 00000000* -L0014208 00000010 00000001 10101000 00000001 00000001 00000000 00000010 00000000* -L0014272 00000100 00000000 10101001 00000000 00000000 00000001 00000011 00000000* -L0014336 00000100 00000001 10101010 00000000 00000001 00000001 00000000 00000000* -L0014400 000000 000000 101010 000000 000000 000000 000000 000000* -L0014448 000000 000000 100100 000000 000000 000000 000000 000000* -L0014496 010000 000000 101100 000000 000000 000000 000000 000000* -L0014544 010000 000000 101100 000000 000000 000000 000000 000000* -L0014592 000000 000000 101100 000000 000000 000000 000000 000000* -L0014640 000000 000000 101100 010000 000000 000000 000000 000000* -L0014688 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* -L0014752 00000001 00000000 00000011 00010011 00000010 00000000 00000000 00000000* -L0014816 00000010 00000000 00000001 00000011 00000100 00000000 00000001 00000000* -L0014880 00000011 00000000 00000010 00010001 00000000 00000100 00000010 00000000* -L0014944 00000011 00000000 00000001 00000010 10000000 00000000 00000001 00000000* -L0015008 00000000 00000000 00100001 00000001 00000000 00000000 00000011 00000000* -L0015072 00000001 00000000 00000001 00000010 00001000 00000000 00000001 00000000* -L0015136 00001001 00000000 00010010 00000011 10000101 00000000 00000000 00000000* -L0015200 10000011 00000000 00000001 00000011 00000000 00001000 00000011 00000000* -L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 011000 000000 000000 000000 000000 000000* -L0015360 000000 000000 000000 001000 000000 000000 001000 000000* -L0015408 000010 000000 000000 000000 000001 000000 000000 000000* -L0015456 000000 000000 000000 000000 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000 000000 000000 000000 000000* -L0015552 00000001 00000000 00000000 00001011 00000010 00000000 00000000 00000000* -L0015616 00001010 00000000 00000000 00001000 00000000 00000000 10000001 00000000* -L0015680 00001001 00000000 00000010 10001010 00000000 00000000 00010100 00000000* -L0015744 00001000 00000000 00000001 10001000 00000000 00000000 00010101 00000000* -L0015808 00001000 00000000 00000000 10001001 00000001 00000001 00010010 00000000* -L0015872 00000001 00000000 00001000 10000010 00000001 00000000 00000100 00000000* -L0015936 00000010 00000000 00000000 10000000 00000001 00000011 00010100 00000000* -L0016000 00000100 00000000 00000001 10000100 00000000 00000011 00010111 00000000* -L0016064 00000100 00000001 00000010 10001100 00000000 00000001 00010100 00000000* -L0016128 000000 000000 000000 100011 000000 000000 000010 000000* -L0016176 000000 000000 000010 000010 000000 000000 000000 000000* -L0016224 000000 000000 000010 000010 000000 000000 000000 000000* -L0016272 000000 000000 000000 000111 000000 000000 000000 000000* -L0016320 000000 000000 000000 000111 000000 000000 010001 000000* -L0016368 000000 000000 000000 000111 000000 000000 010001 000000* -L0016416 00000010 00000000 00000011 00000000 00000000 00000000 00000001 00000000* -L0016480 00000001 00000000 00000011 00000011 00000010 00000000 00001000 00000000* -L0016544 00000010 00000000 00000001 00000011 00000000 00000000 00000001 00000000* -L0016608 00000011 00000000 00000010 00000001 00000000 00000000 00000010 00000000* -L0016672 00000001 00000000 00000001 00000010 00000000 00000001 00000001 00000000* -L0016736 00000010 00000000 00000001 00000001 00000000 00000000 00000010 00000000* -L0016800 00000001 00000000 00000001 00000011 00000000 00000011 01000001 00000000* -L0016864 00000001 00000000 00000010 00000011 00000001 00000010 00000000 00000000* -L0016928 00001001 00000000 00000001 00000011 00000001 00000000 00000011 00000000* -L0016992 000000 000000 000000 000000 000000 000000 000000 000000* -L0017040 000000 000000 000000 000000 000000 000000 000000 000000* -L0017088 000000 000001 000000 000000 000010 000000 000000 000000* -L0017136 000000 000001 000000 000000 000010 000000 000000 000000* -L0017184 000010 000001 000000 000000 000010 000000 000000 000000* -L0017232 000000 000000 000000 000000 000000 000000 000000 000000* -L0017280 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* -L0017344 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017408 00000001 00000000 00000010 00000000 00000000 00000000 00010000 00000000* -L0017472 00000000 00000000 00000001 00000000 00000000 00000000 00010001 00000000* -L0017536 00000000 00000000 00000000 00001001 00000000 00000000 00010010 00000000* -L0017600 00000001 00000000 00001000 01000010 00000000 00000000 00000000 00000000* -L0017664 01000010 00000000 00000000 11000000 00000001 00000000 00010000 00000000* -L0017728 01000000 00000000 00000001 10000000 00000000 00000000 00010011 00000000* -L0017792 00000000 00000000 00000010 00000000 00000000 00000001 00010000 00000000* -L0017856 000000 000000 000000 000001 000000 000000 000000 000000* -L0017904 000000 000000 000010 000001 000000 000000 000000 000000* -L0017952 100000 000000 000000 000011 000000 000000 000000 000000* -L0018000 100000 000000 000000 000000 000000 000000 000000 000000* -L0018048 010000 000000 000000 001000 000000 000000 000000 000000* -L0018096 010000 000000 000000 001100 000000 000000 000000 000000* -L0018144 00000010 00000000 00000001 00001000 00000000 00000000 00000001 00000000* -L0018208 00000001 00000000 00000010 00001011 00000010 00000000 00000001 00000000* -L0018272 00000010 00000000 00000001 00001101 00000000 00000000 00000001 00000000* -L0018336 00000011 00000000 00000010 00011001 00000000 00000000 00000010 00000000* -L0018400 00000001 00000000 00000001 00110100 00000000 00000000 00000001 00000000* -L0018464 00000010 00000000 00000000 10000101 00000000 00000000 00010010 00000000* -L0018528 00000001 00000000 00000001 00000111 00000000 00000000 00000001 00000000* -L0018592 00000000 00000000 00000010 00000101 00000001 00000000 00000000 00000000* -L0018656 00000001 00000000 00000001 00100111 00000001 00000000 00000011 00000000* -L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000000 000000 000000 000000 000000* -L0018816 000000 000001 000010 000000 000010 000000 000000 000000* -L0018864 000000 000001 000000 000101 000010 000000 000000 000000* -L0018912 000000 000001 000000 000101 000010 000000 000000 000000* -L0018960 000000 000000 000000 000001 000000 000000 000000 000000* -L0019008 00010000 00000001 00000000 00000001 00000011 00000000 00000000 00000000* -L0019072 00010001 00000000 00000001 00000000 00000001 00000001 00000000 00000000* -L0019136 01010001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0019200 00010000 00000000 00000001 00000000 00000001 00000101 00000001 00000000* -L0019264 00010000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0019328 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000001* -L0019392 00000010 00000000 00000000 00000001 00000001 00000000 00000010 00000000* -L0019456 00010000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0019520 00010000 00000010 00000011 00000000 00000000 00001011 00000000 00000000* -L0019584 000100 000000 000000 000000 000000 000000 000000 000000* -L0019632 001101 000000 000000 000000 000000 000000 000000 000000* -L0019680 001101 000000 000000 000000 000000 000000 000000 000000* -L0019728 001101 000000 000000 000000 000000 000000 000000 000000* -L0019776 100101 000000 000000 000000 000000 000000 000000 000000* -L0019824 100101 000000 000000 010000 000000 000000 000000 000000* -L0019872 00000001 00000000 00000000 00000011 00000010 00000000 00000001 00000000* -L0019936 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0020000 00000001 00000000 00000011 00000000 00000000 00000000 00000001 00000000* -L0020064 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0020128 00000000 00000000 00000000 00010001 00000000 00000000 00000010 00000000* -L0020192 00000001 00000000 00000000 00000010 00000000 00000000 10000000 00000000* -L0020256 00000010 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0020320 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* -L0020384 00000000 00000000 00000010 00000000 00000001 00000000 00000000 00000000* -L0020448 000000 000000 000000 000000 000000 000000 000000 000000* -L0020496 000000 000000 000000 001000 000000 000000 000000 000000* -L0020544 000000 000000 000000 000000 000000 000000 000000 000000* -L0020592 000000 000000 000000 001000 000000 000000 000000 000000* -L0020640 000000 000000 000000 000000 000000 000000 000000 000000* -L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* -L0020800 00000010 00001000 00000000 00000010 00000000 00000000 00010000 00000000* -L0020864 00000000 00001000 00000010 10000000 00000000 00000000 01010000 00000000* -L0020928 00000010 00000000 00000001 10000000 00000000 00000000 01010001 00000000* -L0020992 00000000 00000000 00000000 10000001 00000000 00000000 01010010 00000000* -L0021056 00000001 00000000 00001000 10000110 00000000 00000000 01000000 00000000* -L0021120 00000000 00000000 00000000 10000100 00000000 00000000 01100000 00000000* -L0021184 00000000 00000000 00000001 10001100 00000000 00000000 01100001 00000000* -L0021248 00000000 00000000 00000010 10000100 00000000 00000000 01110000 00000000* -L0021312 000000 000000 000000 000001 000000 000000 011000 000000* -L0021360 000000 000000 000010 000001 000000 000000 000000 001000* -L0021408 000000 000000 000000 000001 000000 000000 000001 000000* -L0021456 000000 000000 000000 000001 000000 000000 011000 000000* -L0021504 000000 000000 000000 000001 000000 000000 001000 000000* -L0021552 000000 000000 000000 000001 000000 000000 001000 000000* -L0021600 00000000 00001000 00000001 00000000 00000000 00000000 00001010 00000000* -L0021664 00000000 00000000 00000000 10000011 00000010 00000000 00000000 00000000* -L0021728 00000010 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0021792 00000010 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0021856 00000010 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0021920 00000000 00000000 00000000 00001001 00000000 00000000 00100010 00000000* -L0021984 00000001 00000000 00000000 00000010 00000000 00000000 00010000 00000000* -L0022048 00000001 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0022112 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0022176 000000 000000 000000 000000 000000 000000 000010 000000* -L0022224 000000 000000 000000 000000 000000 000000 000001 000000* -L0022272 000000 000010 000010 000000 000000 000000 000000 000000* -L0022320 000000 000010 000000 000000 000000 000000 000000 000000* -L0022368 000000 000000 000000 000010 000000 000000 010000 000000* -L0022416 000000 000000 000000 000000 000000 000000 010000 000000* -L0022464 00000001 00000011 00000000 00000011 00000011 00000011 00000011 00000000* -L0022528 00000011 00000011 00000001 00000010 00000011 00000011 00000011 00000010* -L0022592 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* -L0022656 00000010 00000011 00000011 00000000 00000011 00000011 00000011 00000000* -L0022720 00000000 00000011 00000011 00000001 00000011 00000011 00001011 00000000* -L0022784 00000011 00000011 00000011 00000010 00000011 00000011 00000011 00000011* -L0022848 00000010 00001011 01000010 00000001 00000011 00000011 00000011 00000000* -L0022912 00000001 00000011 01000011 00000011 00000010 00000011 00000011 00000001* -L0022976 00000000 00000011 00000011 00000000 00000011 00000011 00000010 00000011* -L0023040 000000 000000 000000 000000 000000 000000 010000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 000000* -L0023136 000000 000000 000000 000000 000000 000000 000000 000000* -L0023184 000000 000000 000000 000000 000000 000000 000000 000000* -L0023232 000000 000000 000000 000000 000000 000000 000100 000000* -L0023280 000000 000000 000000 000000 000000 000000 000000 000000* -L0023328 01010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023392 01011000 00000000 00000000 00000000 00000100 00000000 10000000 00000000* -L0023456 11111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* -L0023520 01111000 00000000 00000000 00000000 10000000 00000000 00010000 00000000* -L0023584 11111000 00000000 00000000 10000000 00000000 00000000 00010000 00000000* -L0023648 01000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0023712 01000100 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0023776 01010100 00000000 00000000 00000100 00000000 00000000 00010000 00000000* -L0023840 00010100 00000000 00000000 10000100 00000000 00000000 00010000 00000000* -L0023904 000100 000000 000000 100001 000000 000000 000010 000000* -L0023952 101101 000000 000000 000010 000001 000000 000000 000000* -L0024000 101101 000000 000000 000000 000001 000000 000000 000000* -L0024048 111101 000000 000000 000110 000000 000000 000000 000000* -L0024096 110101 000000 000000 000110 000000 000000 010000 000000* -L0024144 110101 000000 000000 000110 000000 000000 010000 000000* -L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0024320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024512 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 000000 000000* -L0024816 000000 000000 000010 000000 000000 000000 000000 000000* -L0024864 000000 001000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000000 000000 000000 000000 000000 000000 000000* -L0024960 000000 000000 000000 000000 000000 000000 000000 000000* -L0025008 000000 000000 000000 000000 000000 000000 000000 000000* -L0025056 00001100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025120 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025184 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025248 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025376 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00001000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* -L0025504 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025568 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025632 000010 000000 000000 000000 000000 000000 000000 000000* -L0025680 000010 000000 000000 000000 000000 000000 000000 000000* -L0025728 000010 000000 000010 000000 000000 000000 000000 000000* -L0025776 000010 000000 000000 000000 000000 000000 000000 000000* -L0025824 000010 000000 000000 000000 000000 000000 000000 000000* -L0025872 000010 000000 000000 000000 000000 000000 000000 000000* -L0025920 00010000 00000000 00010000 00000000 10001100 00000000 00000000 00000000* -L0025984 00010011 00000001 00000001 00000110 10001010 00000010 00000010 00000010* -L0026048 01010000 00000001 00000000 00000101 00000001 00000001 00000001 00000001* -L0026112 00010000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0026176 00010000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0026240 00000010 00010000 00000001 00000000 00000000 00000011 00000011 00000011* -L0026304 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0026368 00010000 00000001 00000000 00000011 00000010 00000000 00000000 00000000* -L0026432 00010000 00000000 00000000 00000000 00000000 00000010 01000010 00000010* -L0026496 000100 000000 000000 000000 000000 000000 000000 000000* -L0026544 001101 000000 000000 000000 000000 000000 000000 000000* -L0026592 001101 000000 000000 000000 000000 000000 000000 000000* -L0026640 001101 000000 000001 000000 000000 000000 000100 000000* -L0026688 100101 000000 000000 000000 000000 000000 000000 000000* -L0026736 100101 000000 000000 000000 000000 000000 000000 000000* -L0026784 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0026848 00000000 00000000 11000000 00000000 00000000 00000000 10000000 00000000* -L0026912 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* -L0026976 00000000 00000000 11000000 00000000 00000000 00000000 00010000 00000000* -L0027040 00000000 00000000 01000000 00000000 00000000 00000000 00010000 00000000* -L0027104 00000000 00000000 00000000 00000000 00001100 00000000 00001000 00000000* -L0027168 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* -L0027232 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* -L0027296 00000000 00000000 00000000 00000000 00001100 00000000 00010000 00000000* -L0027360 000000 000000 000000 000000 000001 000000 000010 000000* -L0027408 000000 000000 000000 000000 000001 000000 000000 000000* -L0027456 000000 000000 000000 000000 000001 000000 000000 000000* -L0027504 000000 000000 000000 000000 000001 000000 000000 000000* -L0027552 000000 000000 000000 000000 000000 000000 010000 000000* -L0027600 000000 000000 000000 000000 000000 000000 010000 000000* -L0027648 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027712 00000001 00000000 00000100 00000010 00000010 00001000 00100010 00000010* -L0027776 00000000 00000000 00000000 00000001 00000001 00001001 00100001 00000001* -L0027840 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000010 00000000 00000000 00000000 00000000 00000000* -L0027968 00000010 00000000 00000000 00000000 00010000 00000011 00000011 00000010* -L0028032 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0028096 00000001 00000000 00000000 00000011 00000010 00000000 00000000 00000000* -L0028160 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* -L0028224 100010 000000 000001 000000 000000 000000 000000 000000* -L0028272 000000 000000 100000 000000 000000 000000 000000 000000* -L0028320 000000 000000 000000 000000 000000 000000 000000 000000* -L0028368 000000 000000 000000 000000 000000 000000 000000 000000* -L0028416 000000 000000 000000 000000 000000 000000 000000 000000* -L0028464 000010 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028576 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028640 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028704 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028768 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0028896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028960 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0029024 00000100 00000000 00000100 00000000 00000000 00000100 00000000 00000001* -L0029088 000000 000000 000000 000000 000000 000000 000000 000000* -L0029136 000000 000000 000000 000000 000000 000000 000000 000000* -L0029184 000000 000000 100000 000000 000000 000000 000000 000000* -L0029232 000000 000000 000000 000000 000000 000000 000000 000000* -L0029280 000000 000000 000000 000000 000000 000000 000000 000000* -L0029328 000000 000000 000000 000000 000000 000001 000000 000000* -L0029376 10000100 00000010 00000000 00001000 00000010 00011011 00000000 00000000* -L0029440 00100100 00000011 00000000 00001000 00000001 00000001 00000000 00000000* -L0029504 10100000 00000011 00000000 10001000 00000010 00000010 00000000 00000000* -L0029568 00100000 00000010 00000000 10001000 00000011 00000011 00000000 00000000* -L0029632 10100000 00000011 00000001 00001000 00000011 00000000 00000000 00000000* -L0029696 00000000 00000000 00001001 10000000 00000010 00000100 00000000 00000001* -L0029760 00000000 00000000 00000000 10000000 00000011 00000000 00000000 00000000* -L0029824 00000000 00000001 00000000 10000000 00000000 00010001 00000000 00000001* -L0029888 00000000 00000000 00000000 00001000 00000011 00000001 00000000 00000000* -L0029952 000000 000000 000000 000010 000000 000000 000000 000000* -L0030000 100000 000000 000010 000000 000000 000000 000000 000000* -L0030048 100000 000000 000000 000010 000000 000000 000000 000000* -L0030096 100000 000001 000000 000001 000000 000001 000000 000000* -L0030144 010000 000001 000000 000001 000000 000000 000000 000000* -L0030192 010000 000000 000000 000001 000000 000000 000000 000000* -L0030240 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0030304 00000000 00000011 00000000 00000000 00000000 00011010 00000000 00000000* -L0030368 00001000 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0030432 00000000 00000010 00000000 00000000 00000000 00000010 00000000 00000000* -L0030496 01000000 00000010 00000000 00000000 00000000 00000000 00000000 00000000* -L0030560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0030624 00000000 00000000 00000010 00000000 00000000 00000100 00000000 00000000* -L0030688 00000100 00000001 00000000 00000000 00000000 00000000 00000000 00000000* -L0030752 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000001* -L0030816 000000 000000 000000 000000 000000 000000 000000 000000* -L0030864 000000 000000 000000 000000 000000 000000 000000 000000* -L0030912 000000 000001 000010 000000 000000 000000 000000 000000* -L0030960 000000 000000 000000 000000 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000 000000 000000 000000 000000* -L0031056 000000 000000 000000 000000 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031680 000000 000000 000000 000000 000000 000000 000000 000000* -L0031728 000000 000000 000000 000000 000000 000000 000000 000000* -L0031776 000000 000000 000000 000000 000000 000000 000000 000000* -L0031824 000000 000000 000000 000000 000000 000000 000000 000000* -L0031872 000000 000000 000000 000000 000000 000000 000000 000000* -L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032096 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0032160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032224 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0032288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032352 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0032416 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0032480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032544 000000 000000 000000 000000 000000 000000 000000 000000* -L0032592 000000 000000 000000 000000 000000 000000 000000 000000* -L0032640 000000 000000 000000 000000 000000 000000 000000 000000* -L0032688 000000 000000 000000 000000 000000 000000 000000 000000* -L0032736 000000 000000 000000 000000 000000 000000 000000 000000* -L0032784 000000 000000 000000 000000 000000 000000 000000 000000* -L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0032896 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0032960 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 000000 000000 000000 000000 000000* -L0033456 000000 000000 000000 000000 000000 000000 000000 000000* -L0033504 000000 000010 000000 000000 000000 000000 000000 000000* -L0033552 000000 000010 000000 000000 000000 000000 000000 000000* -L0033600 000000 000000 000000 000000 000000 000000 000000 000000* -L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000000 00000001 00000001 00000011 00000000* -L0033760 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* -L0033824 00000000 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0033888 00000000 00000001 00000000 00000000 00000000 00000001 00000011 00000000* -L0033952 00000000 00000000 00000000 10000000 00000000 00000000 00000011 00000000* -L0034016 00000000 00000011 00010001 00000000 00000001 00000000 00000000 00000000* -L0034080 00000000 00000011 00000000 00000000 00000000 00000000 00000001 00000000* -L0034144 00000000 00000010 00000001 00000100 00000000 00000001 00000010 00000000* -L0034208 00000000 00000001 00000000 10000100 00000001 00000000 00000000 00000000* -L0034272 000000 000000 000000 100001 000000 000000 000000 000000* -L0034320 000000 000000 000000 000010 000000 000000 000000 000000* -L0034368 000000 000000 000000 000000 000000 000000 000000 000000* -L0034416 000000 000000 000000 000110 000000 000000 000000 000000* -L0034464 000000 000000 000000 000110 000000 000000 000000 000000* -L0034512 000000 000000 000000 000110 000000 000000 000000 000000* -L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034752 00000000 00000000 00000000 00000000 00000000 00000000 00000010 00000000* -L0034816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0034944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035136 000000 000000 000000 000000 000000 000000 000000 000000* -L0035184 000000 000000 000000 000000 000000 000000 000000 000000* -L0035232 000000 000000 000000 000000 000000 000000 000000 000000* -L0035280 000000 000000 000000 000000 000000 000000 000000 000000* -L0035328 000000 000000 000000 000000 000000 000000 000000 000000* -L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035616 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035744 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* -L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035872 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0035936 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0036000 000000 000000 000000 000000 000000 000000 000000 000000* -L0036048 000000 000000 000000 000000 000000 000000 000000 000000* -L0036096 000000 000000 000000 000000 000000 000000 000000 000000* -L0036144 000000 000000 000000 000000 000000 000000 000000 000000* -L0036192 000000 000000 000000 000000 000001 000000 000000 000000* -L0036240 000000 000000 000000 000000 000000 000000 000000 000000* -L0036288 00000000 01000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00010001 00100000 00000001 00000000 00000000 00000000* -L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036736 00000000 00000000 00001000 00010000 00000000 00000000 00000000 00000000* -L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 100000 000000 000000 000000 000000 000000 000000* -L0036960 000000 000000 000000 000000 000000 000000 000000 000000* -L0037008 000000 000000 000000 000000 000000 000000 000000 000000* -L0037056 000000 000000 000001 000000 000000 000000 000000 000000* -L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00010000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0037216 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0037280 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0037344 01111000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0037408 11111000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0037472 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037536 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037600 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037664 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037728 000100 000000 000000 000000 000000 000000 000000 000000* -L0037776 101101 000000 000000 000000 000000 000000 000000 000000* -L0037824 101101 000000 000000 000000 000000 000000 000000 000000* -L0037872 101101 000000 000000 000000 000000 000000 000000 000000* -L0037920 110101 000000 000000 000000 000000 000000 000000 000000* -L0037968 110101 000000 000000 000000 000000 000000 000000 000000* -L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038080 00000011 00000001 00000001 10000010 00000010 00000010 00000010 00000010* -L0038144 00000000 00000001 00000000 00000001 00000001 00000001 00000001 00000001* -L0038208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038272 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0038336 00000010 00000000 00000001 00000000 00000000 00000011 00000011 00000011* -L0038400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0038464 00000000 00000001 00000000 00001011 00000010 00000000 00000000 00000000* -L0038528 00000000 00000000 00000000 00000000 00000000 00000010 00000010 00000010* -L0038592 000000 000000 000000 000000 000000 000000 000000 000000* -L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000001 000000 000000 000010 000000 000000 000000* -L0038736 000000 000001 000000 000000 000010 000000 000000 000000* -L0038784 000000 000001 000000 000000 000010 000000 000000 000000* -L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000011 00000011 00000011 10000011 00000010 00000011 00000011 00000000* -L0038944 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000010* -L0039008 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* -L0039072 00000011 00000011 00000011 00000001 00000011 00000011 00000011 00000000* -L0039136 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000000* -L0039200 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000011 00000011 00000011 00001011 00000011 00000011 00000011 00000000* -L0039328 00000001 00000011 00000011 00000011 00000011 00000011 00000011 00000001* -L0039392 00000011 00000011 00000011 00000011 00000011 00000011 00000011 00000011* -L0039456 000000 000000 000000 100000 000000 000000 000000 000000* -L0039504 000000 000000 000000 000000 000000 000000 000000 000000* -L0039552 000000 000000 000000 000000 000000 000000 000000 000000* -L0039600 000000 000000 000000 000000 000000 000000 000000 000000* -L0039648 000000 000000 000000 000010 000000 000000 000000 000000* -L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000011 00001101 00000011 00100011 00000010 00000001 00000011 00000000* -L0039808 00000011 00000001 00000011 00000011 00000011 00000001 00000011 00000000* -L0039872 00000011 00000001 00000011 00000011 00000001 00000000 00000001 00000000* -L0039936 00000011 00000001 00000011 00000001 00000001 00000001 00000011 00000000* -L0040000 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* -L0040064 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* -L0040128 00000011 00000001 00000001 00010011 00000001 00000001 00000011 00000000* -L0040192 00000001 00000000 00000011 00000011 00000011 00000001 00000011 00000000* -L0040256 00000011 00000011 00000011 00000011 00000001 00000001 00000011 00000000* -L0040320 000000 000000 000000 000000 000000 000000 000000 000000* -L0040368 000000 001011 000000 000000 000010 000000 000000 000000* -L0040416 000000 000000 000000 000000 000000 000000 000000 000000* -L0040464 000000 000000 000000 000000 000000 000000 000000 000000* -L0040512 000000 000000 000000 000000 000000 000000 000000 000000* -L0040560 000000 000000 000000 000000 000000 000000 000000 000000* -L0040608 00000011 00000000 00000011 00000011 00000010 00000001 00000001 00000000* -L0040672 00000011 00000001 00000011 00000011 00000010 00000001 00000001 00000000* -L0040736 00000011 00001101 00000011 00000011 00000000 00000000 00000001 00000000* -L0040800 00000011 00000001 00000011 00000001 00000000 00000001 00000011 00000000* -L0040864 00000011 00000000 00000011 00000011 00000001 00000000 00000011 00000000* -L0040928 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* -L0040992 00000011 00000001 00000001 00000011 00000001 00000000 00000011 00000000* -L0041056 00000001 00000000 00000011 00000011 00000001 00000001 00000011 00000000* -L0041120 00000011 00000001 00000011 00000011 00000001 00000001 00000011 00000000* -L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 000000 000000 000000 000100 000000* -L0041280 000000 000000 000000 000000 000000 000000 000000 000000* -L0041328 000000 000010 000000 000000 000000 000000 000000 000000* -L0041376 000000 000001 000000 000000 000010 000000 000000 000000* -L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000111 00000000 10100011 00001011 00000010 00000000 00000001 00000000* -L0041536 00001011 00000000 01000011 00000011 00000010 00000000 00000001 00000000* -L0041600 00001111 00000000 10000011 10001011 00000000 00000000 00000001 00000000* -L0041664 00001011 00000000 01000011 00000101 00000000 00000000 00000011 00000000* -L0041728 00000101 00000000 00000001 00001111 00000001 00000001 00000011 00000000* -L0041792 00010011 00000000 00000001 00000111 00000001 00000000 00000010 00000000* -L0041856 00000011 00000000 11000001 10000011 00000001 00000011 00000001 00000000* -L0041920 00000001 00000000 11000011 00000011 00000001 00000011 00000011 00000000* -L0041984 00000001 00000001 00000011 00001011 00000001 00000001 00000011 00000000* -L0042048 000000 000000 000000 000000 000000 000000 000000 000000* -L0042096 000010 000000 000000 000001 000000 011110 000000 000000* -L0042144 000010 000000 000000 000000 000000 000000 000000 000000* -L0042192 000010 000000 100000 000001 000010 000000 000000 000000* -L0042240 000010 000000 100000 000000 000000 000000 000000 000000* -L0042288 000010 000000 000000 000001 000000 000000 000000 000000* -L0042336 00100011 00000000 00000101 00010111 00000010 00000000 00000001 00000000* -L0042400 00000011 00000000 00000010 00000011 00000010 00000000 00000001 00000000* -L0042464 00000011 00000000 00000011 00000001 00000000 00000000 00000001 00000000* -L0042528 00000011 00000000 00000011 00000001 00000000 00000000 00000011 00000000* -L0042592 00000001 00000000 00001001 00000001 00000000 00000000 00000011 00000000* -L0042656 10000011 01000000 00000000 00000011 00000000 00000000 00000010 00000000* -L0042720 00010011 00000000 00000001 00000011 00000001 00000000 00000001 00000000* -L0042784 00000000 00000000 00000011 00000001 00000001 00000000 00000011 00000000* -L0042848 00000001 00000000 00000011 10000011 00000001 00000001 00000011 00000000* -L0042912 000000 000000 000000 100000 000000 000000 000000 000000* -L0042960 010000 000000 000001 000000 000010 000000 000000 000000* -L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000000 000000 000000 000000 000000 000000 000000 000000* -L0043104 000000 000000 000000 110000 000000 000000 000000 000000* -L0043152 000000 000000 001000 000010 000000 000000 000000 000000* -L0043200 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* -L0043264 00000001 00000010 00000000 00000001 00000011 00000011 00000000 00000000* -L0043328 00000000 00000000 00000010 00000010 00000010 00000011 00000010 00000000* -L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000001 00000010 00000010 00000010 00000001 00000011 00000010 00000000* -L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043776 000000 000000 000000 000000 000000 000000 000000 000000* -L0043824 000000 000000 000000 000000 000000 000000 000000 000000* -L0043872 000000 000000 000000 000000 000000 000000 000000 000000* -L0043920 000000 000000 000000 000000 000000 000000 000000 000000* -L0043968 000000 000000 000000 000000 000000 000000 000000 000000* -L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000000 00000001 00000000 00000000 00000010 00000000* -L0044128 00000000 00000001 00000001 00000000 00000000 00000000 00000000 00000000* -L0044192 00000000 00000001 00000011 00000000 00000000 00000000 00000010 00000000* -L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000010 00000001 00000011 00000000 00000000 00000000 00000000 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044640 000000 000000 000000 000000 000000 000000 000000 000000* -L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 000000* -L0044784 000000 000000 000000 000000 000000 000000 000000 000000* -L0044832 000000 000000 000000 000000 000000 000000 000000 000000* -L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000011 00000010 00000010 00000011 00000000 00000000 00000011 00000000* -L0044992 00000001 00000000 00000000 00000001 00000001 00000000 00000001 00000000* -L0045056 00000010 00000000 00000011 00000000 00000010 00000000 00000010 00000000* -L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045184 00000011 00000010 00000010 00000000 00000011 00000000 00000010 00000000* -L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0045504 000000 000000 000000 000000 000000 000000 000000 000000* -L0045552 000000 000000 000000 000000 000000 000000 000000 000000* -L0045600 000000 000000 000000 000000 000000 000000 000000 000000* -L0045648 000000 000000 000000 000000 000000 000000 000000 000000* -L0045696 000000 000000 000000 000000 000000 000000 000000 000000* -L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000001 00000010 00000001 00000011 00000001 00000000 00000010 00000000* -L0045856 00000000 00000001 00000000 00000010 00000001 00000000 00000001 00000000* -L0045920 00000000 00000010 00000000 00000000 00000011 00000000 00000010 00000000* -L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000001 00000000 00000010 00000010 00000000 00000001 00000000* -L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046368 000000 000000 000000 000000 000000 000000 000000 000000* -L0046416 000000 000000 000000 000000 000000 000000 000000 000000* -L0046464 000000 000000 000000 000000 000000 000000 000000 000000* -L0046512 000000 000000 000000 000000 000000 000000 000000 000000* -L0046560 000000 000000 000000 000000 000000 000000 000000 000000* -L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000000 00000001 00000000 00000010 00000001 00000010 00000000 00000000* -L0046720 00001010 00000010 00000010 00000000 00000000 00001000 00000010 00000000* -L0046784 00001000 00000000 00000000 00000010 00000010 00001010 00000000 00000000* -L0046848 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 01001000 00000011 00000000 00000000 00000011 00000000 00000000 00000000* -L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047040 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0047104 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000000 000000 000000 000000 000000 000000 000000 000000* -L0047328 010000 000000 000000 000000 000000 000001 000000 000000* -L0047376 010000 000000 000000 000000 000010 000000 000000 000000* -L0047424 000000 000000 000000 000000 000010 000000 000000 000000* -L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000001 00000000 00000000 00000000 00000000 00000010 00000001 00000000* -L0047584 00000000 00000000 00000000 00000000 00000011 00010000 00000000 00000000* -L0047648 00000011 00000010 00000010 00000000 00000000 00010010 00000011 00000000* -L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000010 00000000 00000000 00000011 00000010 00000000 00000000* -L0047840 00001000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0047904 10000000 00000000 00000000 00100000 00000000 00000100 00000000 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0048032 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 000000 000000 000000* -L0048144 000010 000000 000000 000000 000010 000000 000000 000000* -L0048192 000000 000000 000000 000000 000010 000000 000000 000000* -L0048240 000000 000000 000000 000000 000000 000000 000000 000000* -L0048288 000000 000000 000000 000000 000000 000001 000000 000000* -L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000001 00010000 00000001 00000011 00000001 00000000 00000011 00000010* -L0048448 00000000 00000000 00000000 00000000 00000010 00000011 00000010 00000010* -L0048512 00000000 00000011 00000000 00000001 00000001 00000011 00000000 00000010* -L0048576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048640 00000011 00000011 00000001 00000011 00000011 00000010 00000011 00000000* -L0048704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048960 000000 000000 000000 000000 000000 000000 000000 000000* -L0049008 000000 000000 000000 000000 000000 000000 000000 000000* -L0049056 000000 000000 000000 000000 000000 000000 000000 000000* -L0049104 000000 000000 000000 000000 000000 000000 000000 000000* -L0049152 000000 000000 000000 000000 000000 000000 000000 000000* -L0049200 000000 000000 000000 000000 000000 000000 000000 000000* -L0049248 00000010 00000000 00000011 00000011 00000010 00000001 00000010 00000010* -L0049312 00000000 00000000 00000001 00000010 00000000 00000011 00000010 00000000* -L0049376 00000000 00000010 00000000 00000001 00000000 00000010 00000001 00000000* -L0049440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049504 00000000 00000010 00000001 00000010 00000000 00000011 00000001 00000010* -L0049568 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 000000 000000 000000 000000 000000 000000 000000 000000* -L0049920 000000 000000 000000 100000 000000 000000 000000 000000* -L0049968 000000 000000 000000 000000 000000 000000 000000 000000* -L0050016 000000 000000 000000 000000 000000 000000 000000 000000* -L0050064 000000 000000 000000 000000 000000 000000 000000 000000* -L0050112 00001000 00000010 00010010 00000010 10001000 00000010 01000000 00000000* -L0050176 10000000 00000000 00010001 00000000 10001010 00000000 01000010 00000000* -L0050240 00000110 00000010 00010001 00010001 10000001 00000000 00000001 00000000* -L0050304 10000100 00000000 00011100 00000000 10000000 00000000 00000000 00000000* -L0050368 00000110 00000000 00010111 00000010 10000010 00000010 00000000 00000000* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0050496 00000000 00000000 01101000 00000000 00000000 00000000 00000000 00000000* -L0050560 00000000 00000000 01101100 00000000 10000000 00000000 00000000 00000000* -L0050624 00000000 00000000 00101000 00000000 10000000 00000000 00000000 00000000* -L0050688 000000 000000 001011 000000 100000 000000 000000 000000* -L0050736 000000 000000 000100 000000 000000 000000 000000 000000* -L0050784 000000 000000 000101 000000 000000 000000 000000 000000* -L0050832 000000 000000 000111 010000 000000 000000 000000 000000* -L0050880 000000 000000 001111 000000 000001 000000 000000 000000* -L0050928 000000 000000 000101 000000 000001 000000 000000 000000* -L0050976 00000000 00000010 00000001 00000001 00000010 00000000 00000000 00000000* -L0051040 00000000 00000001 00000010 00000000 00000001 00000000 00000000 00000000* -L0051104 00000000 00000010 00000011 00000111 00000010 00000000 00000010 00000000* -L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051232 00000010 00000011 00000011 00000111 00000011 00000000 00000010 00000000* -L0051296 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051552 000000 000000 000000 000000 000000 000000 000000 000000* -L0051600 000000 000000 000000 000000 010000 000000 000010 000000* -L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000000 000000 100000 000000 000000 000000 000000 000000* -L0051744 000000 000000 100000 000000 000000 000000 000000 000000* -L0051792 000000 000000 100000 000000 000000 000000 000000 000000* -L0051840 00000010 00000010 00000001 00000000 00000010 00000001 00000011 00000000* -L0051904 00010000 00011100 00000010 00000000 00000000 00000001 00000011 00000000* -L0051968 01000001 00001101 00000010 00000010 00000000 00000000 00000000 00000000* -L0052032 00000000 00000000 00010000 00100000 00000000 00000000 00000000 00000000* -L0052096 00010011 00000011 00000011 00000000 00000010 00000001 00000011 00000000* -L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0052352 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0052416 000100 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 100000 000000 000000 000000* -L0052512 001001 000010 000000 000000 000000 000000 000000 000000* -L0052560 001100 000010 000010 000000 000000 000000 000000 000000* -L0052608 000101 000000 000000 000000 000000 000000 000000 000000* -L0052656 000101 000000 000000 000000 000000 000000 000000 000000* -L0052704 00010000 00100000 10000001 00000010 00000000 00000010 10000010 00000000* -L0052768 00001000 00000000 11000000 00000000 00000100 00000000 00000000 00000000* -L0052832 00011001 00000001 01000000 00000000 10000101 00000000 00000000 00000000* -L0052896 01111000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0052960 11001010 00000001 00000001 00000010 10000100 00000010 00000000 00000000* -L0053024 01000000 00100000 00000000 00000000 00001100 00000000 00001000 00000000* -L0053088 01000000 00000000 00000000 00000000 00001100 00000000 00000000 00000000* -L0053152 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0053216 00010100 00000000 00000000 00000000 10001100 00000000 00000000 00000000* -L0053280 000000 000000 000000 000000 100001 000000 000000 000000* -L0053328 001101 010000 000000 000100 000001 000000 100000 000000* -L0053376 100100 000000 000000 000000 000001 000000 000000 000000* -L0053424 000001 000000 000000 000000 000001 000000 000010 000000* -L0053472 110000 000000 000000 000000 000001 000000 000000 000000* -L0053520 100000 000000 000000 000000 000001 000000 000000 000000* -L0053568 00000000 00000000 00000001 00000010 00000000 00000000 00000010 00000000* -L0053632 00000000 00000001 00000010 00000010 00000001 00000000 00000011 00000010* -L0053696 00000000 00000010 00000010 00000000 00000000 00000000 00000001 00000000* -L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000000 00000011 00000011 00000010 00000001 00000000 00000010 00000010* -L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 000000 000000 000000 000000 000000* -L0054192 000000 000000 000000 000000 000000 000000 000000 000000* -L0054240 000000 000001 000000 000000 000010 000000 000000 000000* -L0054288 000000 000001 000000 000000 000010 000000 000000 000000* -L0054336 000000 000001 000000 000000 000010 000000 000000 000000* -L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000010 00000000 00000010 00000010 00000010 00000000 00000010 00000000* -L0054496 00000010 00000010 00000000 00000010 00000000 00000000 00000010 00000000* -L0054560 00000000 00000001 00000010 00000000 00000000 00000000 00000000 00000000* -L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000000 00000011 00000010 00000010 00000010 00000000 00000010 00000000* -L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055008 000000 000000 000000 000000 000000 000000 000000 000000* -L0055056 000000 000000 000000 000000 000000 000000 000000 000000* -L0055104 000000 000000 000000 000000 000000 000000 000000 000000* -L0055152 000000 000000 000000 000000 000000 000000 000000 000000* -L0055200 000000 000000 000000 000000 000000 000000 000000 000000* -L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000001 00000000 00000011 00000001 00000010 00000001 00000000 00000001* -L0055360 00000001 00000010 00000000 00000000 10001000 00000000 00000000 00000001* -L0055424 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* -L0055488 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0055552 00000010 00000010 00000011 00000011 00000000 00000001 00000000 00000000* -L0055616 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* -L0055680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0055808 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 100000 000000 000000 000000* -L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 000000 000000 000000* -L0056016 000000 000000 000000 000000 000000 000000 000000 000000* -L0056064 000000 000000 000000 000000 000000 000000 000000 000000* -L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000001 00000000 00000000 00000010 00000000 00000001 00000010 00000000* -L0056224 00001000 00000010 00000010 00000000 00000010 00000001 00000010 00000000* -L0056288 00011010 00000010 00000000 00000010 00000000 00000001 00000001 00000000* -L0056352 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 01011010 00000010 00000000 00000010 00000010 00000000 00000011 00000000* -L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056608 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056672 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056736 000100 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 100000 000000 001000 000000* -L0056832 000000 000000 000000 000000 000000 000000 000000 000000* -L0056880 001001 000000 000000 000000 000000 000000 000000 000000* -L0056928 000001 000000 000000 000000 000000 000000 000000 000000* -L0056976 100101 000000 000000 000000 000000 000000 000000 000000* -L0057024 00100001 00000000 00000111 00000001 00000011 00000100 00110011 00000000* -L0057088 00000000 00000001 00000001 00000001 00000010 00000011 00000011 00000001* -L0057152 00000000 00000000 00000000 00000000 00000010 00000011 00000000 00000000* -L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000001 00001010 00001000 00000010 00000011 01000011 00000001* -L0057344 10000000 00000000 00000000 00000100 00000000 00100000 00010000 00000000* -L0057408 00010000 00000000 00000000 11000100 00000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057600 000000 000000 000000 000001 000000 000000 000010 000000* -L0057648 000000 000000 000001 000000 000000 010000 000000 000000* -L0057696 000000 001000 000000 000010 000100 000000 000100 000000* -L0057744 000000 000000 000000 000000 000000 000000 000100 000000* -L0057792 000000 000000 000000 000000 000000 000000 000100 000000* -L0057840 000000 000000 001000 001100 000000 000000 000000 000000* -L0057888 00001001 00000001 00000000 00000010 00000000 00000010 00000001 00000000* -L0057952 00000100 00000011 10000010 00000000 00000000 00000010 01000101 00000000* -L0058016 00000011 00000000 01000010 00001000 00000000 00000010 00010100 00000000* -L0058080 00000100 00000000 10000000 00001000 00000000 00000000 00000100 00000000* -L0058144 00001010 00000011 01000010 00100010 00000000 00000010 00010101 00000000* -L0058208 00001000 00000000 10000000 10000000 00000000 00000000 00000000 00000000* -L0058272 00001000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0058336 00001100 00000000 00000000 00000000 00000000 00000000 00110000 00000000* -L0058400 00001100 00000000 10000000 00100100 00000000 00000000 00001100 00000000* -L0058464 000010 000000 100000 000000 000000 000000 001000 000000* -L0058512 000000 000000 000000 000001 000000 000000 000000 000000* -L0058560 000000 000000 000000 000001 000000 000000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 001000 000000* -L0058656 000000 000000 000000 000100 000000 000000 000000 000000* -L0058704 000000 000000 100000 000001 000000 000000 011001 000000* -L0058752 00000000 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0058816 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000000* -L0058880 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000000* -L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000001 00000000 00000000 00000001 00000000 00000000* -L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0059200 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 011000 000000 000000* -L0059424 000000 000000 000000 000000 000000 000000 000000 000000* -L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000000 000000 000000 000000* -L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000011 00000010 00000011 00000000 00000000 10000000 00000000* -L0059680 00000001 00000011 00000000 00000010 00000001 00000001 00000000 00000000* -L0059744 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* -L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000011 00000010 00000010 00000001 00000000 00000000 00000000* -L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0060000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060192 000000 000000 000000 000000 000000 000000 000000 000000* -L0060240 000000 000000 000000 000000 000000 000000 100000 000000* -L0060288 000000 000000 000000 000100 000000 000000 000000 000000* -L0060336 000000 000000 000000 000000 000000 000000 000010 000000* -L0060384 000000 000000 000000 000000 000000 000000 000000 000000* -L0060432 000000 000000 000000 000000 000000 000000 000000 000000* -L0060480 00000001 00000000 00000001 00100010 00000000 00000000 01000101 00000000* -L0060544 00000000 00000000 00000000 00100000 00000010 00000001 01110101 00000000* -L0060608 00000001 00000000 00000001 00110101 00000011 00000001 00110000 00000001* -L0060672 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0060736 00000000 00000000 00000000 00100000 00000010 00000001 00010101 00000000* -L0060800 00000000 00000000 00000000 01010100 00000000 00000000 00000000 00000000* -L0060864 00000000 00000000 00000000 01010100 00000000 00000000 00101000 00000000* -L0060928 00000000 00000000 00000000 01110000 00000000 00000000 00101000 00000000* -L0060992 00000000 00000000 00000000 01110000 00000000 00000000 00111000 00000000* -L0061056 000000 000000 000000 000100 000000 000000 001001 000000* -L0061104 000000 000000 000010 000001 000100 000000 000000 000000* -L0061152 000000 000000 000010 000001 000100 000000 000000 000000* -L0061200 000000 000000 000000 010000 000000 000000 001000 000000* -L0061248 000000 000000 000000 001000 000000 000000 001000 000000* -L0061296 000000 000000 000000 001000 000000 000000 001100 000000* -L0061344 00000000 00000010 00000001 00000000 00000000 00000001 00000000 00000000* -L0061408 00000010 00000010 00000010 00010011 00000000 00000001 00000000 00000000* -L0061472 00000001 00000000 00000000 10000000 00000000 00000000 00000001 00000000* -L0061536 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00000001 10000000 00000000 00000001 00000001 00000000* -L0061664 00000000 00000000 00001000 00000000 00000000 00000000 01000000 00000000* -L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061856 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0061920 000000 000000 000000 000010 000000 000000 000000 000000* -L0061968 000000 000000 000000 001010 000000 000000 000000 000000* -L0062016 000000 000000 000000 000000 000000 000000 000000 000000* -L0062064 000000 000000 000000 000000 000000 000000 000000 000000* -L0062112 000000 000000 000000 000000 000000 000000 000001 000000* -L0062160 000000 000000 000000 000000 000000 000000 000001 000000* -L0062208 00000001 00000010 10000000 00000011 10001010 00000000 00000001 00000000* -L0062272 00000000 00000001 11000000 00010011 10001000 00000000 00000001 00000000* -L0062336 00000000 00000001 11000000 00010000 10000000 00000000 00000000 00000000* -L0062400 00000000 00000000 11000000 00010000 10000000 00000000 00000000 00000000* -L0062464 00000000 00000011 01000000 00010011 10000010 00000001 00000001 00000000* -L0062528 00000000 00000100 10000000 00010000 00000000 00000000 00000000 00000000* -L0062592 00000000 00001000 10000000 00010000 00000000 00000000 00000000 00000000* -L0062656 00000000 00010000 10000000 00110000 10000000 00000000 00000000 00000000* -L0062720 00000000 00000000 10000000 00110000 10000000 00000000 00000000 00000000* -L0062784 000000 000000 100000 000100 100000 000000 000000 000000* -L0062832 000000 000000 000000 001000 000000 000000 000000 000000* -L0062880 000000 000000 000000 001000 000000 000000 000000 000000* -L0062928 000000 000100 100000 001000 000000 000000 000000 000000* -L0062976 000000 000000 100000 001000 000001 000000 000000 000000* -L0063024 000000 000000 100000 001000 000001 000000 000000 000000* -L0063072 00000001 00000010 00000001 00000001 00000011 00000000 00000001 00000000* -L0063136 00000000 00000000 00000001 00000011 00000001 00000000 00000010 00000000* -L0063200 00000001 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063328 00000001 00000010 00000000 00000001 00000010 00000000 00000001 00000000* -L0063392 00000000 00000000 00000100 00000000 01000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063648 000000 000000 000000 000000 000000 000000 000000 000000* -L0063696 000000 000000 000000 010000 000000 000000 000000 000000* -L0063744 000000 000000 000000 000000 000000 000000 000000 000000* -L0063792 000000 000000 001000 000000 000000 000000 000000 000000* -L0063840 000000 000000 000000 000000 000000 000000 000000 000000* -L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000010 00000010 00000010 00000010 00000010 00000010 00000101 00000000* -L0064000 00000000 00000001 00000000 00000000 00000001 00000000 00011101 00000001* -L0064064 00000010 00000000 00000010 00000010 00000011 00000010 01011100 00000000* -L0064128 00000000 00000000 00000000 00000000 00000000 00000000 01011100 00000000* -L0064192 00000000 00000011 00000000 00000000 00000001 00000000 01011101 00000001* -L0064256 00000100 00000000 00000000 00000000 00000000 01000000 01000100 00000000* -L0064320 00000000 00000000 00010000 00000000 00000000 00000000 01101100 00000000* -L0064384 00000000 00000000 00000000 00000000 00000000 00000000 01101100 00000000* -L0064448 00000000 00000000 00000000 00000000 00000000 00000000 01111100 00000000* -L0064512 000000 000000 000000 000000 000000 000000 011001 000000* -L0064560 000000 000000 000000 000000 000000 000000 000000 000000* -L0064608 010000 000010 000000 000000 000000 000000 000100 000000* -L0064656 000000 000010 000000 000000 000000 000000 011101 000000* -L0064704 000000 000000 000000 000000 000000 000000 001101 000000* -L0064752 000000 000000 000000 000000 000000 000000 001101 000000* -L0064800 00000001 00000000 00000000 00000000 00000001 00000001 00000000 00000000* -L0064864 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064928 00000000 00000001 00000001 00000000 00000001 00000001 00000001 00000000* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000001 00000001 00000000 00000000 00000001 00000001 00000000 00000000* -L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 000000 000000 000000 000010 000000* -L0065424 000000 000010 000000 000000 000000 000000 000000 000000* -L0065472 000000 000000 000000 000000 000000 000000 000001 000000* -L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000000 000000 000000 000000 010000 000000* -L0065616 000000 000000 000000 000000 000000 000000 010000 000000* -L0065664 00010000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065728 10010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0065792 00000100 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0065856 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065920 00010000 00000000 00000000 00000000 00000000 00000001 00000000 00000001* -L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066048 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066112 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066176 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000100 000000 000000 000000 000000 000000 000000 000000* -L0066288 000000 000000 000000 000000 000000 000000 000000 000000* -L0066336 100101 000000 001000 000000 000000 000000 000000 000000* -L0066384 100000 000000 000000 000000 000000 000000 000000 000000* -L0066432 110100 000000 000000 000000 000000 000000 000000 000000* -L0066480 110001 000000 000000 000000 000000 000000 000000 000000* -L0066528 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066592 01001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0066656 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066720 11101000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066784 11101100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066976 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067040 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 101101 000000 001000 000000 000100 000000 000000 000000* -L0067200 001000 000000 000000 000000 000000 000000 000000 000000* -L0067248 011101 000000 000000 000000 000000 000000 000000 000000* -L0067296 000001 000000 000000 000000 000000 000000 000000 000000* -L0067344 000100 000000 000000 000000 000000 000000 000000 000000* -L0067392 00000010 00000010 00000010 00000010 10001010 00000010 00000010 00000010* -L0067456 00000010 00000000 00000010 00000010 10001010 00000000 00000010 00000000* -L0067520 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067584 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067648 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067712 00000000 00000100 10000000 00000000 00000000 00000000 00000000 00000000* -L0067776 00000010 00001010 10000010 00000010 00000010 00000010 00000010 00000010* -L0067840 00000000 00010000 00000000 00000000 10000000 00000000 00000000 00000000* -L0067904 00000000 00000000 10000000 00000000 10000000 00000000 00000000 00000000* -L0067968 000000 000000 000000 000000 100000 000000 000000 000000* -L0068016 000000 000000 000000 000000 000000 000000 000000 000000* -L0068064 000000 000000 100000 000000 000000 000000 000000 000000* -L0068112 000000 000100 100000 000000 000000 000000 000000 000000* -L0068160 000000 000000 000000 000000 000001 000000 000000 000000* -L0068208 000000 000000 000000 000000 000001 000000 000000 000000* -L0068256 00000000 00000000 10000000 00000000 01000000 00000000 00000000 00000000* -L0068320 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0068448 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0068512 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068832 000000 000000 100000 000000 000000 000000 000000 000000* -L0068880 000000 000000 100000 000000 000000 000000 000000 000000* -L0068928 000000 000000 000000 000000 000000 000000 010000 000000* -L0068976 000000 000000 000000 000000 000000 000000 000000 000000* -L0069024 000000 000000 100000 000000 000000 000000 000000 000000* -L0069072 000000 000000 100000 000000 000000 000000 000000 000000* -L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069696 000000 000000 000000 000000 000000 000000 000000 000000* -L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000000 000000 000000 000000 000000 000000 000000 000000* -L0069840 000000 000001 000000 000000 000000 000000 000000 000000* -L0069888 000000 000001 000000 000000 000000 000000 000000 000000* -L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000001 000000 000000 000000 000000 000000 000000* -L0070656 000000 000001 000000 000000 000000 000000 000000 000000* -L0070704 000000 000000 000000 000000 000000 000000 000000 000000* -L0070752 000000 000000 000000 000000 000000 000000 000000 000000* -L0070800 000000 000000 000000 000000 000000 000000 000000 000000* -L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070912 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071232 00000000 00000000 00010100 00000000 00000000 00001000 00000000 00000000* -L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 000000 000000 110000 000000 000000 000000 000000 000000* -L0071520 000000 000000 100000 011000 000000 000000 000000 000000* -L0071568 000000 000000 000000 001000 000000 000000 000000 000000* -L0071616 000000 000000 000000 000000 000000 000000 000000 000000* -L0071664 000000 000000 000000 000000 000000 000000 000000 000000* -L0071712 00010000 00000000 10010000 00000000 00000000 00000100 00000000 00000000* -L0071776 01011000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* -L0071840 01011000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* -L0071904 01111000 00000000 11011000 00000000 00000000 00000000 00000000 00000000* -L0071968 11111000 00000000 01011000 00000000 00000000 00000000 00000000 00000000* -L0072032 01000000 00000000 10000000 00000000 00000000 00001000 00000000 10000000* -L0072096 01000000 00000000 10101000 00000000 00000000 00000000 00000000 00000000* -L0072160 01010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* -L0072224 00010100 00000000 10101000 00000000 00000000 00000000 00000000 00000000* -L0072288 000100 000000 101010 000000 000000 000000 000000 000000* -L0072336 101101 000000 000100 000000 000000 000000 000000 000000* -L0072384 101101 000000 000100 000000 000000 000000 000000 000000* -L0072432 101101 000000 101100 000000 000000 000000 000000 000000* -L0072480 110101 000000 101100 000000 000000 000000 000000 000000* -L0072528 110101 000000 101100 000000 000000 000000 000000 000000* -L0072576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073152 000000 000000 000000 000000 000000 000000 000000 000000* -L0073200 000000 000000 000000 000000 000000 001000 000000 000000* -L0073248 000000 000000 000000 000000 000000 000000 000000 000000* -L0073296 000000 000000 000000 000000 000000 000000 000000 000000* -L0073344 000000 000000 000000 000000 000000 000000 000000 000000* -L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0073504 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073568 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073696 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073888 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073952 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074016 000100 000000 000000 000000 000000 000000 000000 000000* -L0074064 001101 000000 000000 000000 000000 000000 100000 000000* -L0074112 001101 000000 000000 000000 000000 000000 000000 000000* -L0074160 001101 000000 000000 000000 000000 000000 000010 000000* -L0074208 100101 000000 000000 000000 000000 000000 000000 000000* -L0074256 100101 000000 000000 000000 000000 000000 000000 000000* -L0074304 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0074368 00010000 00000000 00000000 00000000 00000000 00001000 00011000 00000000* -L0074432 01000000 00000000 00000000 00000000 00000000 00001000 01011000 00000000* -L0074496 00000000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* -L0074560 00010000 00000000 00000000 00000000 00000000 00000000 01011000 00000000* -L0074624 00000000 00000100 00000000 00010000 00000000 00000000 01000000 00000000* -L0074688 00000000 00011000 00000000 00000000 00000000 00010000 01101000 00000000* -L0074752 00000000 00010000 00000000 01000000 00000000 00000000 01101000 00000000* -L0074816 00000000 00000000 00100000 00000000 00000000 00000000 01111000 00000000* -L0074880 000100 000000 000000 000000 000000 000000 011000 000000* -L0074928 000000 000000 000000 000000 000000 000001 000001 000010* -L0074976 001001 000100 000001 000000 000000 000000 000100 000000* -L0075024 001100 000100 000100 000000 000000 000000 011100 000000* -L0075072 000101 000000 000000 000000 000000 000000 001100 000000* -L0075120 000101 000000 000000 000000 000000 000000 001100 000000* -L0075168 00010000 00000000 10000000 00000000 00000000 00001000 00000000 00000000* -L0075232 00001000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* -L0075296 00011000 00000000 11000000 00000000 00000000 00010000 00000000 00000000* -L0075360 01011000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0075424 01001000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0075488 00000000 00000000 00000000 00000000 10000000 00010000 00100000 00000000* -L0075552 00000000 00000000 00000000 00000000 00000000 00000100 00010000 00000000* -L0075616 00010100 00000000 00000000 00000000 00000000 00000100 00010000 00000000* -L0075680 00010100 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000000 000000 000000* -L0075792 001101 000000 000000 000000 000000 000000 000000 000000* -L0075840 000100 000000 000000 000000 000000 000000 000000 000000* -L0075888 000001 000000 000000 000000 000000 000000 000001 000000* -L0075936 100000 000000 000000 000000 000000 000001 010000 000000* -L0075984 100000 000000 000000 000000 000000 000000 010000 000000* -L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00011100 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00000000 00001100 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000010 000000 000000 000000 000000 000000 000000* -L0076752 000000 000010 000000 000000 000000 000000 000000 000000* -L0076800 000000 000000 000000 000000 000000 000000 000000 000000* -L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00010000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0076960 00011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077024 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077088 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077152 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* -L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077344 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077408 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 001101 000000 000000 000000 000000 000000 000000 000000* -L0077568 001101 000000 000000 000000 000000 000000 000000 000000* -L0077616 000100 000000 000000 000000 000000 000000 000010 000000* -L0077664 100100 000000 000000 000000 000000 000000 000000 000000* -L0077712 000000 000000 000000 000000 000000 000000 000000 000000* -L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000000 000000 000000 000000 011000 000000 000000* -L0078432 000000 000000 000000 000000 000000 000000 000000 000000* -L0078480 000000 000000 000000 000000 000000 000000 000000 000000* -L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079008 00000000 00000000 00000000 00000000 10000000 00000000 10000000 00000000* -L0079072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079200 000000 000000 000000 000000 000000 000000 000000 000000* -L0079248 000000 000000 000000 000000 000000 000000 000000 000000* -L0079296 000000 000000 000010 000000 000000 000000 000000 000000* -L0079344 000000 000000 000000 000000 000000 000000 000000 000000* -L0079392 000000 000000 000000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000000 000000 000000 000000 000000 000000* -L0079488 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* -L0079552 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00001000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079680 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079936 00000000 00000000 00000000 00100000 00000100 00000000 00000000 00000000* -L0080000 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0080064 000000 000000 000000 000000 000001 000000 000000 000000* -L0080112 000000 000000 000000 000000 000000 100000 000000 000000* -L0080160 000000 000010 000000 000000 000011 000000 000000 000000* -L0080208 000000 000010 000000 000000 000000 000000 000000 000000* -L0080256 000000 000000 000000 000000 000000 000000 000000 000000* -L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080416 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080480 01011000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080544 01111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080608 11111000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080672 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0080736 01000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0080800 01010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00010100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000100 000000 000000 000000 000000 000000 000000 000000* -L0080976 101101 000000 000000 000000 000001 000000 000000 000000* -L0081024 101101 000000 000000 000000 000000 000000 000000 000000* -L0081072 101101 000000 000000 000000 000011 000000 000000 000000* -L0081120 110101 000000 000000 000000 000010 000000 000000 000000* -L0081168 110101 000000 000000 000000 000000 000000 000000 000000* -L0081216 00010000 00000000 10000000 00001000 00000000 00000000 00000000 00000000* -L0081280 01010000 00000000 11000100 00001000 00000000 00001000 00000000 00000000* -L0081344 01010000 00000000 11000000 00000100 00000000 00001000 00001000 00000000* -L0081408 00110000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0081472 10110000 00000000 01000000 00000100 00000000 00000000 00000000 00000000* -L0081536 01000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0081600 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081664 01010000 00000000 10000000 00000100 00000000 00000000 01000000 00000000* -L0081728 00010000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0081792 000100 000000 100000 000000 000000 000000 000000 000000* -L0081840 101101 000000 000000 000000 000100 000000 000000 000000* -L0081888 101101 000000 000000 000000 000100 000000 000100 000000* -L0081936 101101 000000 000000 000101 000000 000000 000000 000000* -L0081984 110101 000000 100000 001001 000000 000000 000000 000000* -L0082032 110101 000000 100000 000000 000000 000001 000000 000000* -L0082080 00000000 00000000 00000000 00100000 00000000 00010000 00000000 00000000* -L0082144 00000000 00000000 00000000 00100000 00000000 00000000 10000000 00000000* -L0082208 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0082272 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0082336 00000000 00000000 00000000 00100000 00000000 00000000 00010000 00000000* -L0082400 00010000 00000000 10000000 00010100 00000000 00000000 00001000 00000000* -L0082464 00000000 00000000 10000000 00010100 00000000 00000100 00010000 00000000* -L0082528 00000000 00000000 00000000 01110000 00000000 00000100 00010000 00000000* -L0082592 00000000 00000000 10000100 01110000 00000000 00000000 00010000 00000000* -L0082656 000000 000000 001000 000100 000000 000000 000010 000000* -L0082704 000000 000000 000000 000000 000000 000000 000000 010000* -L0082752 000000 000000 000000 000000 000000 000000 000000 000000* -L0082800 000000 000000 100000 000000 000000 000000 000010 000000* -L0082848 000000 000000 000000 000000 000000 000000 010000 000000* -L0082896 000000 000000 000100 000000 000000 000000 010000 000000* -L0082944 00011000 00000000 10010000 00000000 00000000 00000000 00000000 00000000* -L0083008 10010000 00000000 11010000 00000000 00000000 00000000 00000000 00000000* -L0083072 01010100 00000000 11010100 00100000 00000000 00000000 00000000 00000000* -L0083136 10010100 00000000 11010000 00000000 00000000 00000000 00000000 00000000* -L0083200 00010100 00000000 01010000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0083328 00000100 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0083392 00010000 00000000 10100000 00000000 00000000 00000000 00000000 00000000* -L0083456 00010000 00000000 10100000 00010000 00000000 00000000 00000000 00000000* -L0083520 000100 000000 101000 000000 000000 000000 000000 000000* -L0083568 001101 000000 110000 000000 000000 000000 000000 000000* -L0083616 001101 000000 100000 000000 000000 000000 000000 000000* -L0083664 011101 000000 101000 000000 000000 000000 000000 000000* -L0083712 100101 000000 101000 000000 000000 000000 000000 000000* -L0083760 100101 000000 101000 000000 000000 000000 000000 000000* -L0083808 10000000 00000000 00001000 00000000 00000000 00000000 10000000 00000000* -L0083872 00101000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0083936 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084000 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084064 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00000000 01000000 00001000 00000000* -L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084256 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084320 00000100 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 001000 000000 000000 000000 100000 000000* -L0084480 000000 000000 001000 000000 000000 000000 000000 000000* -L0084528 000000 000000 000000 000000 000000 000000 000010 000000* -L0084576 000000 000000 000000 000000 000000 000000 000000 000000* -L0084624 000000 000000 000000 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0084736 00010000 00000000 00000000 00000000 00000000 00000000 00010100 00000000* -L0084800 01000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084928 00010000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0084992 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000100 00000000 00000000 00100000 00000000* -L0085120 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0085184 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* -L0085248 000100 000000 000000 000000 000000 000000 001001 000000* -L0085296 000000 000100 000000 000001 000000 000000 000000 000000* -L0085344 001001 000000 000000 000001 000000 000000 000000 000000* -L0085392 001100 000000 000000 000000 000000 000000 001000 000000* -L0085440 000101 000000 000000 000000 000000 000000 001000 000000* -L0085488 000101 000000 000000 000000 000000 000000 001000 000000* -L0085536 00010000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085600 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085664 00011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085728 01011000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085792 01001000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0085856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085920 00000000 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0085984 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0086048 00010100 00000000 00000000 00000000 00000000 00000000 01010000 00000000* -L0086112 000000 000000 000000 000000 000000 000000 010000 000000* -L0086160 001101 000000 000000 000000 000000 000010 000000 000000* -L0086208 000100 000000 000000 000000 000000 000000 000000 000000* -L0086256 000001 000000 000000 000010 000000 000000 000000 000000* -L0086304 100000 000000 000000 000000 000000 000000 000000 000000* -L0086352 100000 000000 000000 000000 000000 000000 000000 000000* -L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086464 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086592 01001000 00000000 00001000 00000000 00000000 00000000 00000100 00000000* -L0086656 01001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086848 00000100 00000000 00000100 00000000 00000000 00000000 00000100 00000000* -L0086912 00000100 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0086976 000000 000000 000000 000000 000000 000000 000000 000000* -L0087024 000000 000000 000000 000000 000100 000000 000000 000000* -L0087072 010000 000000 000000 000000 000100 000000 000000 000000* -L0087120 010000 000000 000000 000000 000000 000000 000000 000000* -L0087168 000000 000000 001000 000000 000000 000000 000001 000000* -L0087216 000000 000000 000000 000000 000000 000000 000001 000000* -L0087264 00000000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0087328 00000000 00000000 11000000 00000000 00000000 00000000 00000000 00000000* -L0087392 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* -L0087456 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* -L0087520 00000000 00000000 01000000 10000000 00000000 00000000 00001000 00000000* -L0087584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087648 00001000 00000000 10000000 00000000 00000000 00000000 00000000 00000000* -L0087712 10000000 00000000 10000000 00000100 00000000 00000000 00000000 00000000* -L0087776 00000000 00000000 10000000 10000100 00000000 00000000 00000000 00000000* -L0087840 000000 000000 100000 100001 000000 000000 000000 000000* -L0087888 000000 000000 000000 000010 000000 000000 000000 000000* -L0087936 000010 000000 000000 000000 000000 000000 000000 000000* -L0087984 000000 000000 000000 000110 000000 000000 000000 000000* -L0088032 000000 000000 000000 000110 000000 000000 000000 000000* -L0088080 000000 000000 000000 000110 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0088192 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0088256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* -L0088512 00000000 00011000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088576 00000000 00010000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000010* -L0088800 000000 000100 000000 000000 000000 000000 000000 000000* -L0088848 000000 000100 000000 000000 000000 000000 000000 000000* -L0088896 000000 000000 000000 000000 000000 000000 000000 000000* -L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0089504 00000000 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000000 000000 000000 000000 000000 000000* -L0089664 000000 000000 000000 000000 000000 000000 000000 000000* -L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 000000 000000 000001 000000 000000 000000* -L0089808 000000 000000 000000 000000 000000 000000 000000 000000* -L0089856 00001000 00000000 10000000 00000000 00000000 00000000 00000100 00000000* -L0089920 10000000 00000100 10000000 00000000 00000000 00000000 00110100 00000000* -L0089984 00000100 00000100 10000000 00000000 00000000 00000000 00010000 00000000* -L0090048 10000100 00000000 10000100 00000000 00000000 00000000 00000100 00000000* -L0090112 00000100 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 10100000 10000000 00000000 00000000* -L0090240 00000000 00000000 01100000 00000000 00000000 00000000 00101100 00000000* -L0090304 00000000 00000000 00100000 00000000 00000000 00000000 00100000 00000000* -L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0090432 000000 000000 000000 000000 000000 000000 000000 000000* -L0090480 000000 000000 000100 000000 000000 000000 000000 000000* -L0090528 000000 000000 000100 000000 000000 000000 000000 000000* -L0090576 000000 000000 000000 000000 000000 000000 011000 000000* -L0090624 000000 000000 000000 000000 000000 000000 000000 000000* -L0090672 000000 000000 000000 000000 000000 000000 000100 000000* -L0090720 00000000 00000100 00000000 00000000 00000000 00000000 01000000 00000000* -L0090784 00000000 00000000 01000000 00000000 00000000 00000000 01000000 00000000* -L0090848 00000000 00000000 01000000 00000000 00000000 00000000 01100100 00000000* -L0090912 00000000 00000000 01000000 00000000 00000000 00000000 01010000 00000000* -L0090976 00000000 00000000 01010000 00000000 00000000 00000000 00010100 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0091104 00000000 00000000 10000000 00000000 10100000 00000000 00000000 00000000* -L0091168 00000000 00000000 11000000 00000000 00000000 00000000 00001000 00000000* -L0091232 00000000 00000000 10000000 00000000 00000000 00000000 00101000 00000000* -L0091296 000000 000000 100010 000000 000000 000000 001001 000000* -L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 000000 000000 000000 000000* -L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 000000 000000 000010 000000 000000 000000 001000 000000* -L0091536 000000 000000 000000 000000 000000 000000 001000 000000* -L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091648 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0091712 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092160 000000 000000 000000 000000 000000 000000 000000 000000* -L0092208 000000 000000 000000 000000 000000 000001 000000 000000* -L0092256 000000 000000 000000 000000 000000 000001 000000 000000* -L0092304 000000 000000 000000 000000 000000 000000 000000 000000* -L0092352 000000 000000 000000 000000 000000 000000 000000 000000* -L0092400 000000 000000 000000 000000 000000 000001 000000 000000* -L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092512 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0092832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0093024 000000 000000 000000 000000 000000 000000 000000 000000* -L0093072 000000 000000 000000 000000 000000 000000 000000 000000* -L0093120 000000 000000 000000 000000 000000 000000 000000 000000* -L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 000000 000000 000000 000000 000000 000001 000000 000000* -L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -C708B* -2DC2 diff --git a/cpld/XC95144XL/MXSE.nga b/cpld/XC95144XL/MXSE.nga deleted file mode 100644 index d0fe8bb..0000000 --- a/cpld/XC95144XL/MXSE.nga +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$73647<,Fz_t)HW_EA'254)L880(B~[x%D[[AE#>98%H=.E023>"Hx]r/JUQKC%436+B5WY^T<<94$NrW|!@_WMI/:="Hx]r/JUQKC%436+C_XV~xe`|jn`of`Zhb|V;:7)ATy&E\ZBD,?:9"\?<;%MsP}"APVNH(;>=.TKN54=#Gy^s(KVPDB&543(C9;1/C}Zw$GZ\@F"18?$O=<<4$NrW|!@_WMI/:=8!D334?!Iw\q.MTRJL$725*A4XX]U;=:5+OqV{ C^XLJ.=<; K2^RW[4703-E{Xu*IX^F@ 361&M8T\YQ=129'KuR,ORTHN*907,F\U7?3-E{Xu*IX^F@ 361&LR[S]ZP00:8 JvSp-LSSIM+614-A]VXX]U:=55+OqV{ C^XLJ.=<; JXQ]SPZ45<2.D|Yv+FY]GG!07>'OS\Rz|ilpfjdkblVdnxR?>;%MsP}"APVNH(;>9.P30?!Iw\q.MTRJL$725*POJ=2.Yi{*8;)294?6.n2";6=4?)!*3>5<7!8:0$<>?0;3345<689:"==5'1623>41783;<<='>0:*2<56=91:;6<6?0(33?-4?89094=>52923-46< ::;<7=?0180456.:81#?=>?:2234?5789#+$>;?0;1645<4=9:"==5'3423>637839><='k;)634?2783>;<$??;)6645<3=9:188>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5?5=039167=5;8>0>>4FNQWW>DYA[K69?7?12:076>42:28<>6<62:106>52:292>6:=2:6:6>37:2?9>6;<2:776>32:2?=>6;72:7:5>053?:97;?=;711?3253??97;8=;751?3>63>80;=<47008374?57539;27=??;135<562:;36>?6:239>67<2:;76>?2:23=>6782:;:1>GKM9G<7LBJ0L,G<>GKM9G%H<64AMG3I+B5l2KGI=C!D3]SPZ6c3HFN<@ K2^RW[41GKM9Y%H?74AMG3W+C_Xl1J@H>\.DZS[URX8l1J@H>\.DZS[URX9l1J@H>\.DZS[URX:l1J@H>\.DZS[URX;8k0MAK?S/G[TZrtadxnblcjd^lfpZ10MAK>3:CM@<=FFGE_MYKK9:C\BVD;994o7LQISC>24;(WIM30MRH\B=32:a=FWOYI0GXNZH7=?0k;@]EWG:6:7$[MI74A^DPF9746m1JSK]M<01=*UGC12KTJ^L3152i5N_GQA8439&YKO56OPFR@?538c3HUM_O2>6?,SEA?e9B[CUE48=5"]OK9:C\BVD;914o7LQISC>2<;(WIM30MRH\B=3::a=FWOYI0<71.QCG<>GXNZH7=3m4A^DPF979&YKO56OPFR@?658c3HUM_O2=0?,SEA?>e9B[CUE4;;5"]OK9:C\BVD;:;4o7LQISC>16;(WIMh0MRH\B=00>48>3HUM_O2=3?f8EZ@TJ5882#^ND99B[CUE4;4h7LQISC>1:+VFL11JSK]M<2<`?DYA[K682#^ND99B[CUE4=4h7LQISC>7:+VFL11JSK]M<4<`?DYA[K6>2#^ND99B[CUE4?4h7LQISC>5:+VFL11JSK]M<6<`?DYA[K6<2#^ND99B[CUE414h7LQISC>;:+VFL11JSK]M<8<`?DYA[K622#^NDe9B[CUEW8:TEO[Id:C\BVDX98UBNXHk;@]EWGY6:VCIYKj4A^DPFZ74W@H^Ji5N_GQA[42XAK_Mh6OPFR@\50YNJ\Lo7LQISC]22ZOE]On0MRH\B^34[LDRNm1JSK]M_0:\MGSAl2KTJ^LP18]JFP@6:2KTJ^LP18]JFP@#J\L;=95N_GQA[4?XAK_M(O[I0/F21>GXNZHT=4QFBTD'FP@7&M;:96OPFR@\5GXNZHT=4QFBTD'FP@7&Xi0MRH\B^3\MGSAl2KTJ^LP21]JFP@c3HUM_OQ=1^KAQC753HUM_OQ=1^KAQC"E]O::86OPFR@\64YNJ\L/NXH?.E36?DYA[KU9=RGMUG&AQC6)L8;>7LQISC]15ZOE]O.IYK>!D337?DYA[KU9=RGMUG&AQC6)Ym1JSK]M_30\MGSAl2KTJ^LP22]JFP@d3HUM_OQ=_H@VBf=FWOYIS>QFBTD`?DYA[KU?SDLZFb9B[CUEW3KOY^RG@BR`8F@TUW@EI_#Jl;CGQVZOHJZ$O=n5MESP\MJDT&M8:=6LJRS]JKGU)L;U[XR>>1:@FVWYNGKY%H?Q_T^325>DBZ[UBCO]!D3]SPZ4692HN^_QFOCQ-@7YW\V9i7OK]R^KLFV(Vl2HN^_QFOCQ-V@A6i2HN^_QFOCQ\pvojk{ojxhjPxnp\7>DRN11IY^QFNGM1?FC43JF@56MCK3Z\MJDc3JF@>UQFOC,SEA1EkgjaX|hm7;EcweVvbk<1Oiaova:G[T42X&LR[j6KWP06\*@^WWY^Tb:G[T40X&LR[Sy}fmsgmehccWgoSl5JXQ34[+C_Xo1NT]?8_/G[TZVSW9l0IU^>7^,F\UYW\V;m7HV_16]-A]VXX]U9=o5JXQ34[+C_XV~xe`|jn`of`Zhb|Vk0IU^>8^,F\U`8^,F\UYW\V>:n6KWP0:\*@^WW}ybakaalgg[kcsWh1NT]?6_/G[Tc=BPY;2S#KWP^RW[5`9^,F\UYW\V?:n6KWP0;\*@^WW}ybakaalgg[kcsWh1NT]=Q!EYR\TQY5n2OS\?>P.DZS[URX;o1NT]_/G[Tc=BPY8:S#KWP^RW[5`_/G[TZVSW=;i7HV_20]-A]VX|zcf~h`nmdf\j`rXi2OS\?C_X;8T"HV__QV\4c=BPY89S#KWP^RW[4`R JXQ]SPZ4a3LR[>?Q!EYR\TQY49k1NT]<=_/G[TZrtadxnblcjd^lfpZgC_X;9T"HV__QV\6c=BPY88S#KWP^RW[6`>Q!EYR\TQY29k1NT]<<_/G[TZrtadxnblcjd^lfpZgC_X;>T"HV__QV\6c=BPY8?S#KWP^RW[6`S#KWPg9F\U42W'OS\R^[_1d8A]V5=V$NT]Q_T^3e?@^W:C_X;?T"HV__QV\7c=BPY8>S#KWP^RW[1`V$NT]Q_T^3e?@^W:?U%IU^PPU]1b>C_X;U%IU^i;DZS62Y)MQZT\YQ?f:G[T71X&LR[S]ZP1g9F\U40W'OS\R^[_3d8A]V5?V$NT]Q_T^1e?@^W:>U%IU^PPU]75g=BPY8i;DZS6=Y)MQZT\YQ>f:G[T7>X&LR[S]ZP2g9F\U4?W'OS\R^[_2d8A]V50V$NT]Q_T^6e?@^W:1U%IU^PPU]65g=BPY83S#KWP^vpmhtbfhgnhR`jt^c8A]V51V$NT]h4EYR1=Z(BPYU[XR>i;DZS6f:G[T7?X&LR[S]ZP2g9F\U4>W'OS\R^[_2d8A]V51V$NT]Q_T^62f>C_X;3T"HV__uqjiwciidooSck{_`9F\U57W'OS\k5JXQ13[+C_XVZ_S=h4EYR04Z(BPYU[XR?i;DZS75Y)MQZT\YQ=f:G[T66X&LR[S]ZP3g9F\U57W'OS\R^[_5d8A]V48V$NT]Q_T^72f>C_X::T"HV__uqjiwciidooSck{_`9F\U56W'OS\k5JXQ12[+C_XVZ_S=h4EYR05Z(BPYU[XR?i;DZS74Y)MQZT\YQ=f:G[T67X&LR[S]ZP3g9F\U56W'OS\R^[_53a?@^W;8U%IU^Ptrknv`hfelnTbhzPa:G[T64X&LR[j6KWP20\*@^WWY^TOI9=>0EC?:4:KM532;2CE>95FN327?LH59=1BB?<;;HL171=NF;>?7D@=559JJ7033@D9;95FN3:7?LH51:1BB>:4IO130>OI;890EC:<;HL67>OI>:1BB:=4IO:0?LH>12CEEY][AUG6?LIFK]=0EBOLT/F;?LIFK]$O=55FO@AW*A4c3@EJOY K2^RW[5bOHIJ^%H?Q_T^1g?LIFK]$O>R^[_5f8MJGD\'N9S]ZP5e9JKDES&M8T\YQ97:KLEFR)Y01BCLM[.SGD2>OHJLXY46G@BDPQ*A?l;HMO4+B5WY^T=;5FOM2-U==NGE:%^HI:;HMQAT1R^[_1f8MJTBY'N9S]ZP1e9JKWCV&M8T\YQ=d:KLV@W)L;U[XR=k;HMQAT(C:VZ_S9j4INPFU+B5WY^T9i5FOSGR*A4XX]U=;6G@RDS-U<=NG[OZ"_KH5:KLVR603@EY[= K8:KLVR6)L820EB\X0/F1`>OHZ^:%H?Q_T^2g?LIU_9$O>R^[_0f8MJTP8'N9S]ZP2e9JKWQ7&M8T\YQ0EB[?7:KLQ5(DM?1BCX>!D69JKP6)L8=0EB[?.E0`?LIR8'N9S]ZP0b9JKP6)L;U[XR?9;HMV4+W?3@E^<#\JG99JjqBbdhs87AAL7:NLCLEFD=1FT^K7;LZPA+h`ij1Fmga}Vdppmjhd3DcecXjrrklj2=IM]]D^F=4OOG1?JT43F__?6^ND59SEWR03YCEBLCJa:RJJZDR[@NSn6^FN^@VWKGJM?1[ig`d99SkwrWi}b>7_O30?;8VD:76'ZJH;5]A=33:d=UI5;;2#^ND99QE976294=7_O310/RB@0=UI5>556\N<5<-TDB23[K79374R@>6:+VFL<1YM1819:PB838)XHN>7_O37?;8VD:06'ZJH85]A=:==>TF414%\LJ:;SC?=;?POCWE*A7d3[KTTFW9UDNXH!EYR\TQY49;1YMR>POCWE*@^WWY^T81:PB[4YHJ\L%H?Q_T^225>TFW8UDNXH!D3]SPZ7c3[KT=RAMUG,F\U753[KT=RAMUG,F\UYW\V::>6\N_0]LFP@)MQZT\YQ>139QEZ7XGK_M"HV__QV\64cTFW;UDNXH!EYR\TQY69l1YMR;SC\7ZIE]O$O>R^[_0`8VDY4WFH^J#_6;SC\0ZIE]Oh0^LQ;_N@VB+Bd3[KT8RAMUG,G5f=UIV>TCO[I.E025>TFW=UDNXH!D3]SPZ6692XJS9Q@BTD-@7YW\V;o7_OP4^MAQC(BPY;97_OP4^MAQC(BPYU[XR>>2:PB[1YHJ\L%IU^PPU]257=UIV>TCO[I.DZS[URX:8o0^LQ;_N@VB+C_XV~xe`|jn`of`Zhb|Vh0^LQ;_N@VB+W>3[KT9RAMUG`8VDY2WFH^J#Jl;SC\1ZIE]O$O=n5]A^7\KGSA&M8:=6\N_4]LFP@)L;U[XR>>1:PB[0YHJ\L%H?Q_T^3a?WGX=VEIYK ^9:PB[3YHJ\Li7_OP6^MAQC(Ck2XJS;Q@BTD-@4e6\N_7]LFP@)MQZT\YQ?139QEZ0XGK_M"HV__QV\544TFW>UDNXH!EYR26>TFW>UDNXH!EYR\TQY79;1YMR9POCWE*@^WWY^T=<<4R@]4[JDRN'OS\R^[_33f?WGX?VEIYK JXQ]wwlkumgkfiiQaeu]a?WGX?VEIYK ^9:PB[=YHJ\Li7_OP8^MAQC(Ck2XJS5Q@BTD-@4e6\N_9]LFP@)MQZT\YQ?139QEZ>XGK_M"HV__QV\544e:PB[=YHJ\L%IU^Ptrknv`hfelnTbhzPb:PB[=YHJ\L%]45]A^;\KGSAj2XJS4Q@BTD-@f=UIV3TCO[I.E3`?WGX1VEIYK K2038VDY>WFH^J#J=_QV\4470:Vji`ir|H$O>R^[_133?QojmfM#J=_QV\546<\`gncxzN.E0\TQY5i2^bahazt@,Rg>Rnele~xL ]EF3:?QojmfMRz|ilaqadrblVrd~R64ThofkprEi2^bahaztC,Gf>Rnele~xO K1c9Wmhch}}H%H???;UknajssJ'N9S]ZP0028Plkbg|~I"I7Zgkti0`?]E>=8>?TA#>0,VR556' Zznii.Iimg9$Scu{`ee,> ?)59[@HJ6l2RB@D@W-YFA$5(6(Z^^N->!1!CPGLO43QEY:6Vkb^Kgf>^c`VZye`Gavb9[`mYWz`g_u}ki;Yfk[Utne_oydaa8:ZglZRneln0TifPThofGmcdcm1SheQ[ilgGaig~991Sh`QBakmqR`ttafd:<6Vkm^OjjjtQm{ybcc:4ZDPL`>ei|&XnjN`{<1e:amp*TbnJd0=0Ptrkngwcf|lnTtb|Pd:amp*TbnJd0<0i;blw+WcaKg~7=3 K119`jq)UmoIex1?1.E324>ei|&XnjN`{<0<-@7`2:+W692iex"\jfBlw848)ZLM:i6mat.PfbFhs484Tx~gbcsgbp`bXpfxTh6mat.PfbFhs4;4m7n`{/SgeGkr;:7$O==5lnu-QacEi|585"I?>0:amp*TbnJd0?0!D3d8gkr(ZllHby2=>/S25>ei|&XnjN`{<3<-V@A6m2iex"\jfBlw878X|zcfokntdf\|jtXl2iex"\jfBlw868a3jd#_kiCov?7;(C991hby!]egAmp959&M;:<6mat.PfbFhs4:4%H?h4cov,V``Df}682#_>1:amp*TbnJd0>0!RDE2a>ei|&XnjN`{<2<\pvojk{ojxhjPxnp\`>ei|&XnjN`{<5e:amp*TbnJd090Ptrkngwcf|lnTtb|Pd:amp*TbnJd080i;blw+WcaKg~793 K119`jq)UmoIex1;1.E324>ei|&XnjN`{<4<-@7763jd#_kiCov?1;(BPY897n`{/SgeGkr;=7$NT]Q{shoqakgjmmUeiyQi;blw+WcaKg~793 ^109`jq)UmoIex1;1.SGD5`=df}%YikMat=7=[qunejxnmykk_ymq[a=df}%YikMat=4=b>ei|&XnjN`{<7<-@465:+B6991hby!]egAmp909&M8:=6mat.PfbFhs4?4%IU^>6:amp*TbnJd0;0!EYR\TQY79?1hby!]egAmp909&LR[S]ZP1048gkr(ZllHby29>/G[TZVSW;;=7n`{/SgeGkr;>7$NT]Q_T^122>ei|&XnjN`{<7<-A]VXX]U?>?5lnu-QacEi|5<5"HV__uqjiwciidooSck{_g9`jq)UmoIex181.P32?fhs'[omOcz36?,QAB7b3jd#_kiCov?2;Ys{`gh~ho{ee]{kwYc3jd#_kiCov?3;`4:+B682iex"\jfBlw828)L8;;7n`{/SgeGkr;?7$O>/G[TZVSW9;=7n`{/SgeGkr;?7$NT]Q_T^322>ei|&XnjN`{<6<-A]VXX]U9=;5lnu-QacEi|5=5"HV__QV\7744:+C_XV~xe`|jn`of`Zhb|Vl0ocz Rdd@jq:06'[:=6mat.PfbFhs4>4%^HI>e:amp*TbnJd0:0Ptrkngwcf|lnTtb|Pb:amp*TbnMeeii5lnu-QacBhfl$Oi6mat.PfbAiim'N:i6mat.PfbAiim'N9=>5lnu-QacBhfl$O>R^[_130?fhs'[omHb`j.E0\TQY6l2iex"\jfEmma+Wa3jd#_kiDnlf*WC@n2iex"ZfmdmvpGVum8;0ocz ThofkprEX{o%H<<4cov,Plkbg|~I\k!D031?fhs']cfib{{BQpf*A46?2iex"ZfmdmvpGVum'N9S]ZP0058gkr(\`gncxzMPsg-@7YW\V;:;6mat.Vji`ir|KZyi#J=_QV\647!D337?fu(fF|n~aov0/F1[URX88>0o~!aOwgqhd7&M8T\YQ>119`w*hH~lxgmt>!EYR21>et'gE}ibny1,F\UYW\V::96m|/oMuawjfq9$NT]Q_T^321>et'gE}ibny1,F\UYW\V89=6m|/oMuawjfq9$NT]Q{shoqakgjmmUeiyQj;bq,jJpbzekr<#_>0:ap+kIqm{fju= ]EF3f?fu(fF|n~aov0^vpmheumh~nhR~}le]2`>et'gE}ibny1]wwlkdzlkiiQwos]`?fu(fF|n~aov1g9`w*hH~lxgmt?!CDg8gv)iGoy`lw>.Ed8gv)iGoy`lw>.E3e?fu(fF|n~aov1/F155=d{&dDzh|cax3-A]V6=2ix#cAyesnb}4(BPYU[XR>>5:ap+kIqm{fju< JXQ]SPZ7592ix#cAyesnb}4(BPYUdc}eocnaaYim}Un7n} nNtfvig~9'[:<6m|/oMuawjfq8$YIJ:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJo4fr`,F@TU8{i0j~l BDPQ4w(Cl2lxn"LJRS2q*A7c3oyi#OK]R1p-@7753oyi#OK]R1p-@7YW\V::>6h|b.@FVW6u&M8T\YQ>139ewg)EM[X;~#J=_QV\6`=a{k%II_\?r/G[T45<=4fr`,V`gcq9x%H?Q_T^227>`tj&Xnmiw?r/F1[URX9890j~l Rdcg}5t)L;U[XR<=4fr`,V`gcq8x%H?Q_T^127>`tj&Xnmiw>r/F1[URX<890j~l Rdcg}4t)L;U[XR;k;gqa+Wcflp;y"\h4fr`,V`gcq8x%^HIm;gqa+Wcflp8yh6h|b.Pfea5z'Nn7k}m/Sgb`|4u&M;n7k}m/Sgb`|4u&M8:?6h|b.Pfea5z'N9S]ZP0018bvd(Zlkou?|!D3]SPZ76;2lxn"\jae{1v+B5WY^T><=4fr`,V`gcq;x%H?Q_T^127>`tj&Xnmiw=r/F1[URX<890j~l Rdcg}7t)L;U[XR;k;gqa+Wcflp8y"\h4fr`,V`gcq;x%^HI8;gqa+SVF12lxn"X_A/Fb?cue'_ZJ"I?n;gqa+SVF&M8m7k}m/WRB*A4XX]U;j6h|b.TSE+B5WY^T=k5isc-UTD(C:VZ_S?h4fr`,RUG)L;U[XR=i;gqa+SVF&M8T\YQ;f:dpf*PWI'N9S]ZP5g9ewg)QXH$O>R^[_7;8bvd(^YK%]o5isc-UTD(UMN8=7k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O=?94fr`,RUGXWfx;<=>>/gqa+SVFWVey<=>?1^F1*A45k2lxn"X_A^]lv56788%mo!YP@]\kw6789;TH? K2^RW[54d3oyi#[^N_^mq45679&lxn"X_A^]lv56788UO>#J=_QV\57e`tj&\[MRQ`r12344)a{k%]\LQPos23457XL;$O>R^[_50`?cue'_ZJSRa}01235*`tj&\[MRQ`r12344YC:'N9S]ZP5348bvd(^YKTSb|?0122+cue'_ZJSRa}01235ZB5&X837k}m/WRB[Ziu89:;="h|b.TSEZYhz9:;<i5foco,F@TUz{$Zj6g`bl-AAWTuz'XNKn5foco,@QGDC{ln7damm.FWEFMun'Nm7damm.FWEFMun'N:j6g`bl-GPDELzo$O>h5foco,@QGDC{l%]<>4in`n+ARFKBxm"_KHc:klfh)C\HI@~k4in`n+ARFKBxy"Ih4in`n+ARFKBxy"I?i;hmai*BSIJAy~#J=e:klfh)C\HI@~ ^119jkgk(L]KHG|!RDEb?liee&OX0=0l;hmai*CT494%Hi5foco,AV:76'N:h6g`bl-FW969&M8:>6g`bl-FW969&M8T\YQ?139jkgk(MZ6;2#J=_QV\5443:Zrtadiyilzjd^zlvZgohjd%N_1?1.E0\TQY69;1bcoc ER>2:+B5WY^T>n5foco,AV:66'[n7damm.GP848)ZLMj7damm.GP878d3`eia"K\<3<-@a=ngkg$I^2=>/F2`>ohjd%N_1<1.E026>ohjd%N_1<1.E0\TQY79;1bcoc ER>1:+B5WY^T=<<4in`n+@U;:7$O>R^[_331?liee&OX0?0!D3]SPZ56:2cdn`!JS=0=*A4XX]U?o6g`bl-FW949&Xo0eblb/DQ?6;(UMNk0eblb/DQ?7;eohjd%N_1=1.E3g?liee&OX0>0!D331?liee&OX0>0!D3]SPZ66:2cdn`!JS=1=*A4XX]U:=?5foco,AV:46'N9S]ZP2b9jkgk(MZ682#_j;hmai*CT4:4%^HI>b:klfh)B[595Sy}fmbpfeqccWqeySl5foco,AV:36j1bcoc ER>7:+Bc3`eia"K\<5<-@4b2:klfh)B[5>5"I/F1[URX;j1bcoc ER>7:+Wb3`eia"K\<5<-V@A6j2cdn`!JS=6=[qunejxnmykk_ymq[d=ngkg$IYOLKb9jkgk(M]KHG#Jk;hmai*CSIJA%Hh5foco,AQGDC'OS\<=4in`n+@RFKB$NT]Q_T^227>ohjd%NXLMD.DZS[URX9890eblb/DVBGN(BPYU[XR<>3:klfh)B\HI@"HV__QV\74`#Jm;hmai*Cu:'N:n6g`bl-Fv7(C:h1bcoc Es0-Uf=ngkg$I1:klfh)NGZUM_@QIFe327>ohjd%BC^QISL]EBa7)L8>0eblb/HMP[CUJWOLo=#J>159jkgk(AFYTJ^CPFGf2*A46;2cdn`!FOR]EWHYANm;%]<;4in`n+LITWOYFSKHk1/PFC47#J>4:klfh)NGZUM_@QIFe0-@4733`eia"G@S^DPIZ@Al;$O><74in`n+LITWOYFSKHk2/F1[URX8830eblb/HMP[CUJWOLo>#J=_QV\54?ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ4612cdn`!FOR]EWHYANm9%H?Q_T^12=>ohjd%BC^QISL]EBa5)L;U[XR:>3:klfh)NGZUM_@QIFe1-U43%Hohjd%BC^QISL]EBa36;2cdn`!FOR]EWHYANm?%H<:4in`n+LITWOYFSKHk5/F251=ngkg$EB]PFRO\BCb2&M8:?6g`bl-JKVYA[DUMJi;!Q078mjdj'@EXSK]B_GDg1+TBO8;0eblb/HMP[CUJWOLo:<=4in`n+LITWOYFSKHk6/F20>ohjd%BC^QISL]EBa0)L8;?7damm.KLWZ@TEVLMh; K2018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A46;2cdn`!FOR]EWHYANm=%]<;4in`n+LITWOYFSKHk7/PFC474:klfh)NGZUM_@QIFe:-@4733`eia"G@S^DPIZ@Al1$O><74in`n+LITWOYFSKHk8/F1[URX8830eblb/HMP[CUJWOLo4#J=_QV\545n5foco,RUGuz'[n7damm.TSEwt)ZLMi7dams.Aoadt6l2cdn~!Lldcq5+Bb3`ei"Mce`p2*A7b3`ei"Mce`p2*A4c3`ei"Mce`p2*T`ohjz%BCLM[r/Ff?lie{&CDMNZ}.E3f?lie{&CDMNZ}.E0e?lie{&CDMNZ}.DZS51=ngky$EBOLTs,F\UYW\V::86g`br-JKDESz'OS\R^[_037?lie{&CDMNZ}.DZS[URX:8>0ebl|/HMBGQt)MQZT\YQ<219jkgu(AFKHX JXQ]wwlkumgkfiiQaeu]g?lie{&CDMNZ}.Pd8mjdt'@EJOY|!RDE:?lie{&CD@.Pf8mjdt'@EG=#\JG`9jkgu(AFX\=n5focq,MJTP9'No7dams.KLVR7)L8n0ebl|/HMQS4(C:880ebl|/HMQS4(C:VZ_S=?=;hmaw*OHZ^;%H?Q_T^326>ohjz%BC_Y>.E0\TQY59;1bco} INPT5+B5WY^T?<<4in`p+LIU_8$O>R^[_531?lie{&CD^Z?!D3]SPZ36:2cdn~!FOSU2*A4XX]U=o6g`br-JKWQ6&Xo0ebl|/HMQS4(UMN;i7dams.KLVR7X|zcfokntdf\|jtXk2cdn~!FOSgb`|c>4:klfv)NG[ojht K2^RW[4733`ei"G@Rdcg}+B5WY^T><:4in`p+LIUmhnr"Iohjz%BC_kndx,R55=ngky$EB\jae{-V@A6l2cdn~!FOSgb`|Ys{`gh~ho{ee]{kwY>3`ei"G@U0a8mjdt'@E^=#MJb:klfv)NG\;%Hn5focq,MJS6&M;h7dams.KLQ4(C:k1bco} INW2*Tb<<4in`p+Iifl8$O>R^[_131?lie{&Fdmi?!D3]SPZ76:2cdn~!Co`f2*A4XX]U9=?5focq,Hjgc9'N9S]ZP3008mjdt'Eejh< K2^RW[1753`ei"B`ae3-@7YW\V?:>6g`br-Okdb6&M8T\YQ9c:klfv)Kghn:"\k4in`p+Iifl8$YIJ74in`p+Jhdmk1bco} Ooaf*Aeohjz%Dbnk!D332?lie{&Eeoh K2^RW[5763`ei"Aacd,G6ZVSW8;:7dams.Mmg`(C:VZ_S??>;hmaw*Iikl$O>R^[_232?lie{&Eeoh K2^RW[1763`ei"Aacd,G6ZVSW<;:7dams.Mmg`(C:VZ_S;l4in`p+Jhdm'[o7dams.Mmg`(UMN;;7dams.RP[CUJWOLo=<<4in`p+UUXNZGTJKj>.E30?lie{&ZXSK]B_GDg5+B69:1bco} PR]EWHYANm;%H??;;hmaw*VTWOYFSKHk1/G[T4?.DZS[URX:830ebl|/QQ\BVKXNOn:"HV__QV\74?ohjz%[_RH\M^DE`7(C:820ebl|/QQ\BVKXNOn9"I8:klfv)W[VLXARHId3,G6ZVSW;;37dams.RP[CUJWOLo>#J=_QV\74>1:lB@jssDL:TCO[I.E325>hFLf@H>POCWE*A4682dJHb{{LD2\KGSA&X30bLJ`uuNF5a=iIMe~xAK>.QCGa>hFLf@H?POCWE55=iIMe~xAK>_N@VB+B692dJHb{{LD3\KGSA&M;:=6`NDnwwH@7XGK_M"I<>6:lB@jssDL;TCO[I.E0\TQY79?1eMIaztMG2[JDRN'N9S]ZP1008jDBh}}FN=RAMUG,F\U703gKOcxzCE0]LFP@)MQZT\YQ?169mEAir|EO:SBLZF/G[TZVSW8887cOKotvOA4YHJ\L%IU^Ptrknv`hfelnTbhzP119mEAir|EO:SBLZF/S26>hFLf@H?POCWE*WC@?2dJ_RH\Bc9mEVYA[K$[MIm4n@Q\BVDXAK_M;6`NS^KLFg=iIZUBCO _AEa8jDUXAFHTCO[Ie:lBWZOHJVEIYK Kf:lBWZOHJVEIYK K1g9mEVYNGKUDNXH!D3g8jDUXAFHTCO[I.P33?kGTW@EISBLZF/PFC2=iIfCHl4n@mvpJC)XHNn7cO`uuMF[JDRN-[n7cO`uuMF[JDRN'Nm7cO`uuMF[JDRN'N:j6`NotvLAZIE]O$O>h5aAnwwK@YHJ\L%]45aBDPQ[CUEl2dII_\PFR@-TDBb3gHN^_QISC]LFP@682dII_\PFR@\KGSA&M;:7cLJRS]EWGYHJ\L%H;o@FVWYA[KUDNXH!D335?kDBZ[UM_OQ@BTD-@7YW\V:::6`MESP\BVDXGK_M"I<<4nCGQVZ@TJVEIYK JXQ34?kDBZ[UM_OQ@BTD-A]VXX]U;=:5aBDPQ[CUEWFH^J#KWP^RW[4443gHN^_QISC]LFP@)MQZTx~gbrdlbi`bXfl~T==5aBDPQ[CUEWFH^J#_6;o@FVWYNGKn0bOK]R^KLF+VFLl1eNH\]_HMA[LDRN=1eOL]7;oABW+VFL01eOL]POCWEf>hDIZUDNXH!Db9mGDUXGK_M"I?l;oABWZIE]O$O>o5aC@Q\KGSA&Xn0bNO\_N@VB+TBOh1eHYOLK^DPF`=iL]KHGRH\B/RB@c=iL]KHGRH\B^MAQC763gN_MNEPFR@\KGSA&M;97cJ[ABI\BVDXGK_M"I?>2:lGPDELWOYISBLZF/F152=iL]KHGRH\B^MAQC(C:VZ_S=?8;oFWEFMXNZHTCO[I.E0\TQY69>1eHYOLK^DPFZIE]O$O>R^[_334?kBSIJATJ^LPOCWE*A4XX]U8=:5aDUC@OZ@TJVEIYK K2^RW[1703gN_MNEPFR@\KGSA&M8T\YQ:169m@QGDCVLXNRAMUG,G6ZVSW?;:7cJ[ABI\BVDXGK_M"\?<;oFWEFMXNZHTCO[I.SGD56=iL]KHGRH\B^MAQC(RADk0bIZNCJ]JKGc>4:lGmkIBWFH^J#KWP^RW[4733gNbbBKPOCWE*@^WWY^T><:4nEkmK@YHJ\L%IU^PPU]051=iL`dDIRAMUG,F\UYW\V>9<6`KioMF[JDRN'OS\Rz|ilpfjdkblVdnxRj4nEkmK@YHJ\L%]:5aDnwwK@dhCg|~DIRAMUG,G6ZVSW9;?7cJ`uuMF[JDRN'N9S]ZP1d9m@jssGLUDNXH!Q028jAir|FOTCO[I.SGD<>hKLZUM_Om4nMFP[CUE&YKOh6`CDR]EWGYNJ\L37cBKS^KLFf=iDMYTEBL!P@Fg?kJC[VCDNRAMUGd8jIBTW@EISBLZF/F24>hKLZUBCOQ@BTD-@4773gFO_RG@B^MAQC(C:8?0bAJ\_HMA[JDRN'N9S]ZP0078jIBTW@EISBLZF/F1[URX98?0bAJ\_HMA[JDRN'N9S]ZP2g9mHAUXAFHTCO[I.P32?kJC[VCDNRAMUG,QAB5hHMVEIYKo4nNG\KGSA&Mh0bBKPOCWE*A7e3gENSBLZF/F1g>hHMVEIYK JXQ32?kIBWFH^J#KWP^RW[5763gENSBLZF/G[TZVSW8;:7cAJ_N@VB+C_XVZ_S??>;oMF[JDRN'OS\R^[_23g?kIBWFH^J#KWP^vpmhtbfhgnhR`jt^c8jJCXGK_M"\94nSCNHRCe3gXJAAYJ.QCGg>hUIDF\IRAMUGg8jWGJD^OTCO[I.Ed8jWGJD^OTCO[I.E3e?kTFEE]NSBLZF/F1a>hUIDF\IRAMUG,R3>hUID_\Io5aR@OVS@(WIMi0b_OBUVG\KGSAm2dYM@[XE^MAQC(Cn2dYM@[XE^MAQC(C9o1e^LCZWD]LFP@)L;o0b_OBUVG\KGSA&X>0b_O\8:lQEV(WIM30b_O\_N@VBg=iZHYTCO[I.Ea8jWGTWFH^J#J>c:lQEVYHJ\L%H??>;oPBWZIE]O$O>R^[_132?kTF[VEIYK K2^RW[4763gXJ_RAMUG,G6ZVSW;h0b_O\_N@VB+W33gXN_55aRDQ-TDB>3gXN_RGMUG48jWIJKZk0b_ABCR,SEAdi5aRNOTAZIE]O$Z46`ZDR]EWGehRLZUM_OQFBTD;?kSC[VCDNn5aUEQ\MJD)XHNo7c[KS^KLFZIE]Ol0bXJ\_HMA[JDRN'N:<6`ZDR]JKGYHJ\L%H<;4nWOB[LIEWFH^J#J=_QV\443hQEHUBCOQ@BTD-A]VX|zcf~h`nmdf\j`rXn2d]ALQFOC]LFP@)Y8;0b[CN_HMA[JDRN'XNKhPMVLXNo5aWD]EWG(WIMi0bZKPFR@\MGSA<2djah94ndef`bc03yexiiij9:pbi*DFK]xi7ob/CC@Pw(Ck2xja"LNCUp-@4etfe&HJOY|!EYR26>tfe&HJOY|!EYR\TQY79;1ym`!MABVq*@^WWY^T=<<4r`o,FDESz'OS\R^[_331?wgj'KKHX JXQ]SPZ56m2xja"LNCUp-A]VX|zcf~h`nmdf\j`rXj2xja"LNCUp-Ua=uid%IMNZ}.SGD<>tfe&Eeoho4r`o,Kkeb&Mh0~lc Ooaf*A7e3{kf#B`le/F155=uid%Dbnk!D3]SPZ6682xja"Aacd,G6ZVSW8;;7ob/Nl`a+B5WY^T>n5}al-Ljfc)MQZ:=6|nm.Mmg`(BPYU[XR>>1:pbi*Iikl$NT]Q_T^325>tfe&Eeoh JXQ]SPZ46l2xja"Aacd,F\UYs{`gyicobee]maqYf3{kf#B`le/S`?wgj'Fdhi#\JG0;8vdk(GginSy}fmbpfeqccWqeySo5}al-QEHBN[8n0~lc R@OGMV7)Ll1ym`!]ALFJW4(C9l1ym`!]ALFJW4(C:890~lc R@OGMV7)L;U[XR>>3:pbi*TFEMCX=#J=_QV\545.Pd8vdk(ZHGOE^?!RDEa?wgj'[KFHD]=d:pbi*TFEMCX>#Jj;scn+WGJL@Y9"I?j;scn+WGJL@Y9"I<>3:pbi*TFEMCX>#J=_QV\445#\JG0a8vdk(ZHGOE^tfe&XJA_kndx,G6ZVSW<;?7ob/SCNV`gcq'N9S]ZP6d9qeh)UIDXnmiw!Q028vdk(ZHGYiljv.SGD=>tfe&XJ_HBm;scn+WGTME$Oo6|nm.PBW@J)L8i0~lc R@QFH+B5981ym`!]ARGO*A4XX]U;=<5}al-QEVCK&M8T\YQ>109qeh)UIZOG"Itfe&XJ_HB!Qe9qeh)UIZOG"_KHf:pbi*TTWOYFSKHk1038vdk(ZZUM_@QIFe3-@447:pbi*TTWOYFSKHk1/F1[URX88=0~lc RR]EWHYANm;%H?Q_T^323>tfe&XXSK]B_GDg5+B5WY^T><94r`o,VVYA[DUMJi?!D3]SPZ56?2xja"\\_GQN[C@c9'N9S]ZP4038vdk(ZZUM_@QIFe3-U451:pbi*TTWOYFSKHk2/F26>tfe&XXSK]B_GDg6+B69;1ym`!]S^DPIZ@Al;$O><94r`o,VVYA[DUMJi#J=_QV\7417:pbi*TTWOYFSKHk2/F1[URX=8=0~lc RR]EWHYANm8%H?Q_T^425>tfe&XXSK]B_GDg6+W6;2xja"\\_GQN[C@c:'XNK?>4r`o,VVYA[DUMJi7:pbi*TTWOYFSKHk3/F1[URX88=0~lc RR]EWHYANm9%H?Q_T^323>tfe&XXSK]B_GDg7+B5WY^T><94r`o,VVYA[DUMJi=!D3]SPZ56?2xja"\\_GQN[C@c;'N9S]ZP4058vdk(ZZUM_@QIFe1-@7YW\V?:;6|nm.PP[CUJWOLo?#J=_QV\247k0:BC|7652O096"5jh09n55rSd`96g1=910:?9890c82f24d3m8;>7>51;3xW`e=:k=1=54>35454g<6j>8h7{Z74;295?7=b60`?S4el38py?><:09v652=82w/>o=52128 76f2;h=7o:3y03?4d2tci>7>5$32g>d7o?n3:1(?>k:`38j76d2110e5j50;&14ad=h58j0i76g77;29 76c2h;0b?>l:b98m=3=83.97>5$32g>d7o>l3:1(?>k:`38j76d2;10e4l50;&14a1=h58j0>76g63;29 76c2h;0b?>l:798m<7=83.95;hg5>5<5<l:078?lg1290/>=j5a09m65e=9=10el650;&14a3:9jed<72-8;h7o>;o03g?7532cjo7>5$32g>d75<#:9n1m<5a21a955=5<5<5<5<5<#:9n1><:4n32`>d=5<#:9n1><:4n32`>==5<#:9n1><:4n32`>3=5<#:9n1><:4n32`>1=6=4+21f964254o304>5<#:9n1><:4n32`>7=5<5<6=44o433>5<5<#:9n1><:4n32`>5=5<86=44o46b>5<5<t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjk;1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1o1<7?50;2x 7dd2;:27E=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1k1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj121<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj1<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh91<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjh:1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0o1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0i1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj021<7?50;2x 7dd2;:27E2.9t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0<1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj0>1<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zj081<7?50;2x 7dd2;:27Et$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<592.>5l4=1:~yx=zjl?1<7?50;2x 7dd2;:27Ea29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:aa2<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82`>"21h0:h6sr}|9~f4>e29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj74H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;4>5<6290;w)N5j;1b>=750;&1ff<5801/=kh54c9'65d=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`7ag<7280;6=u+2ca965?<@;h97d5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f`?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg80e>"58k08m65rbdc94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f4>c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55G2c`8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64b4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj78k;%03f?0c32wi98j50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb0;2>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811C>ol4V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2;90(87n:318yx{z3th>>44?:083>5}#:ki1>=74H3`1?l4713:1(?ll:32:?!7an3927){e=;k1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn88i:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6??4$4;b>778983>4<729q/>om521;8L7d53`8;57>5$3``>76>3-;mj7:?;%03f?2732wi=5750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4=1:&6=d<592wvqp5rb4;7>5<6290;w)N5j;1b>=750;&1ff<5801/=kh5499'65d=<110qo;65;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:338 0?f2;;0qpsr;|`643<7280;6=u+2ca965?<@;h97d?76sm51594?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~ft$3``>76>3A8i>6g=0883>!4ek38;56*>fg851>"58k0=965rbb194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd3=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg804>"58k08<65rbbf94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd1=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg86e>"58k0>m65rbbg94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd?=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg872>"58k0?:65rbbd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdd=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg81b>"58k09j65rbe294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fdb=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg807>"58k08?65rbe394?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~fd`=83;1<7>t$3``>76>3A8i>6g=0883>!4ek38;56*>fg800>"58k08865rbe094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c815>"21h09=6sr}|9~f01c290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97f=#:9h1?n54}c74g?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?4f3-8;n7t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=in6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:01/>=l52898yg2dl3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ih4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an3?<7){e{zut1vn8>6:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;l;%03f?3d32wi94H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb40`>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5489'65d=<010qo;=b;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`637<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f776290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd927=#:9h1:?54}c024?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?5c3-8;n7=k;:a641=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;j6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=>?1/>=l56798yg4613:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th9=n4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39n7){e:8h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn??j:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7:>;%03f?2632wi>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb301>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5429'65d=<:10qo<=1;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`161<7280;6=u+2ca965?<@;h97b>76sm23194?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f741290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd902=#:9h18:54}c011?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2f3-8;n7:n;:a671=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj=no6=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`=:l1/>=l52d98yg2ck3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th>?:4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39:7){e=:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn887:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj78?;%03f?0732wi95m50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb5`5>5<6290;w)N5j;1d>=750;&1ff<5801/=kh5519'65d==910qo:m5;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`654<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f035290:6=4?{%0ag?4712B9n?5`21;94?"5jj09<45+1gd97==#:9h1?554}c765?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74`<,<3j66;%3eb?2d3-8;n7:l;:a643=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6n2.>5l4>f:~yx=zj;;86=4>:183!4ek38;56F=b39l65?=83.9nn4=089'5c`==l54d98yg46:3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28l0(87n:0d8yx{z3th?ni4?:083>5}#:ki1>=74H3`1?j4713:1(?ll:32:?!7an39i7){e{zut1vn8:;:182>5<7s-8io752;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64`4<729q/>om521;8L7d53f8;57>5$3``>76>3-;mj7;m;%03f?3e32wi99o50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>f:&6=d<6n2wvqp5rb47e>5<6290;w)N5j;1d>=750;&1ff<5801/=kh53g9'65d=;o10qo;:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0d8 0?f28l0qpsr;|`7`3<7280;6=u+2ca965?<@;h97b5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82b>"21h0:j6sr}|9~f01a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1=d=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>4l4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b5<7s-8io753;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4:2>5<6290;w)N5j;1d>=650;&1ff<58110qo;73;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3?<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95<=#=0k1=45r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0>529086=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi95850;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6<2<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm59:94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4:j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?>?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb215>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg55m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32094?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8?>4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb217>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;;n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=hl1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8l9:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1g3=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`c94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8ol:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6ea<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2il0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3fj3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3e83:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?423-?2m7<:;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m1;2954<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e2;?0(87n:378yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m773<,<3j6?;4}|~?l7>>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m773<,<3j6?;4}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>n?4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c194?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`960=#=0k1>85r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6?;4$4;b>7312\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6f6<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`04g<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e;881<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn>>l:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::o6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg57n3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5683:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5:f>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2>i3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th?4k4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3190;6<4?:1y'6ge=:j90D?l=;n037;:a0<4=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12?7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm48694?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?584?:583>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn978:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5;;>5<3290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f1?629036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm?1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vnih50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`ga?6=>3:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xdc>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vni950;394?6|,;hh6?m<;I0a6>i5810;6)=zjm31<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wihl4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a`g<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3tho47>55;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9jk1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f`=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8i86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2g2<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5f>=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?c883>2<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e4gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8i>6=4::183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6kj0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=nj50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a2<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e9o>1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?f283>3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a5`>=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:i44?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e9lh1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jc;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`b=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8on6=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?jf;29=?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2b5<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9o;1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`961=#=0k1>95r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e9lk1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb200>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg55j3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a77?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj:;n6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm30d94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn><>:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6l2.>5l4>d:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm33094?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo==0;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f643290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d083>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5a?=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:328yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8n86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1e694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e9m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2`0<72:0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4`229096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<582w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl>f683>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7a03:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`2bd<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?ib;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8l26=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1g494?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th95h4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2`594?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5i?0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>4h50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e5<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6d5=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>95+58c961=zutw0e4d43_;3m74?<,<3j6<74}|~?l7>>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T23twvq6g>9683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<612.>5l4>9:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2830(87n:0;8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4f<3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e2;>0(87n:368yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:56*:9`82=>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:k;1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6d?=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9ml4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:hi1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>lj50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1o6j;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`961=#=0k1>95r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0986*:9`810>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95<=#=0k1=45r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i6<74$4;b>4?12\:4l4={%7:f?7>3-?2m7?6;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>9:&6=d<612wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1e`<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f7ge290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?463-?2m7<>;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb23a>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg56<3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>?::182>5<7s-8io754;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=>8;2954<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f67>290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>95+58c961=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd49?0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4;l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?9;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb26b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg54n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl<4383>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;7;292?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m74c<,<3j6!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:>36=4<:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm35`94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f635290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg53l3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`00c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=:0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=;e;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63429096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1n7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl<5583>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8984?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;<=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`01=<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;<31<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52>3:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg52k3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8::4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?8k50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:<;6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`026<72?0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`021<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1=i5+58c95a=zutw0e:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:n?4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1o61?0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`961=#=0k1>95r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?;;50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?:4$4;b>72?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f63a290<6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm37:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f614290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg51i3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`02f<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4>l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e4ge3_;3m74b<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2b383>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0986*:9`810>{zut1b=4m50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5<2.>5l4=4:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m772<,<3j6?:4}|~?l7>n3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6f:T23twvq6g>a083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<612.>5l4>9:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k87[?7a;0x 0?e2830(87n:0;8yx{z3`;j87>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m85Y19c96~"21k0:56*:9`82=>{zut1b=l850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d0<^82j6?u+58`95<=#=0k1=45r}|8m4g0290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i00;6)P5jl0:wAa89U5=g=:r.>5o4>9:&6=d<612wvqp5f1`c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hk0Z<6n:3y'1i:0c`?S7?i38p(87m:0;8 0?f2830qpsr;h3b`?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f60a2909=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95a=#=0k1=i5r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28n0(87n:0f8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:h6*:9`82`>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95a=#=0k1=i5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64b12\:4l4={%7:f?7c3-?2m7?k;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?:4$4;b>72{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;>0(87n:368yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5<2.>5l4=4:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4?90;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95a=#=0k1=i5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28n0(87n:0f8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:h6*:9`82`>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95a=#=0k1=i5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64bi2\:4l4={%7:f?7c3-?2m7?k;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64b{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;>0(87n:368yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=:6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95a=#=0k1=i5r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m64bo61?0;6)P5jl0:wA979U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0f8 0?f28n0qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82`>"21h0:h6sr}|9j5929K6gd<^;hn6i:0;a?S7?i38p(87m:368 0?f2;>0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?:4$4;b>72{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`95<=#=0k1=45r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8:o4?:683>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg50<3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th84=4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4??0;6<4?:1y'6ge=:j90D?l=;n037;:a72>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36c94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a72d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;>i1<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?:j50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0e4g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm36g94?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:=<6=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9;n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94=0:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3=j0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::d;295?6=8r.9nn4=c29K6g4<3th?9h4?:283>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?9o4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb542>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg21m3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;02?!3>i38:7psr}:m14=<72-8io7{zut1vn98k:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c656?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:93;295?6=8r.9nn4=c29K6g4<3th?:84?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb545>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb544>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3>10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn986:180>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn98n:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`72g<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8;:50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm47d94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f11>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8:650;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb553>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<>;1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==?6=48:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn99::18;>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj===6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm46094?3=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj==j6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:70;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=09<6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`73f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd3?l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3?k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8m;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2l80;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k0;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`6g0<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd2k10;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn8m6:187>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4ab>5<693:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;>0(87n:368yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0986*:9`810>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`961=#=0k1>95r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6<74$4;b>4??2\:4l4={%7:f?7>3-?2m7?6;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0ee290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38?7);6a;07?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c810>"21h0986sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1fe=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`961=#=0k1>95r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e2;>0(87n:368yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0986*:9`810>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4a4>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6g`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=l<6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;?4;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`646<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8kl50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e8`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7b`<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=lm6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4gc94?>=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1a`=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;j0;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c6290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7f7?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=l>1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;j5;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c?290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6a<<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0c529036=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0e4ga3_;3m74?<,<3j6<74}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo8?5;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38:7);6a;02?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f363290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3ai3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6bf<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`545<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb721>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e=oh1<7650;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9hm50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4d4>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6??4$4;b>773-?2m7?6;|~y>{e=o<1<7850;2x 7dd283;7E4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn8kk:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1`c=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4d3>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2n80;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=i5+58c95a=zutw0e4g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82`>"21h0:h6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3a:3:157>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5g194?76290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m2:T2b283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j:1]=5o52z&6=g<612.>5l4>9:~yx=n90<1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283<7[?7a;0x 0?e2830(87n:0;8yx{z3`;247>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=<<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:545Y19c96~"21k0:56*:9`82=>{zut1b=4o50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=o>1<7?>:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m772<,<3j6?:4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c810>"21h0986sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:368 0?f2;>0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>ik4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>9:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2;%7:e?463twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?;96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo8?7;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd18h0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn;>m:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`54f<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a246=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj?:26=47:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd3i00;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7ed<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2fl3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8lk50;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mk4?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0g6=83?1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2e93:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?n?4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?mo4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7db=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5209'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8mn4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?l850;394?6|,;hh6?m<;I0a6>i5810;6)=zj:k36=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>o6:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e4ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3`c94?1=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;h=1<7:50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;ho1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>l9:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1bb?6=;3:18`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=m0;295?6=8r.9nn4=c29K6g4<3th8n?4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`0>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2`7>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o?50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8=;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb52e>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg27>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl;0883>6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`74g<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm41a94?3=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9>k:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1;47>56;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2a2>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om5829K6g4<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'154;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:hm6=4;:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=3m6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:n7;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`7=f<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'12h7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd31l0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9o?:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i;0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i:0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3i=0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7f4=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:ii6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3bc94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?n:50;394?6|,;hh6?m<;I0a6>i5810;6)=zj:i=6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>m8:186>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:368 0?f2;>0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6e?290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4l?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=k5;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0ga<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4l90;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8h<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?i<50;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn>mi:186>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2683:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=84?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd39;0;6<4?:1y'6ge=:j90D?l=;n037;:a045=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1::7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a041=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?=54?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<831<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:>c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`764<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e<8n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi8<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:>0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi8?=50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`761<7280;6=u+2ca96f5<@;h97b5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6f2=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;ij6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m777<,<3j6??4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2b;94?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>n850;394?6|,;hh6?m<;I0a6>i5810;6)=zj;i<6=4>:183!4ek38;:6F=b39l65>=83.9nn4=0998yg4b?3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9io4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2dd94?5=83:p(?ll:3`:?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1><5+58c964=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5ml0;6;4?:1y'6ge=90:0D?l=;%3a0?403`;2?7>5$3``>4?43_8ii7?tL3a3>4}Q9oo1>v*=0g82=6=Q91k1>v*:9c82=>"21h0:56sr}|9ja7<72-8io7k=;W0aa?7|D;i;66X>8`81!3>j3;27);6a;3:?x{zu2cn?7>5$3``>`5<^;hn6?uC2b295~P6nl09w)9558`8yScb2;q/94751818 6502<3i7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2m6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;g1?!54?3?2n6s+60695d=z^;:n6?uYee81!3>13o87)=<7;7:f>{#>8>1>;5r}|9j6{W3ea?4|,;:m6?7m;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96!4ek38286X=bd81I4d83;pZ0Z<6n:3y'1544=959'761==0h0q)8>4;06?x{z3f8;47>5$3``>76?32wi>h650;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1a<<7280;6=u+2ca96f5<@;h97b5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m672i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3g`>5<2290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1b1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:on1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6c7=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j?4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:o91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3d5>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?2<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e:o21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9j44?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a01c=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=?96=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::9;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`70c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1><7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn9;<:1825?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:i6*:9`82a>{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95`=#=0k1=h5r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c811>"21h0996sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1>85+58c960=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm44694?76290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1>85+58c960=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:378 0?f2;?0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo::5;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?l7e:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?f290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6?;4$4;b>73j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb575>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0996*:9`811>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e:jh1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?j>:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;;0(87n:338yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6a6=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj;ih6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm2bf94?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn?mj:180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:m21<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`964=#=0k1><5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a6a5=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9h94?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e:m?1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`1`c<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=1:&6=d<592wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl=d`83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4cj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`1`f<72=0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5m?0;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c815>"21h09=6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`1a4<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5m:0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a7ad=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o96=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo=k8;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6b>290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c1ge?6=?3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bd290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bc290=6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6bb290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0g8 0?f28o0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0`c<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4m90;684?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm3dd94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6`3290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2d2>5<6290;w)N5j;1d>=650;&1ff<58110qo=i2;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a07>=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=8m6=49:183!4ek3;2<6F=b39'5g2=:11b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo:=9;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'19m7>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd3:j0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3:m0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm43`94?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3080;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85j50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5:1>5<4290;w)N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn96<:182>5<7s-8io7397>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49494?5=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm49594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2?03:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85750;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi85o50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30k0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd30=0;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm5`294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0g0290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3>m3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`6=c<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;n1;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2i;0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j63twvq6sm5`194?2=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=h51868R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:n84?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm1b394?0=83:p(?ll:0;3?M4e:2.:n94=7:k2=6<72-8io7?63:T1f`<6sE8h<7?tV0df>7}#:9l1=4=4V0:b>7}#=0h1=45+58c95<=zutw0eh<50;&1ff{M0`4?7|^8ln6?u+21d9a7=Q91k1>v*:9c82=>"21h0:56sr}|9ja6<72-8io7k<;W0aa?4|D;i;68`81!3>j3;i7);6a;3a?x{zD;hm69uY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:f>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=d=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100n>6*<3686=g=z,?;?6v*:988f7>"4;>0>5o5r$737>70j2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7m;%103?3>j2w/:<:5249~yx=n:0>1<7*=bb81=1=Q:ko1>vB=c182S7am38p(?>i:3;7?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'10(>=8:4;a?x"19=0996sr}:m14=<72-8io75G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0`4>5<6290;w)N5j;1d>=650;&1ff<58110qo?m9;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5gg=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:368 0?f2;>0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'158;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c810>"21h0986sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;h3ba?6=,;hh6<7<;I0af>P5jl0:wAad9U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7em3:147>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`961=#=0k1>95r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6j10;654?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9jh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm31094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f66f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:338 0?f2;;0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?=750;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb3de>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e;9:1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5<2.>5l4=4:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0986*:9`810>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m6723-?2m7?6;|~y>{e;991<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg57<3:147>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj::=6=46:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0996*:9`811>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0996*:9`811>{zut1b=o?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;l91<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>k7:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1f0?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:o>6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5b>3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>4;50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3;b>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg4>>3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?78:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f6c>29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5bj3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`0af<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:li6=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?4;292?6=8r.9nn4>919K6g4<,8h?6?94i0;0>5<#:ki1=4=4V3`f>4}K:j:1=vX>fd81!47n3;2?6X>8`81!3>j3;27);6a;3:?x{zu2cn>7>5$3``>`4<^;hn65<#:ki1i>5Y2cg96~J5k90:w[?ie;0x 76a2l90Z<6n:3y'1544j3:&072<21k1vZhk52z&6=<<61:1/?>9558`8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;b?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>2l80(>=8:4;a?x"19=0:m6sY21g96~Pbl38p(876:d18 6502<3i7p*915812>{zu2c95o4?:%0ag?4>j2\9nh4={M0`4?7|^8ln6?u+21d9685r}|N1fc<6s_8i97<3:1(?ll:3;7?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqA<2.8?:4:9c9~ 3732;?0qps4o32;>5<#:ki1>=64;|`0bf<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'151;294~"5jj09o>5G2c08k76?290/>om521:8?xd4no0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2783:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:?1;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg27:3:197>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=ie;291?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3;j0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi89?50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb51g>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e<:o1<7?50;2x 7dd2;i87E43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f12529096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1?;7>56;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl;4283>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg23<3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`700<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`5a?6=:3:1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0=3:1:7>50z&1ff<6191C>o<4$0`7>71P60h09w);6b;3:?!3>i3;27psr}:kf6?6=,;hh6h<4V3`f>4}K:j:1=vX>fd81!47n3o97[?7a;0x 0?e2830(87n:0;8yx{z3`o86=4+2ca9a6=Q:ko1>vB=c182S7am38p(?>i:d18R4>f2;q/94l51c9'1k39pZhj52z&6=<544>929'761==0h0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/94751818 6502<3j7p*915814>{Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:d08 6502<3i7p*91582e>{Q:9o1>vXjd;0x 0?>2l90(>=8:4;a?x"19=09:6sr}:k1=g<72-8io7<6b:T1f`<5sE8h<7?tV0df>7}#:9l1>4l4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=g=#;:=194l4}%420?423twv7d<64;29 7dd2;3?7[5l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<64:&072<21k1v(;?;:378yx{<3th=j7>53;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg17290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c51>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj>91<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;>0(87n:368yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7083>6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg1129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo6>:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c54>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e?10;6<4?:1y'6ge=:j90D?l=;n037;:a3<<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo9m:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm7b83>2<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd0n3:197>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?d`83>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5`0=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj8ni6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f4bd290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c3ga?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e9ml1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?j0;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m772<,<3j6?:4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8o:6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?e383>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2a6<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=ij50;:94?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=45+58c95<=zutw0e4d73_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm32:94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f65c290=6=4?{%0ag?7>82B9n?5+1c6962=n9091<7*=bb82=6=Q:ko1=vB=c182S7am38p(?>i:0;0?S7?i38p(87m:0;8 0?f2830qpsr;hg1>5<#:ki1i?5Y2cg95~J5k90:w[?ie;0x 76a2l80Z<6n:3y'1P5jl09wA=h5e29U5=g=:r.>5o4>b:&6=d<6j2wvqAk39pZhj52z&6=<544>929'761==0k0q)8>4;03?xP51j08w[kk:3y'19558`8yScb2;q/9475e39'761==0h0q)8>4;3b?xP58l09w[kk:3y'19558`8y!06<38=7psr;h0:f?6=,;hh6?7m;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:f>"4;>0>5o5r$737>730Z?lj:3yO6f6=9r\:jh4={%03b?4><2\:4l4={%7:f?423-?2m7<:;|~H7da28q]>o;52zTf`?4|,<326?7;;%103?3>j2w/:<:5249~yx=h:921<7*=bb814==53;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb21b>5<6290;w)N5j;1d>=650;&1ff<58110qo=1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo=7c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`0=4<72?0;6=u+2ca95<6<@;h97)?m4;04?l7>;3:1(?ll:0;0?S4em3;p@?m?:0yU5cc=:r.9929U5=g=:r.>5o4>9:&6=d<612wvqp5fe383>!4ek3o97[3-?2m7?6;|~y>ob;3:1(?ll:d18R7db2;qG>n>51zT2b`<5s-8;j7k<;W3;e?4|,<3i64doh54zT1=f<4s_oo6?u+58;9a6=#;:=194l4}Wgf>7}#=031=4=4$214>0?e3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>i2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257k=;%103?3>j2w/:<:51`9~R76b2;q]ii4={%7:=?c43-98;7;6b:'242=:?1vqp5f28`94?"5jj095o5Y2cg96~J5k90:w[?ie;0x 76a2;3i7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/947528`8 6502<3i7p*915811>{zu2c9594?:%0ag?4><2\9nh4={M0`4?7|^8ln6?u+21d96<2<^82j6?u+58`960=#=0k1>85r}|N1fc<6s_8i97{e;1n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi?5h50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?4<50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb2;4>5<1290;w)N5j;1/=o:5269j5<5=83.9nn4>929U6gc=9rF9o=4>{W3ea?4|,;:m6<7<;W3;e?4|,<3i6<74$4;b>4?ok51zN1g5<6s_;mi7`4<^82j6?u+58`95<=#=0k1=45r}|8m`5=83.9nn4j3:T1f`<5sE8h<7?tV0df>7}#:9l1i>5Y19c96~"21k0:n6*:9`82f>{zuE8ij7:tV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13;2?6*<3686=g=z,?;?6?>4}W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031i?5+325915l4=5:~yI4en3;pZ?l::3yUaa<5s-?257<6b:&072<21k1v(;?;:378yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3?7)=<7;7:f>{#>8>1>85r}|9l65>=83.9nn4=0998yg5>;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn>7;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a7t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th85l4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;0h1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e;0o1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>o<:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c1:b?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:k;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5f93:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg5a=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th8jl4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd4n>0;6<4?:1y'6ge=:j90D?l=;n037;:a7c>=8391<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'18<7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3<729q/>om51828L7d53-;i87<8;h3:7?6=,;hh6<7<;W0aa?7|D;i;65Y19c96~"21k0:56*:9`82=>{zut1bi?4?:%0ag?c53_8ii7?tL3a3>4}Q9oo1>v*=0g8f6>P60h09w);6b;3:?!3>i3;27psr}:kf7?6=,;hh6h=4V3`f>7}K:j:1=vX>fd81!47n3o87[?7a;0x 0?e28h0(87n:0`8yx{K:kl18vX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'1=8:4;a?x"19=09<6sY28a97~Pbl38p(876:d18 6502<3i7pXje;0x 0?>28387)=<7;7:e>{#>8>1>=5rV3;`>6}Qmm09w);69;g0?!54?3?2n6sYed81!3>13o97)=<7;7:f>{#>8>1=l5rV32f>7}Qmm09w);69;g0?!54?3?2n6s+606963=zut1b>4l50;&1ff<51k1]>ok52zN1g5<6s_;mi77?e3_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4l4$214>0?e3t.==94=5:~y>o51=0;6)P5jl09wA=h52868R4>f2;q/94l5249'19558`8y!06<38>7psr;n037;:a067=8391<7>t$3``>7d>3A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th???4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e<:91<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e<:<1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn9=m:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c603?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=936=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2413:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg2303:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?8i4?:783>5}#:ki1=4>4H3`1?!7e<38<7d?63;29 7dd28387[5l4>9:~yx=nm;0;6)3twvq6gj3;29 7dd2l90Z?lj:3yO6f6=9r\:jh4={%03b?c43_;3m74d<,<3j67}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6a:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?c53-98;7;6b:'242=9h1vZ?>j:3yUaa<5s-?257k<;%103?3>j2w/:<:5279~yx=n:0h1<7*=bb81=g=Q:ko1>vB=c182S7am38p(?>i:3;a?S7?i38p(87m:378 0?f2;?0qpsC2cd95~P5j<09w[kk:3y'1=8:4;a?x"19=0996sr}:k1=1<72-8io7<64:T1f`<5sE8h<7?tV0df>7}#:9l1>4:4V0:b>7}#=0h1>85+58c960=zutF9nk4>{W0a1?4|^ln1>v*:9881=1=#;:=194l4}%420?423twv7b;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd37;:a01d=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?433-?2m7<;;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`961=#=0k1>95r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8l8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1om51818R7db28qG>n>51zT2b`<5s-8;j7?63:T23twvq6gj2;29 7dd2l80Z?lj:0yO6f6=9r\:jh4={%03b?c53_;3m74?<,<3j6<74}|~?lc4290/>om5e29U6gc=:rF9o=4>{W3ea?4|,;:m6h=4V0:b>7}#=0h1=o5+58c95g=zutF9nk4;{W0:g?5|^ln1>v*:988f7>"4;>0>5o5rVdg96~"2100:5>5+325917}#=031=4=4$214>0?f3t.==94=0:U6`5<,:9<687m;|Tfa?4|,<326h<4$214>0?e3t.==94>a:U65c=:r\nh7`5<,:9<687m;|&551<5>2wvq6g=9c83>!4ek382n6X=bd81I4d83;pZ544=9c9'761==0h0q)8>4;06?x{z3`8287>5$3``>7?33_8ii74}Q9oo1>v*=0g81=1=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>138286*<3686=g=z,?;?6?;4}|8k76?290/>om521:8?xd2j10;6>4?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;m9;295?6=8r.9nn4=c29K6g4<3th>no4?:283>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb4``>5<4290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd2jm0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8li:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3d83:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>o<4?:783>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?433-?2m7<;;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`961=#=0k1>95r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>nl4?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ac=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj929K6gd<^;hn6i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f0b3290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c7g2?6=;3:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e=m=1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;k8;293?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9i750;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;I0af>P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1ag=83=1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;>0(87n:368yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6l2.>5l4>d:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m772<,<3j6?:4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c810>"21h0986sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi9il50;594?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1o6io0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`961=#=0k1>95r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`95a=#=0k1=i5r}|8m4ga290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2f5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>h84?:983>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:56*:9`82=>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a0a2=83<1<7>t$3``>4?73A8i>6*>b5813>o61:0;6)P5jl0:wA=h51818R4>f2;q/94l5189'16X=bd82I4d83;pZ5l4>9:~yx=nm:0;6)`5<,:9<687m;|Tfa?4|,<326<7<;%103?3>j2w/:<:5219~R7?d2:q]ii4={%7:=?c43-98;7;6b:Ua`<5s-?257?63:&072<21h1v(;?;:328yS4>k39pZhj52z&6=<544j2:&072<21k1v(;?;:0c8yS47m38pZhj52z&6=<5<#:ki1>4l4V3`f>7}K:j:1=vX>fd81!47n382n6X>8`81!3>j38>7);6a;06?x{zD;hm64:50;&1ff<51=1]>ok52zN1g5<6s_;mi77?33_;3m773<,<3j6?;4}|O6g`=9r\9n84={Wgg>7}#=031>4:4$214>0?e3t.==94=5:~y>i5810;6)=zj=n;6=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm4e394?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn9j=:185>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3mo0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8k:50;494?6|,;hh6<7?;I0a6>"6j=09;6g>9283>!4ek3;2?6X=bd82I4d83;pZP5jl0:wA=h5e39U5=g=:r.>5o4>9:&6=d<612wvqp5fe283>!4ek3o87[4m53zTf`?4|,<326h=4$214>0?e3t\ni74?43-98;7;6b:'242=:91vZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9`9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<544j3:&072<21k1v(;?;:348yx{P60h09w);6b;06?!3>i38>7psrL3`e>4}Q:k?1>vXjd;0x 0?>2;3i7)=<7;7:f>{#>8>1>85r}|9j6<2=83.9nn4=959U6gc=:rF9o=4>{W3ea?4|,;:m6?7;;W3;e?4|,<3i6?;4$4;b>73oh51zT1f0<5s_oo6?u+58;96<2<,:9<687m;|&551<5=2wvq6a=0983>!4ek38;465rb5d3>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f06f29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:0c83>6<729q/>om52c;8L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e=9n1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4=4:&6=d<5<2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?:4$4;b>723-?2m7?6;|~y>{e=;:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8<8:185>5<7s-8io7?60:J1f7=#9k>1>:5f18194?"5jj0:5>5Y2cg95~J5k90:w[?ie;0x 76a28387[?7a;0x 0?e2830(87n:0;8yx{z3`o96=4+2ca9a7=Q:ko1=vB=c182S7am38p(?>i:d08R4>f2;q/94l5189'15l4>b:~yI4en3>pZ?7l:2yUaa<5s-?257k<;%103?3>j2w]ih4={%7:=?7>;2.8?:4:9c9~ 3732;:0q[<6c;1xR`b=:r.>544j3:&072<21k1vZhk52z&6=<<61:1/?>9558c8y!06<38;7pX=9b80Scc2;q/9475e29'761==0h0q[kj:3y'19558`8y!06<3;j7pX=0d81Scc2;q/9475e29'761==0h0q)8>4;05?x{z3`82n7>5$3``>7?e3_8ii74}Q9oo1>v*=0g81=g=Q91k1>v*:9c811>"21h0996sr}M0ab?7|^;h>6?uYee81!3>1382n6*<3686=g=z,?;?6?;4}|8m7?3290/>om52868R7db2;qG>n>51zT2b`<5s-8;j7<64:T27?33-98;7;6b:'242=:<1vqp5`21:94?"5jj09<554}c715?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<896=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg35<3:1?7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35=3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?:4$4;b>72{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;>0(87n:368yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;=3;297?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f04c29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj0:5=5G2c08 4d32;=0e<7<:18'6ge=9090Z?lj:0yO6f6=9r\:jh4={%03b?7>;2\:4l4={%7:f?7>3-?2m7?6;|~y>ob:3:1(?ll:d08R7db28qG>n>51zT2b`<5s-8;j7k=;W3;e?4|,<3i6<74$4;b>4?ok52zN1g5<6s_;mi7`5<^82j6?u+58`95g=#=0k1=o5r}|N1fc<3s_82o7=tVdf96~"2100n?6*<3686=g=z^lo1>v*:9882=6=#;:=194l4}%420?473t\95n4<{Wgg>7}#=031i>5+325914m53zTf`?4|,<326h=4$214>0?e3t\ni7`4<,:9<687m;|&551<6i2w]>=k52zTf`?4|,<326h=4$214>0?e3t.==94=6:~y>o51k0;6)P5jl09wA=h528`8R4>f2;q/94l5249'19558`8y!06<38>7psr;h0:0?6=,;hh6?7;;W0aa?4|D;i;6{zuE8ij7?tV3`6>7}Qmm09w);69;0:0>"4;>0>5o5r$737>737:18'6ge=:9207pl:2d83>6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg35n3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`674<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`677<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'156;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m672{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;>0(87n:368yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a166=83>1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a125=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=i6=49:183!4ek3;2<6F=b39'5g2=:>1b=4=50;&1ff<61:1]>ok51zN1g5<6s_;mi74?43_;3m74?<,<3j6<74}|~?lc5290/>om5e39U6gc=9rF9o=4>{W3ea?4|,;:m6h<4V0:b>7}#=0h1=45+58c95<=zutw0eh=50;&1ffv*:9c82f>"21h0:n6sr}M0ab?2|^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5o5r$737>76v*:9882=6=#;:=194o4}%420?473t\95n4<{Wgg>7}#=031i>5+325917}#=031i>5+32591j3:1(?ll:3;a?S4em38p@?m?:0yU5cc=:r.95o4=5:&6=d<5=2wvqAj2.8?:4:9c9~ 3732;?0qps4i3;7>5<#:ki1>4:4V3`f>7}K:j:1=vX>fd81!47n38286X>8`81!3>j38>7);6a;06?x{zD;hm6=650;&1ff<58110qo;84;297?6=8r.9nn4=b89K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f012290:6=4?{%0ag?4d;2B9n?5`21:94?"5jj09<554}c743?6=;3:1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m772<,<3j6?:4}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<=26=49:183!4ek3287E=h51868R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`633<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16<<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e:;n1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn?=;:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;8i6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg45k3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>?k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`16c<7210;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;9;6=47:183!4ek3287E=h51868R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c811>"21h0996sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'159;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673o6j80;6)P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>59;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o>4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74d63_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22194?0=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;k0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb315>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e::=1<7?50;2x 7dd2;i87E29086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm22c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:h6*:9`82`>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4403:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?=l:18:>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5;l0;644?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>>h50;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9?50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb364>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg43j3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e28i0(87n:0a8yx{z3th98?4?:283>5}#:ki1>o74H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'17:18'6ge=:9207pl=4483>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>95+58c961=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74b<,<3j63twvq6sm25694?5=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5<10;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>9750;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd54?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<;c;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`117<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e:=n1<7=50;2x 7dd2;h27E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4<729q/>om52b18L7d53f8;47>5$3``>76?32wi>8>50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5=80;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:m14=<72-8io7{zut1vn?:i:180>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a605=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;?26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|`111<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd5=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5<2.>5l4=4:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`961=#=0k1>95r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64b3-?2m7?6;|~y>{e:<<1<7=50;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?=<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb37a>5;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<:c;291<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73c290?6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f73a29096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i64e6<729q/>om52c;8L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4193:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`126<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c810>"21h0986sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo<94;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5259'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28n0(87n:0f8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a634=8391<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9:;4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27a94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f71629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb34;>5<6290;w)N5j;1d>=650;&1ff<58110qo<9a;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj;{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41l3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5=2.>5l4=5:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm27g94??=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg41n3:157>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:378 0?f2;?0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?90;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?;0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb35g>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40;3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?9;:182>5<7s-8io753;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=i5+58c95a=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm26594?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th9;84?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>:o50;32>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1>85+58c960=zutw0e6X>8`81!3>j38>7);6a;06?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82=>"21h0:56sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=45+58c95<=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74?<,<3j6<74}|~?l7>03:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T23twvq6g>9883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<612.>5l4>9:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a62d=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?j0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd5?l0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5:50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:b>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg40n3:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6?:182>5<7s-8io77>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm29194?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th94<4?:283>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd50?0;6;4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>5950;494?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:;>5<0290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a6=?=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn?6m:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd51:0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;h7);6a;3`?x{zu2wi>5m50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`15$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0986*:9`810>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg4>83:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?433-?2m7<;;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb3:f>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e:081<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1>85+58c960=zutw0e4ge3_;3m773<,<3j6?;4}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m<0;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8h750;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>c:&6=d<6k2wvqp5rb5fe>5<4290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>{e43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th?i>4?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>95+58c961=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd3m=0;654?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74b<,<3j6ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>95+58c961=zutw0e8`81!3>j38?7);6a;07?x{zu2c:n=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a4<72=0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7a3<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i6<74$4;b>4?j2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5g4>5<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg3403:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>?n4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55094?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f05>29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb41b>5<6290;w)N5j;1d>=650;&1ff<58110qo;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<9o6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a16c=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n=5Y19c96~"21k0:i6*:9`82a>{zut1b=o?50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283=7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2==<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:555Y19c96~"21k0:i6*:9`82a>{zut1b=4750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7n;W3;e?4|,<3i64cj2\:4l4={%7:f?423-?2m7<:;|~y>o61j0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2830(87n:0;8yx{z3`;j=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m?5Y19c96~"21k0:56*:9`82=>{zut1b=l=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d5<^82j6?u+58`95<=#=0k1=45r}|8m4g3290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i?0;6)P5jl0:wAa79U5=g=:r.>5o4>9:&6=d<612wvqp5f1`594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h=0Z<6n:3y'1i:0c;?S7?i38p(87m:0;8 0?f2830qpsr;h3b=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:ml4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ed=Q91k1>v*:9c82=>"21h0:56sr}|9j5de=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lm4V0:b>7}#=0h1=45+58c95<=zutw0e4gc3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm55294?46290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>:44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57f94?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~f00f29086=4?{%0ag?4e12B9n?5f18694?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb44a>5<6290;w)N5j;1d>=650;&1ff<58110qo;9c;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74b<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo;7e;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`6=7<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b{e=1l1<7=50;2x 7dd2;h27E=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a1<6=83;1<7>t$3``>7e43A8i>6a=0983>!4ek38;465rb4;2>5<4290;w);2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8o950;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb5`a>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95f=#=0k1=n5r}|8yg2e03:1?7>50z&1ff<5j01C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9l6:182>5<7s-8io7im7>53;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:368 0?f2;>0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=4:&6=d<5<2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a14b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<6k2.>5l4>c:~yx=zj<;86=4<:183!4ek38i56F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm50694?7=83:p(?ll:3a0?M4e:2e9<54?:%0ag?47021vn8?::180>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`652<7200;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`960=#=0k1>85r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?7:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn8?m:18:>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e4ga3_;3m773<,<3j6?;4}|~?l7e83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2b083>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a105=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo;:4;297?6=8r.9nn4=b89K6g4<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'197>51;294~"5jj09o>5G2c08k76?290/>om521:8?xd2=>0;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;>0(87n:368yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:m14=<72-8io7{zut1vn8;7:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95a=#=0k1=i5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0986*:9`810>{zut1b=ll50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28n0(87n:0f8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5<2.>5l4=4:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm54;94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<5<2.>5l4=4:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c810>"21h0986sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi98850;694?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2winh4?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6smc383>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3`?!3>i3;h7psr}:afc<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1:183!4ek38h?6F=b39l65>=83.9nn4=0998yge629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6l2.>5l4>d:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3thh:7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0a8 0?f28i0qpsr;|``3?6=;3:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjj21<7?50;2x 7dd2;i87Et$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7c3-?2m7?k;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1ii7>52;294~"5jj0n<6F=b39j5<5=83.9nn4>9298k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g1<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e4?:%0ag?7>;2\9nh4>{M0`4?7|^8ln6?u+21d95<5<^82j6?u+58`95<=#=0k1=45r}|8m`4=83.9nn4j2:T1f`<6sE8h<7?tV0df>7}#:9l1i?5Y19c96~"21k0:56*:9`82=>{zut1bi>4?:%0ag?c43_8ii74}Q9oo1>v*=0g8f7>P60h09w);6b;3a?!3>i3;i7psrL3`e>1}Q:0i1?vXjd;0x 0?>2l90(>=8:4;a?xPbm38p(876:0;0?!54?3?2n6s+606965=z^;3h6>uYee81!3>13o87)=<7;7:f>{Qml09w);69;3:7>"4;>0>5l5r$737>76v*:988f6>"4;>0>5o5r$737>4gom528`8R7db2;qG>n>51zT2b`<5s-8;j7<6b:T27?e3-98;7;6b:'242=:<1vqp5f28694?"5jj09595Y2cg96~J5k90:w[?ie;0x 76a2;3?7[?7a;0x 0?e2;?0(87n:378yx{K:kl1=vX=b481Scc2;q/94752868 6502<3i7p*915811>{zu2e9<54?:%0ag?47021vn9li:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i;6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg2d:3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi8n?50;194?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`7g0<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m773<,<3j6?;4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj=i=6=4<:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?6<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg33=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th>844?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j7;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi99950;394?6|,;hh6?m<;I0a6>i5810;6)=zj<>36=49:183!4ek3287E=h51868R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=i5+58c95a=zutw0e8`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`60f<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=<:1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn8:k:180>5<7s-8io71<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj<>n6=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg33n3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6l2.>5l4>d:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m772<,<3j6?:4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm57294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f00129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj09n45G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31:3:1=7>50z&1ff<5k:1C>o<4o32;>5<#:ki1>=64;|`621<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;I0af>P5jl0:wAac9U5=g=:r.>5o4>d:&6=d<6l2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'155;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64b{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg31;3:1?7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn9j8:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74e<,<3j64?:1y'6ge=:k30D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo:k9;295?6=8r.9nn4=c29K6g4<3th?hl4?:083>5}#:ki1>n=4H3`1?j4703:1(?ll:32;?>{e;1h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn>6n:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l51b9'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj:296=4>:183!4ek38h?6F=b39l65>=83.9nn4=0998yg5?<3:1?7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5;50;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95a=#=0k1=i5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64b{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28n0(87n:0f8yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6l2.>5l4>d:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=i5+58c95a=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74b<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6l2.>5l4>d:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28n0(87n:0f8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;07?!3>i38?7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51e9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>95+58c961=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m74?<,<3j6<74}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi?5950;02>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>d:&6=d<6l2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0f8 0?f28n0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82`>"21h0:h6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=i5+58c95a=zutw0e4d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1=i5+58c95a=zutw0e4d43_;3m74b<,<3j6>3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61>1]=5o52z&6=g<6l2.>5l4>d:~yx=n9021<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518:8R4>f2;q/94l51e9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e28n0(87n:0f8yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2=g<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0f8 0?f28n0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0f8 0?f28n0qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82`>"21h0:h6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=i5+58c95a=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74b<,<3j6j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=i5+58c95a=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:0;8 0?f2830qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e;131<7<>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95a=#=0k1=i5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3g?!3>i3;o7psr}:k2eg<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mo5Y19c96~"21k0:h6*:9`82`>{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28n0(87n:0f8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6l2.>5l4>d:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m74b<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0f8 0?f28n0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82`>"21h0:h6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=i5+58c95a=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74b<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6l2.>5l4>d:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5259'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6c:T29e83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4j4V0:b>7}#=0h1>95+58c961=zutw0e<7j:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38?7);6a;07?x{zu2c:5k4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c82=>"21h0:56sr}|9j5d7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l?4V0:b>7}#=0h1=45+58c95<=zutw0e4g53_;3m74?<,<3j6<74}|~?l7f;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n3:T23twvq6g>a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fd<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e=3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th26=4=:183!4ek3o;7E=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=n5+58c95f=zutw0qo>50;194?6|,;hh6?l6;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`2>5<6290;w)N5j;1d>=650;&1ff<58110qo=50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c810>"21h0986sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:368 0?f2;>0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi87>55;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0f8 0?f28n0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0f8 0?f28n0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi>7>53;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb783>77=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6io0;6)P5jl0:wAag9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k:0Z<6n:3y'1i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2o7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4h4V0:b>7}#=0h1>85+58c960=zutw0e:18'6ge=9090Z?lj:0yO6f6=9r\nj74g63_;3m773<,<3j6?;4}|~?l7f:3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c811>"21h0996sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1>85+58c960=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e?3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=h5+58c95`=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74c<,<3j613:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T29`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<6m2.>5l4>e:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283h7[?7a;0x 0?e2;?0(87n:378yx{z3`;2h7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61m1]=5o52z&6=g<5=2.>5l4=5:~yx=n90o1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?b3_;3m773<,<3j6?;4}|~?l7>n3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=c=Q91k1>v*:9c811>"21h0996sr}|9j5d7=83.9nn4>929K6gd<^;hn6i:0c2?S7?i38p(87m:378 0?f2;?0qpsr;h3b6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j38>7);6a;06?x{zu2c:m>4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a4?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb0494?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82g>"21h0:o6sr}|9~fg<72:0;6=u+2ca96g?<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjo0;694?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28n0(87n:0f8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6l2.>5l4>d:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51e9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<7>51083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64b=2\:4l4={%7:f?7c3-?2m7?k;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0f8 0?f28n0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;o7);6a;3g?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82`>"21h0:h6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:368 0?f2;>0qpsr;h3a6?6=,;hh6<7<;I0af>P5jl0:wAb39U5=g=:r.>5o4>d:&6=d<6l2wvqp5f1c194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k90Z<6n:3y'1i:0;5?S7?i38p(87m:0;8 0?f2830qpsr;h3:3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:554?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82===Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=474V0:b>7}#=0h1=45+58c95<=zutw0e<7n:18'6ge=9090Z?lj:0yO6f6=9r\nj74?f3_;3m74?<,<3j6<74}|~?l7>j3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6b:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjm0;694?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:3:1><4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74ga3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929K6gd<^;hn6i:0`2?S7?i38p(87m:0g8 0?f28o0qpsr;h3a6?6=,;hh6<7<;W0aa?7|D;i;66X>8`81!3>j3;n7);6a;3f?x{zu2c:n>4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f6=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<0=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090Z?lj:0yO6f6=9r\nj74?03_;3m74c<,<3j603:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?68:T29883>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<6m2.>5l4>e:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6b:T29b83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4m4V0:b>7}#=0h1=h5+58c95`=zutw0e<7k:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5h4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90o0Z<6n:3y'1i:0;e?S7?i38p(87m:378 0?f2;?0qpsr;h3b5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e7=Q91k1>v*:9c82=>"21h0:56sr}|9j5d5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l=4V0:b>7}#=0h1=45+58c95<=zutw0e4g33_;3m74?<,<3j6<74}|~?l7f=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n5:T23twvq6g>a783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i?1]=5o52z&6=g<612.>5l4>9:~yx=n9h=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`58R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k37[?7a;0x 0?e2830(87n:0;8yx{z3`;j57>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ed<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:ml5Y19c96~"21k0:56*:9`82=>{zut1b=lm50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95de<^82j6?u+58`95<=#=0k1=45r}|8m4gc290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9:0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:87>52083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=a<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518f8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6e:T29g83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k97[?7a;0x 0?e2830(87n:0;8yx{z3`;j?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e1<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m95Y19c96~"21k0:56*:9`82=>{zut1b=l;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d3<^82j6?u+58`95<=#=0k1=45r}|8m4g1290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i10;6)P5jl0:wAa99U5=g=:r.>5o4>9:&6=d<612wvqp5f1`;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h30Z<6n:3y'1i:0cb?S7?i38p(87m:0;8 0?f2830qpsr;h3bg?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mi4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ea=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?::187>5<7s-8io71<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vnk>50;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rbg394?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg`5290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m773<,<3j6?;4}|~?l7f83:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xda;3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab1<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wij84?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n<4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1o6j:0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`960=#=0k1>85r}|8m4?1290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0996*:9`811>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'1929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:ab=<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{en00;6>4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2;80(87n:308yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:m14=<72-8io7{zut1vnko50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929K6gd<^;hn6i:0`1?S7?i38p(87m:0g8 0?f28o0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73?2\:4l4={%7:f?423-?2m7<:;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>9:&6=d<612wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0;8 0?f2830qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~fcd=83;:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51848R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1>85+58c960=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m773<,<3j6?;4}|~?l7>i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T23twvq6g>9c83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61k1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'15<693:1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6j90;6)P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k;0Z<6n:3y'1i:0`1?S7?i38p(87m:378 0?f2;?0qpsr;h3a7?6=,;hh6<7<;I0af>P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i6?;4$4;b>73{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e4gb3_;3m74c<,<3j6b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<6m2.>5l4>e:~yx=n9k;1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d63_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g5=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o=4V0:b>7}#=0h1=h5+58c95`=zutw0e<79:18'6ge=9090Z?lj:0yO6f6=9r\nj74?13_;3m74c<,<3j6?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?67:T29983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6111]=5o52z&6=g<6m2.>5l4>e:~yx=n9031<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518;8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283j7[?7a;0x 0?e28o0(87n:0g8yx{z3`;2n7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=f<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h518a8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?6d:T29d83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4k4V0:b>7}#=0h1>85+58c960=zutw0e<7i:18'6ge=9090Z?lj:0yO6f6=9r\nj74?a3_;3m773<,<3j6?;4}|~?l7f93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n1:T23twvq6g>a383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i;1]=5o52z&6=g<612.>5l4>9:~yx=n9h91<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`18R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k?7[?7a;0x 0?e2830(87n:0;8yx{z3`;j97>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m;5Y19c96~"21k0:56*:9`82=>{zut1b=l950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d1<^82j6?u+58`95<=#=0k1=45r}|8m4g?290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ih0;6)P5jl0:wAa`9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`a94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hi0Z<6n:3y'1i:0cg?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wijh4?:3394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zjol1<7950;2x 7dd2;:<7E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95<=#=0k1=45r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6il0;6)P5jl0:wAad9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`245<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e99;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c094?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>o61?0;6)P5jl0:wA979U5=g=:r.>5o4>9:&6=d<612wvqp5f18594?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90=0Z<6n:3y'1i:0;;?S7?i38p(87m:0;8 0?f2830qpsr;h3:=?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5l4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=d=Q91k1>v*:9c82=>"21h0:56sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68;0;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1=h5+58c95`=zutw0e4d73_;3m74c<,<3j6b383>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j;1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k91<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d43_;3m74c<,<3j6>3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c811>"21h0996sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<>4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<6m2.>5l4>e:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i=7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0996*:9`811>{zut1b=o=50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<5=2.>5l4=5:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2830(87n:0;8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:56*:9`82=>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0583>1<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?0483>7<729q/>om5e19K6g45$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a550=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:i6*:9`82a>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95`=#=0k1=h5r}|8m4d7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1k2\:4l4={%7:f?7b3-?2m7?j;|~y>o61m0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?b290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=c<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5k5Y19c96~"21k0:56*:9`82=>{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`95<=#=0k1=45r}|8m4g5290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i=0;6)P5jl0:wAa59U5=g=:r.>5o4>9:&6=d<612wvqp5f1`794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h?0Z<6n:3y'1i:0c5?S7?i38p(87m:0;8 0?f2830qpsr;h3b3?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m54?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e==Q91k1>v*:9c82=>"21h0:56sr}|9j5d?=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l74V0:b>7}#=0h1=45+58c95<=zutw0e4gf3_;3m74?<,<3j6<74}|~?l7fk3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nc:T23twvq6g>ae83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6im1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d`=83.9nn4>929K6gd<^;hn6i:0ce?S7?i38p(87m:0g8 0?f28o0qpsr;h3a4?6=,;hh6<7<;I0af>P5jl0:wAb19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:5n4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90i0Z<6n:3y'1l2\:4l4={%7:f?423-?2m7<:;|~y>o61l0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d9585r}|8m4?a290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i;0;6)P5jl0:wAa39U5=g=:r.>5o4>9:&6=d<612wvqp5f1`194?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h90Z<6n:3y'1i:0c7?S7?i38p(87m:0;8 0?f2830qpsr;h3b1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e3=Q91k1>v*:9c82=>"21h0:56sr}|9j5d1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l94V0:b>7}#=0h1=45+58c95<=zutw0e4g?3_;3m74?<,<3j6<74}|~?l7f13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n9:T23twvq6g>a`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ih1]=5o52z&6=g<612.>5l4>9:~yx=n9hi1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`a8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ko7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a55>=838:6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;I0af>P5jl0:wAb09U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1c094?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9k80Z<6n:3y'1i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=2=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=464V0:b>7}#=0h1=h5+58c95`=zutw0e<76:18'6ge=9090Z?lj:0yO6f6=9r\nj74?>3_;3m74c<,<3j6i3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?6a:T29c83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4l4V0:b>7}#=0h1>85+58c960=zutw0e<7l:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5i4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90n0Z<6n:3y'1m2\:4l4={%7:f?423-?2m7<:;|~y>o61o0;6)P5jl0:wA9g9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`394?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h;0Z<6n:3y'1i:0c1?S7?i38p(87m:0;8 0?f2830qpsr;h3b7?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m94?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e1=Q91k1>v*:9c82=>"21h0:56sr}|9j5d3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l;4V0:b>7}#=0h1=45+58c95<=zutw0e4g13_;3m74?<,<3j6<74}|~?l7f?3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n7:T23twvq6g>a983>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i11]=5o52z&6=g<612.>5l4>9:~yx=n9h31<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`;8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kj7[?7a;0x 0?e2830(87n:0;8yx{z3`;jo7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2ea<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mi5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7713:187>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg77i3:1>7>50z&1ff54o32;>5<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=n9k;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:<3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90<0Z<6n:3y'1i:0;4?S7?i38p(87m:0g8 0?f28o0qpsr;h3:8`81!3>j3;n7);6a;3f?x{zu2c:544?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=<=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929U6gc=9rF9o=4>{Wge>7}#:9l1=4o4V0:b>7}#=0h1=h5+58c95`=zutw0e<7m:18'6ge=9090Z?lj:0yO6f6=9r\nj74?e3_;3m74c<,<3j6k3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=f=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;g?S7?i38p(87m:378 0?f2;?0qpsr;h3:a?6=,;hh6<7<;I0af>P5jl0:wA9d9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18d94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7i;W3;e?4|,<3i6?;4$4;b>73o6i;0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`960=#=0k1>85r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8:o6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n<5Y19c96~"21k0:i6*:9`82a>{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`95`=#=0k1=h5r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m64c>2\:4l4={%7:f?7b3-?2m7?j;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0g8 0?f28o0qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82a>"21h0:i6sr}|9j5929K6gd<^;hn6i:0;`?S7?i38p(87m:0g8 0?f28o0qpsr;h3:`?6=,;hh6<7<;I0af>P5jl0:wA9e9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7j;W3;e?4|,<3i6?;4$4;b>73{zut1b=l?50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d7<^82j6?u+58`960=#=0k1>85r}|8m4g5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0996*:9`811>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd68l0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0g8 0?f28o0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283m7[?7a;0x 0?e2;?0(87n:378yx{z3`;j=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i81]=5o52z&6=g<5=2.>5l4=5:~yx=n9h81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`08R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n3:T2a583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i=1]=5o52z&6=g<612.>5l4>9:~yx=n9h?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`78R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k=7[?7a;0x 0?e2830(87n:0;8yx{z3`;j;7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e=<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m55Y19c96~"21k0:56*:9`82=>{zut1b=l750;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d?<^82j6?u+58`95<=#=0k1=45r}|8m4gf290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6im0;6)P5jl0:wAae9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'152083>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m74c<,<3j69783>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61?1]=5o52z&6=g<6m2.>5l4>e:~yx=n90=1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51858R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e28o0(87n:0g8yx{z3`;257>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=d<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5l5Y19c96~"21k0:i6*:9`82a>{zut1b=4l50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95om51818R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i6?;4$4;b>73{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61o1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h;1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`38R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n2:T2a283>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i:1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`68R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k>7[?7a;0x 0?e2830(87n:0;8yx{z3`;j:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m:5Y19c96~"21k0:56*:9`82=>{zut1b=l650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d><^82j6?u+58`95<=#=0k1=45r}|8m4g>290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6ij0;6)P5jl0:wAab9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`f94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hn0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`255<72?0;6=u+2ca9651<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7>3-?2m7?6;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>9:&6=d<612wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:0;8 0?f2830qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f47629096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>53;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>?5+58c967=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m774<,<3j6?<4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10194?2=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0996*:9`811>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?1583>1<729q/>om5829K6g4i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?>5;290?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=<950;694?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5=2.>5l4=5:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10:94?1=83:p(?ll:324?M4e:2c:594?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82=>"21h0:56sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=45+58c95<=zutw0e4g73_;3m74?<,<3j6<74}|~?l7fj3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nb:T23twvq6g>ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<612.>5l4>9:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:=44?:383>5}#:ki1i=5G2c08m4?4290/>om51818?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm10c94?5=83:p(?ll:918L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;01?!3>i3897psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k09>6*:9`816>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76j3:1:7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg76k3:1:7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb03g>5;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1``94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lh50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0996*:9`811>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a54`=8331<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m1:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88;6=48:183!4ek38;;6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=45+58c95<=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74?<,<3j6<74}|~?l7f83:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?n0:T23twvq6g>ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<612.>5l4>9:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a577=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8896=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6::0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e28o0(87n:0g8yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:i6*:9`82a>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95`=#=0k1=h5r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i64ci2\:4l4={%7:f?7b3-?2m7?j;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88?6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f4<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c38R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h97[?7a;0x 0?e28o0(87n:0g8yx{z3`;i?7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=3<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5;5Y19c96~"21k0:i6*:9`82a>{zut1b=4950;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<1<^82j6?u+58`95`=#=0k1=h5r}|8m4??290/>om51818R7db28qG>n>51zTfb?4|,;:m6<77;W3;e?4|,<3i64c12\:4l4={%7:f?7b3-?2m7?j;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18`94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7m;W3;e?4|,<3i6?;4$4;b>73{zut1b=4j50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283o7[?7a;0x 0?e2;?0(87n:378yx{z3`;2i7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61l1]=5o52z&6=g<5=2.>5l4=5:~yx=n90l1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518d8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k:7[?7a;0x 0?e2830(87n:0;8yx{z3`;j>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m>5Y19c96~"21k0:56*:9`82=>{zut1b=l:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d2<^82j6?u+58`95<=#=0k1=45r}|8m4g2290/>om51818R7db28qG>n>51zTfb?4|,;:m64?2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i>0;6)P5jl0:wAa69U5=g=:r.>5o4>9:&6=d<612wvqp5f1`:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h20Z<6n:3y'1i:0c:?S7?i38p(87m:0;8 0?f2830qpsr;h3be?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mn4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82ef=Q91k1>v*:9c82=>"21h0:56sr}|9j5db=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lj4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6:<0;6??50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929K6gd<^;hn6i:0c3?S7?i38p(87m:0g8 0?f28o0qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f7<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n?5Y19c96~"21k0:i6*:9`82a>{zut1b=o=50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g5<^82j6?u+58`95`=#=0k1=h5r}|8m4?1290/>om51818R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c?2\:4l4={%7:f?7b3-?2m7?j;|~y>o6110;6)P5jl0:wA999U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18;94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:0g8 0?f28o0qpsr;h3:f?6=,;hh6<7<;I0af>P5jl0:wA9c9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18a94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7l;W3;e?4|,<3i64c{zut1b=4k50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283n7[?7a;0x 0?e2;?0(87n:378yx{z3`;2j7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e4<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m<5Y19c96~"21k0:56*:9`82=>{zut1b=l<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d4<^82j6?u+58`95<=#=0k1=45r}|8m4g4290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>o6i<0;6)P5jl0:wAa49U5=g=:r.>5o4>9:&6=d<612wvqp5f1`494?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h<0Z<6n:3y'1i:0c4?S7?i38p(87m:0;8 0?f2830qpsr;h3b8`81!3>j3;27);6a;3:?x{zu2c:m44?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e<=Q91k1>v*:9c82=>"21h0:56sr}|9j5dg=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lo4V0:b>7}#=0h1=45+58c95<=zutw0e4gd3_;3m74?<,<3j6<74}|~?l7fl3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nd:T23twvq6a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj88=6=4=1;294~"5jj03?6F=b39j5<2=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`95`=#=0k1=h5r}|8m4d5290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2f6<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:n>5Y19c96~"21k0:i6*:9`82a>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95`=#=0k1=h5r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i64c02\:4l4={%7:f?7b3-?2m7?j;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:378 0?f2;?0qpsr;h3:g?6=,;hh6<7<;I0af>P5jl0:wA9b9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18f94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7k;W3;e?4|,<3i6?;4$4;b>73{zut1b=4h50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<`<^82j6?u+58`960=#=0k1>85r}|8m4g6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6i:0;6)P5jl0:wAa29U5=g=:r.>5o4>9:&6=d<612wvqp5f1`694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0c6?S7?i38p(87m:0;8 0?f2830qpsr;h3b2?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m:4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e2=Q91k1>v*:9c82=>"21h0:56sr}|9j5d>=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l64V0:b>7}#=0h1=45+58c95<=zutw0e4g>3_;3m74?<,<3j6<74}|~?l7fi3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?na:T23twvq6g>ab83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6ij1]=5o52z&6=g<612.>5l4>9:~yx=n9hn1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`f8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:>:4?:783>5}#:ki1>=94H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T23twvq6g>9483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<612.>5l4>9:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2830(87n:0;8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<<7:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74c<,<3j6=3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e4ge3_;3m74c<,<3j6ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<6m2.>5l4>e:~yx=n9k:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h:7[?7a;0x 0?e28o0(87n:0g8yx{z3`;i>7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2f6<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51c18R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?66:T29683>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1>85+58c960=zutw0e<77:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:544?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9030Z<6n:3y'1i:0;b?S7?i38p(87m:378 0?f2;?0qpsr;h3:f?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f44f290:=7>50z&1ff5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<@;hi7[=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5g7=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o?4V0:b>7}#=0h1=h5+58c95`=zutw0e4d53_;3m773<,<3j6?;4}|~?l7e;3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?m3:T29783>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=484V0:b>7}#=0h1=h5+58c95`=zutw0e<78:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:554?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'112\:4l4={%7:f?423-?2m7<:;|~y>o61h0;6)P5jl0:wA9`9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18`94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90h0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`26g<728;1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818R7db28qG>n>51zTfb?4|,;:m64co6il0;6)P5jl0:wAad9U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`d94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i:0`3?S7?i38p(87m:0g8 0?f28o0qpsr;h3a5?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:n?4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f7=Q91k1>v*:9c811>"21h0996sr}|9j5g5=83.9nn4>929K6gd<^;hn6i:0`0?S7?i38p(87m:0g8 0?f28o0qpsr;h3:2?6=,;hh6<7<;I0af>P5jl0:wA979U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18594?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6?;4$4;b>73{zut1b=4750;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28327[?7a;0x 0?e2;?0(87n:378yx{z3`;2m7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2=g<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5o5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg75k3:1=<4?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e28o0(87n:0g8yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0:i6*:9`82a>{zut1b=o>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g6<^82j6?u+58`95`=#=0k1=h5r}|8m4d6290/>om51818R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i64co6j:0;6)P5jl0:wAb29U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18494?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<79;W3;e?4|,<3i64c{zut1b=4650;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28337[?7a;0x 0?e2;?0(87n:378yx{z3`;257>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6101]=5o52z&6=g<5=2.>5l4=5:~yx=n90k1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518c8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283i7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a57b=83?1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`967=#=0k1>?5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?<4$4;b>743-?2m7?6;|~y>{e9::1<7750;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c811>"21h0996sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1o6j90;6)P5jl0:wAb19U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c394?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6;W3;e?4|,<3i6?;4$4;b>733-?2m7?6;|~y>{e9:;1<7?>:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=n9k:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74d73_;3m773<,<3j6?;4}|~?l7e93:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82f4=Q91k1>v*:9c811>"21h0996sr}|9j5g4=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=o<4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:5;4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=3=Q91k1>v*:9c82=>"21h0:56sr}|9j5<1=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=494V0:b>7}#=0h1=45+58c95<=zutw0e<77:18'6ge=9090Z?lj:0yO6f6=9r\nj74??3_;3m74?<,<3j6<74}|~?l7>13:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?69:T23twvq6g>9`83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61h1]=5o52z&6=g<612.>5l4>9:~yx=n90h1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h518`8R4>f2;q/94l5189'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:??4?:0394?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:0g8 0?f28o0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=o<50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95g4<^82j6?u+58`960=#=0k1>85r}|8m4d4290/>om51818R7db28qG>n>51zTfb?4|,;:m673>2\:4l4={%7:f?7>3-?2m7?6;|~y>o61>0;6)P5jl0:wA969U5=g=:r.>5o4>9:&6=d<612wvqp5f18:94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9020Z<6n:3y'1i:0;:?S7?i38p(87m:0;8 0?f2830qpsr;h3:e?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:5o4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=g=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?<3;2954<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;h3ba?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1o6j80;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95g7<^82j6?u+58`960=#=0k1>85r}|8m4d5290/>om51818R7db28qG>n>51zTfb?4|,;:m6735Y19c96~"21k0996*:9`811>{zut1b=4850;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<0<^82j6?u+58`95<=#=0k1=45r}|8m4?0290/>om51818R7db28qG>n>51zTfb?4|,;:m6<78;W3;e?4|,<3i6<74$4;b>4?02\:4l4={%7:f?7>3-?2m7?6;|~y>o6100;6)P5jl0:wA989U5=g=:r.>5o4>9:&6=d<612wvqp5f18c94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90k0Z<6n:3y'1i:0;a?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=>:50;32>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64co6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=o>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28h;7[?7a;0x 0?e2;?0(87n:378yx{z3`;i=7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6j81]=5o52z&6=g<5=2.>5l4=5:~yx=n9k81<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51c08R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28h87[?7a;0x 0?e2;?0(87n:378yx{z3`;2:7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=2<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:5:5Y19c96~"21k0:56*:9`82=>{zut1b=4650;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<><^82j6?u+58`95<=#=0k1=45r}|8m4?>290/>om51818R7db28qG>n>51zTfb?4|,;:m6<76;W3;e?4|,<3i6<74$4;b>4?i2\:4l4={%7:f?7>3-?2m7?6;|~y>o61k0;6)P5jl0:wA9c9U5=g=:r.>5o4>9:&6=d<612wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj09<:5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6<74$4;b>4?=2\:4l4={%7:f?7>3-?2m7?6;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>9:&6=d<612wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:0;8 0?f2830qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f45129096=4?{%0ag?c73A8i>6g>9283>!4ek3;2?65`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1o6il0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dc<^82j6?u+58`960=#=0k1>85r}|8m4ga290/>om51818R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9:21<7950;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg7413:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28km7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56g=8321<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e4d73_;3m773<,<3j6?;4}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm12`94?>=83:p(?ll:918L7d53`;287>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2b183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6j91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'13:14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a56b=8381<7>t$3``>`6<@;h97d?63;29 7dd283876a=0983>!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj89n6=4<:183!4ek3287E6*:9`816>{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`967=#=0k1>?5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?3g83>6<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'153;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:378 0?f2;?0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'17>54;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=91<7:50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73<3:1;7>50z&1ff<58>1C>o<4i0;7>5<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e2830(87n:0;8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:56*:9`82=>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95<=#=0k1=45r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb066>5<5290;w)4?:%0ag?7>;21d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e28o0(87n:0g8yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a511=83>1<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283?7[?7a;0x 0?e28o0(87n:0g8yx{z3`;297>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:844?:583>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:i6*:9`82a>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4`83>0<729q/>om52158L7d53`;287>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2=0<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:585Y19c96~"21k0:56*:9`82=>{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95<=#=0k1=45r}|8m4ge290/>om51818R7db28qG>n>51zTfb?4|,;:m64?3-?2m7?6;|~y>{e9=h1<7<50;2x 7dd2l:0D?l=;h3:7?6=,;hh6<7<;:m14=<72-8io7{zut1vn<:l:187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg73l3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?4d83>1<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c3-?2m7?6;|~y>{e9=l1<7;50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;I0af>P5jl0:wA959U5=g=:r.>5o4>e:&6=d<6m2wvqp5f18794?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i64c{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`95`=#=0k1=h5r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5183>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9h:0Z<6n:3y'1i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8?50;494?6|,;hh6?>8;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4>9:&6=d<612wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1i:0c3?S7?i38p(87m:0;8 0?f2830qpsr;h3bf?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mh4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e`=Q91k1>v*:9c82=>"21h0:56sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:2;296?6=8r.9nn4j0:J1f7=n9091<7*=bb82=6=<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`216<72:0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m774<,<3j6?<4}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8??6=4;:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<;::187>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6?;4$4;b>73{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg72>3:187>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?423-?2m7<:;|~y>o6i90;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?5683>0<729q/>om5829K6g4<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`95`=#=0k1=h5r}|8m4g7290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:954?:483>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=00;6:4?:1y'6ge=:9=0D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82=>"21h0:56sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=45+58c95<=zutw0e4ge3_;3m74?<,<3j6<74}|~?l7fm3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?ne:T23twvq6g>ag83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<612.>5l4>9:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4=50;&1ff<61:10c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6=k0;684?:1y'6ge=0:1C>o<4i0;7>5<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<6m2.>5l4>e:~yx=n9h:1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74g73_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?:c;291?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f43c290>6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1=h5+58c95`=zutw0e<7::18'6ge=9090Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929K6gd<^;hn6i:0ca?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=8k50;794?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j3;n7);6a;3f?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`21c<72<0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c82a>"21h0:i6sr}|9j5d6=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f407290=6=4?{%0ag?47?2B9n?5f18694?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90>0Z<6n:3y'16=4+2ca95<5<^;hn6i:0;6?S7?i38p(87m:0;8 0?f2830qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c82=>"21h0:56sr}|9j5dc=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1=45+58c95<=zutw0c?>7:18'6ge=:920Z?lj:0yO6f6=9r\nj776?3_;3m74?<,<3j6<74}|~?xd6>80;6?4?:1y'6ge=m91C>o<4i0;0>5<#:ki1=4=4;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;<50;194?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61<1]=5o52z&6=g<5:2.>5l4=2:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l51d9'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nb:T2ad83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lk4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f403290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74?23_;3m74c<,<3j6ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`220<72>0;6=u+2ca9<6=O:k80e<7;:18'6ge=9090Z?lj:0yO6f6=9r\nj74?33_;3m74c<,<3j6=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=l>4V0:b>7}#=0h1=h5+58c95`=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mh4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb045>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e4g73_;3m773<,<3j6?;4}|~?l7fj3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5`21:94?"5jj09<55Y2cg95~J5k90:w[ki:3y'65`=:920Z<6n:3y'157;294~"5jj03?6F=b39j5<2=83.9nn4>929K6gd<^;hn6i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m64c{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6io1]=5o52z&6=g<5=2.>5l4=5:~yx=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'14?33_;3m74?<,<3j6<74}|~?l7>=3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?65:T23twvq6g>a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<612.>5l4>9:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2830(87n:0;8yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:m14=<72-8io7{zut1vn<86:181>5<7s-8io7k?;I0a6>o61:0;6)=h:921<7*=bb814==Q:ko1=vB=c182Sca2;q/>=h521:8R4>f2;q/94l5189'1N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95`=#=0k1=h5r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e5<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`28R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28ki7[?7a;0x 0?e2;?0(87n:378yx{z3`;ji7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mk5Y19c96~"21k0996*:9`811>{zut1d>=650;&1ff<5811]>ok51zN1g5<6s_om6?u+21d965><^82j6?u+58`95<=#=0k1=45r}|8yg71j3:1;7>50z&1ff5G2c`8R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i64c{zut1b=l>50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d6<^82j6?u+58`960=#=0k1>85r}|8m4ge290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2e`<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`g8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3`;j<7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<5=2.>5l4=5:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<8k:184>5<7s-8io76<;I0a6>o61=0;6)P5jl0:wA959U5=g=:r.>5o4=5:&6=d<5=2wvqp5f18794?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=;k50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?64:T29483>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:n=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82f5=Q91k1>v*:9c811>"21h0996sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?9f;292?6=8r.9nn4=069K6g4i:0;7?S7?i38p(87m:0;8 0?f2830qpsr;h3:1?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82=>"21h0:56sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1=45+58c95<=zutw0e4gb3_;3m74?<,<3j6<74}|~?j4703:1(?ll:32;?S4em3;p@?m?:0yUac<5s-8;j73twvq6sm16294?4=83:p(?ll:d28L7d53`;2?7>5$3``>4?432e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41629086=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<5:2.>5l4=2:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5239'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;?4?:983>5}#:ki14>5G2c08m4?3290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2=0<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l51d9'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2;?0(87n:378yx{z3`;jn7>5$3``>4?43A8in6X=bd82I4d83;pZhh52z&14c<6ik1]=5o52z&6=g<6m2.>5l4>e:~yx=n9ho1<7*=bb82=6=O:kh0Z?lj:0yO6f6=9r\nj74gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82ec=Q91k1>v*:9c811>"21h0996sr}|9j5g6=83.9nn4>929K6gd<^;hn6i:0`3?S7?i38p(87m:378 0?f2;?0qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:=50;:94?6|,;hh65=4H3`1?l7><3:1(?ll:0;0?M4ej2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c82a>"21h0:i6sr}|9j5<3=83.9nn4>929K6gd<^;hn6i:0;6?S7?i38p(87m:0g8 0?f28o0qpsr;h3b4?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2c:mo4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82eg=Q91k1>v*:9c811>"21h0996sr}|9j5dc=83.9nn4>929K6gd<^;hn6i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;I0af>P5jl0:wAag9U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1c294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m6733-?2m7?6;|~y>{e9>>1<7650;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:584?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=90?0Z<6n:3y'1o6ik0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2ec<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?m0:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=>6=47:183!4ek3287E{zut1b=4;50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e28o0(87n:0g8yx{z3`;j<7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:k2eg<72-8io7?63:J1fg=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?ne:T2ag83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=lh4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41129036=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<6m2.>5l4>e:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?n0:T2ac83>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e8`81!3>j38>7);6a;06?x{zu2c:mk4?:%0ag?7>;2B9no5Y2cg95~J5k90:w[ki:3y'65`=9hl0Z<6n:3y'1i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb054>5<0290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1i:0ce?S7?i38p(87m:0;8 0?f2830qpsr;n037;W0aa?7|D;i;68`81!3>j3;27);6a;3:?x{zu2wi=:650;094?6|,;hh6h>4H3`1?l7>;3:1(?ll:0;0?>i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05:>5<0290;w);2\9nh4>{M0`4?7|^ll1>v*=0g82=1=Q91k1>v*:9c811>"21h0996sr}|9j5<3=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=4;4V0:b>7}#=0h1=h5+58c95`=zutw0e4g73_;3m74c<,<3j6ad83>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6il1]=5o52z&6=g<5=2.>5l4=5:~yx=n9hl1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51`d8R4>f2;q/94l5249'15<#:ki1>=64V3`f>4}K:j:1=vXjf;0x 76a2;:37[?7a;0x 0?e2830(87n:0;8yx{z3th:;l4?:683>5}#:ki14>5G2c08m4?3290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7;;W3;e?4|,<3i6?;4$4;b>73=2\:4l4={%7:f?7b3-?2m7?j;|~y>o6i90;6)P5jl0:wAa19U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1``94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9hh0Z<6n:3y'1i:0cf?S7?i38p(87m:378 0?f2;?0qpsr;h3bb?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j38>7);6a;06?x{zu2e9<54?:%0ag?4702\9nh4>{M0`4?7|^ll1>v*=0g814==Q91k1>v*:9c82=>"21h0:56sr}|9~f41e290<6=4?{%0ag?>43A8i>6g>9583>!4ek3;2?6F=bc9U6gc=9rF9o=4>{Wge>7}#:9l1=4:4V0:b>7}#=0h1>85+58c960=zutw0e<7::18'6ge=9090D?lm;W0aa?7|D;i;68`81!3>j3;n7);6a;3f?x{zu2c:m=4?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82e5=Q91k1>v*:9c82a>"21h0:i6sr}|9j5dd=83.9nn4>929U6gc=9rF9o=4>{Wge>7}#:9l1=ll4V0:b>7}#=0h1>85+58c960=zutw0e4gb3_;3m773<,<3j6?;4}|~?l7fn3:1(?ll:0;0?S4em3;p@?m?:0yUac<5s-8;j7?nf:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8=h6=48:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2a183>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<6i91]=5o52z&6=g<6m2.>5l4>e:~yx=n9hh1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51``8R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3`;jj7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;06?!3>i38>7psr}:m14=<72-8io7{zut1vn<9k:184>5<7s-8io76<;I0a6>o61=0;6)N5jk1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`960=#=0k1>85r}|8m4?2290/>om51818L7de3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3f?!3>i3;n7psr}:k2e5<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:m=5Y19c96~"21k0:i6*:9`82a>{zut1b=ll50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95dd<^82j6?u+58`960=#=0k1>85r}|8m4gb290/>om51818R7db28qG>n>51zTfb?4|,;:m673i5810;6)P5jl0:wA5o4>9:&6=d<612wvqp5rb05f>5<1290;w)N5j;1b=4:50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<2<^82j6?u+58`95<=#=0k1=45r}|8m4?2290/>om51818R7db28qG>n>51zTfb?4|,;:m6<7:;W3;e?4|,<3i6<74$4;b>4?3-?2m7?6;|~y>o6ik0;6)P5jl0:wAac9U5=g=:r.>5o4>9:&6=d<612wvqp5f1`g94?"5jj0:5>5Y2cg95~J5k90:w[ki:3y'65`=9ho0Z<6n:3y'1<^;hn6i:32;?S7?i38p(87m:0;8 0?f2830qpsr;|`23c<72;0;6=u+2ca9a5=O:k80e<7<:18'6ge=90907b3-?2m7?6;|~y>{e91:1<7=50;2x 7dd2190D?l=;h3:0?6=,;hh6<7<;W0aa?7|D;i;68`81!3>j3897);6a;01?x{zu2c:584?:%0ag?7>;2\9nh4>{M0`4?7|^ll1>v*=0g82=0=Q91k1>v*:9c816>"21h09>6sr}|9l65>=83.9nn4=099U6gc=9rF9o=4>{Wge>7}#:9l1>=64V0:b>7}#=0h1=45+58c95<=zutw0qo?71;297?6=8r.9nn473:J1f7=n90>1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51868R4>f2;q/94l5249'15<#:ki1=4=4H3`a?S4em3;p@?m?:0yUac<5s-8;j7?65:T2!4ek38;46X=bd82I4d83;pZhh52z&14c<5811]=5o52z&6=g<612.>5l4>9:~yx=zj8296=4<:183!4ek3287E=h51868R4>f2;q/94l5249'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a283>7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=5=8391<7>t$3``>=5<@;h97d?64;29 7dd28387E{zut1b=4;50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95<3<^82j6?u+58`960=#=0k1>85r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?8583>3<729q/>om5829K6g4i:0;7?S7?i38p(87m:0g8 0?f28o0qpsr;h3:1?6=,;hh6<7<;I0af>P5jl0:wA949U5=g=:r.>5o4>e:&6=d<6m2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=3=83<1<7>t$3``>=5<@;h97d?64;29 7dd28387[<2\:4l4={%7:f?7b3-?2m7?j;|~y>o61<0;6)P5jl0:wA949U5=g=:r.>5o4=5:&6=d<5=2wvqp5f1`294?"5jj0:5>5G2c`8R7db28qG>n>51zTfb?4|,;:m673{zut1b=lk50;&1ff<61:1C>ol4V3`f>4}K:j:1=vXjf;0x 76a28kn7[?7a;0x 0?e2;?0(87n:378yx{z3f8;47>5$3``>76?3_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:a5=0=83=1<7>t$3``>7603A8i>6g>9583>!4ek3;2?6X=bd82I4d83;pZhh52z&14c<61=1]=5o52z&6=g<612.>5l4>9:~yx=n90?1<7*=bb82=6=Q:ko1=vB=c182Sca2;q/>=h51878R4>f2;q/94l5189'15<#:ki1=4=4V3`f>4}K:j:1=vXjf;0x 76a28k;7[?7a;0x 0?e2830(87n:0;8yx{z3`;jn7>5$3``>4?43_8ii7?tL3a3>4}Qmo09w)P60h09w);6b;3:?!3>i3;27psr}:k2e`<72-8io7?63:T1f`<6sE8h<7?tVdd96~"58o0:mh5Y19c96~"21k0:56*:9`82=>{zut1b=lh50;&1ff<61:1]>ok51zN1g5<6s_om6?u+21d95d`<^82j6?u+58`95<=#=0k1=45r}|8k76?290/>om521:8R7db28qG>n>51zTfb?4|,;:m6?>7;W3;e?4|,<3i6<74$4;b>4?;2wxh94?:04x9a2=:9201>8k:0;7?851m3;2863<6g82=1=:;>:1=4:4=4af>4?3349397?64:?0<3<61=16:7?64:?4>4?334;96<7;;<30>4?334;?6<7;;4?334;;:7?64:?242<61=16==m51868946c283?70??e;3:0>;68o0:595213095<2<58886<7;;|qa4?6=;r7i=7;c;3;2?6s|d283>40|5m91>=64=252>4?3348<:7?64:?67`<61=169>h518689027283?70=77;3:0>;4010:595239;95<2<5?0:58527;3:1>;6;3;2963>4;3:1>;am3;2863>0982=1=:99i1=4;4=02g>4?234;;i7?65:?24c<61<16=?:518689442283?70?=6;3:0>{t0o0;6>u291814<=Y0o16n44>929~wg?=8389w0l6:32;?851l3;2963<6d82=0=:;?l1=4;4=253>4?2349<=7?65:?126<61=169>k51878905a283>70;;0;3:1>;40<0:585239495<3<5:2<6<7:;<1;=278444>949>2?7f827<64?234;864g734lo6<7:;4?234;;:7?65:?242<61<16==651878946d28k;70??d;3b4>;68l0:m=5211d95d6<58896<7:;<317?7>=27:>94>949>573=90?01<<9:0;6?xu?l3:1?v37e;03=>X?l27i47?63:pf=<72:;p1o6521:890>1283?70=94;3:0>;4>m0:m=5237g95d6<5:a19>005=90>019;;:0;7?85cm3;2863=4982=1=::<=1=4:4=5g5>4?334?8i7?n0:?67c<6i91699>51`2896>228k;70=76;3b4>;40>0:m=5239:95d6<5:2260:mo521382e5=:9:0:mo521582eg=:n?0:5952fc82=1=:nm0:m=52fd82e5=:99;1=4:4=021>4?334;;:7?n0:?242<6i916==651`28946d28ki70??d;3bf>;68l0:mo5211d95dd<5889694>a19>573=9h:01<<9:0c3?87513;2863>2`82=1=:9:k1=4:4=06e>4?33ty3n7>53z?;g?4712T3n63m7;3:7>{tj>0;6>?t=c5965><5<2=6<7:;<151?7><278:i4>ac9>73c=9hh01>8i:0ca?85083;jn63<7082eg=:<4?3349oi7?65:?10=<61<16>8>5186891c0283?70;;2;o0:mo5255295dd<5:2>6ac9>7=>=9hh01>66:0ca?80=9ho01:4>ad9>55<61=16=?4>ac9>56<6il16=94>ad9>bd<61=16jn4>959>ba<6ik16jh4>ac9>555=90>01<>9:0ca?877?3;jn63>0982eg=:99i1=lk4=02g>4gb34;;i7?ne:?24c<6il16=?<51``8944428ki70?=4;3bf>;6:<0:mo5213495dd<588i6<7;;<31g?7><27:?l4>949>51`=90?0q~66:1808>f2;:27S66;<`5>4?43tyi:7>523y>f3<58116?;j51`g8960b28kn70=9f;3ba>;4?90:mh5236395dc<5;>>6<7;;<70a?7fm27>?k4>ad9>116=9ho01>6::0cf?85?>3;ji63<8682e`=:;121=lk4=2::>4gb34<1=lh4=682ec=:9;0:mh521282ec=:9=0:mk52fe82e`=:nl0:mh5211495dc<58:<6ag9>55b=9hl01<>j:0ce?877n3;jj63>2382e`=:9;91=lk4=007>4gb34;997?ne:?263<6il1v5950;1x9=>=:930R594=c795<56=4=2z?a1?470278:i4>ag9>73c=9hl01>8i:0ce?85083;jj63<7082ec=:::31=4:4=41f>4ga34?8j7?nf:?605<6io16?5;51`d896>128km70=77;3bb>;4010:mk5239;95d`<5?0:n=527;3a4>;6:3;jj63>3;3a4>;6<3;i<63id;3bb>;am3;jj63>0782ec=:99=1=lh4=02;>4ga34;;o7?m0:?24a<6j916==k51c28946a28h;70?=2;3bb>;6::0:mk5213695d`<588>6<3<5801U4852b582=6=z{k>1<7<={<`7>76?3498>7?64:?02a<6j916?;k51c28960a28h;70=80;3a4>;4?80:n=5252g95g6<5<9m6b19>7=0=9k:01>68:0`3?85?03;i<63<8882f5=:>3;i=638:0`2?87528h;70?<:0`2?87328h:70hk:0`3?8`b28h;70??6;3a4>;68>0:n=5211:95g6<58:h6;<33`?7e927:

    b09>55`=9k;01<<=:0`3?875;3;i<63>2582f5=:9;?1=o>4=005>4d73tyj>7>53z?b7?4712Tj>63lc;3:7>{tkj0;65kt=ba965><5<2=6<27>mh4>959>1g6=90>018l>:0;7?83e:3;2863;9482=1=:<0<1=4:4=0ge>4?334;m<7?64:?2b4<61=16???518689645283?70=;4;3:0>;4<278:i4>b09>73c=9k;01>8i:0`2?85083;i=63<7082f4=:4?334?ho7?64:?6a2<61=169h65186890c>283?70;i1;3:0>;2n;0:59525g195<2<5<27?n<4>959>0d6=90>019o>:0;7?82f:3;2863;a282=1=:1=4:4=3d6>4?3348m:7?64:?1b<<61=16?im5186896bc283?70=ke;3b4>;4lo0:595249;95<2<5<27>m>4>959>1d2=90>01>><:0;7?857<3;2863<0482=1=:?k0:59527b82=1=:?m0:59527d82=1=:9l91=4:4=4a3>4?334?h=7?64:?6`f<61=16>9651`28972>283?70<89;3:0>;5?h0:595226`95<2<5;236<7;;<6f0?7><27>?h4>b09>16`=9k;018:?:0`2?836?3;2863:1982=1=:=<21=4:4=47:>4?334?=87?64:?620<61=16?5;51c3896>128h:70=77;3a5>;4010:n<5239;95g7<5=0:59526;3a6>;028h970?=:0`2?87428h970?;:0`1?8`5283?70h<:0;7?8`2283?70hk:0`2?8`b28h:70??6;3a5>;68>0:n<5211:95g7<58:i6<7;;<33g?7e:27:b39>55c=9k801<>i:0`1?876l3;2863>1d82=1=:98l1=4:4=001>4d634;9?7?m1:?261<6j816=?;51c38944128h:70?<0;3:0>;6;>0:595212:95<2<58926<7;;<30e?7f827:?o4>959>514=90>01<:<:0;7?873>3;2863>4682=1=:9=21=4:4=06:>4?334;?o7?64:?20a<61=16=9k51868942a28k;70?:0;3:0>;6==0:595214595<2<58?36<7;;<36f?7><27:9n4>959>50b=90>01<;j:0;7?872n3;2863>6`82=1=:9>81=4:4=050>4?334;387?64:?2<0<61=1v4h50;1x9d6=:930R4h4=b`95<54:4>949>1dc=90?018l?:0;6?83e93;2963:b382=0=:<0=1=4:4=5;;>4?33499=7?65:?067<61<16??:518689642283?70=;4;3:1>;4<>0:595234:95<3<5:?26<7:;<157?7><278:94>949>733=90?01>8k:0`1?851m3;i>63<6g82f7=:;>:1=o<4=252>4d534?ho7?65:?6b4<61<169k<5187890`4283>70;i4;3:1>;3i90:58524`395<3<5;l>6<7:;<0e2?7>=279j:4>959>6c>=90>01?h6:0;6?822;3;2963;5582=0=:<4?2349oj7?65:?046<61<16?=:518789662283>709m:0;6?81d283>70;k8;3:0>;2l00:59525ea95<3<5;8n6<7;;<01b?7><279?=4>959>61?=90?01?;n:0;7?842j3;2863=5b82=1=::?n1=4:4=35:>4?2348565187891c3283>70:j6;3:1>;3m>0:585252g95g4<5<9m6=:4>949>14>=90?018?6:0;7?836i3;2863:1c82=1=:=<21=4;4=47:>4?234?=87?65:?0<0<6j;16?5851c0896>028h970=78;3a6>;4000:n?524;3:1>;128h870951c189c<61=16==4>949>57<6j;16=>4>b29>51<6j:16j>4>949>b1<61=16j84>949>b3<61<16jl4>949>bg<61<16jn4>949>ba<6j;16jh4>b39>557=90?01<>=:0;6?877;3;2963>0782f7=:99=1=o<4=02;>4d534;;n7?65:?24f<6j:16==j51c18946b28h870??f;3a7>;69m0:585210g95<3<58;m6<7:;<316?7e:27:>>4>b39>572=9k801<<::0`1?875>3;i>63>2882=0=:9;k1=4;4=00a>4?234;9o7?65:?274<61=16=><518689454283?70?<4;3:0>;6;k0:585215095<3<58>86<7:;<372?7>=27:8:4>949>506=90?01<;8:0;6?87203;2963>6282=1=:9?>1=4:4=046>4?334;=:7?64:?222<61=16=;l518689415283>70?83;3:1>;6?=0:595216795<2<58==6<7;;|q:`?6=;r72i7;d=3;2?6s|c483>2c|5j?1>=64=4:5>4ge34?3;7?n0:?6f4<6i9169o<51`28964628k;70==2;3b4>;4:=0:585235695d6<5:><6<7:;<16=?7f8278:>4>949>73b=9k901>8j:0`0?851n3;i?63<7182f6=:;>;1=o=4=54a>4?234?m?7?n0:?6b1<6i9168l>51`2891g5283>70:n3;3:1>;3i=0:58522g;95d6<5:nh6<7:;<1g`?7>=278hh4>ac9>7a`=9h:018o>:0;6?83f:3;2963:a282=0=:=h>1=4;4=227>4g7349;97?n0:?4f?7f827=27>hl4>959>1ad=90>018jl:0c3?84303;jn63=4882e5=::4g7348;2;o0:n>5255295g5<5<;<6=44>949>10?=9h:01n7518689f?=90?0188;:0c3?831=3;2963<8482f6=:;1<1=o=4=2:4>4d4349347?m3:?0<<<6j:1687?n0:?5>4?134=1=484=g82=0=:9;0:n>521282=3=:9=0:5;52f582=0=:n<0:m=52fc82e5=:nj0:m=52fe82f6=:nl0:n>5211095d6<58:86b29>55>=9k901<>m:0c3?877k3;2:63>0e82=3=:99o1=484=02e>4?134;:j7?n0:?267<6j:16=?=51c18944328h870?=5;3a7>;6:?0:n>5213;95d6<588j6n4>a19>567=90?01<==:0;6?874;3;2963>3582=0=:9:=1=4;4=01;>4?234;857?65:?27d<6ik16=>l51`28942528k;70?;6;3b4>;6<10:585215;95<3<58>h6<7:;<37`?7>=27:8h4>949>51`=9hh01<;?:0c3?872?3;j<63>5c82=0=:94?234;>i7?65:?21c<61<16=;=518789403283>70?84;3:1>;6?<0:585rs8`94?5|50i1>=74^8`89f2=9090q~m;:187e~;d<38;463;9782=0=:;;;1=ll4=201>4ge349?87?nb:?002<6i916?;=51`28960328k;70=95;3b4>;4>m0:5;5237g95<0<5:>278;<4>979>03?=90?019l>:0;6?822;3;j<63;5582e5=:<4=575>4g734>357?65:?2a6<61<16>5h5186891c328ki70:j6;3bf>;3m>0:mo5252g95<0<5<9m6<79;<774?7>>27>:94>ac9>133=9h:01>6::0;5?85?>3;2:63<8682=3=:;121=484=2::>4?134>1=ll4=782=2=:?3;2;63i:0c3?87728k;70?=:0;5?874283<70?;:0;4?8`328k;70h9:0c3?8`f28k;70hm:0ca?8`d28ki70hk:0;5?8`b283=70??1;3b4>;68;0:mo5211195dd<58:=6<79;<333?7>>27:<54>979>55e=90=01<>k:0;4?877m3;2;63>0g82=2=:9;81=484=000>4?134;987?66:?260<61?16=?851848944>28ki70?=a;3bf>;6:k0:mo5213a95dd<589:64>a19>562=9h:0q~78:1808??2;:27S78;<`g>4?43tyih7>53ey>fa<58116?;:51``8960228ki70=9d;3:3>;4>l0:5:5237d95<1<5:=;6<78;<145?7>?27?m?4>a19>005=9hh019;;:0ca?822=3;jn63;5782eg=:=h;1=l>4=6f95d6<5;3;6<7;;<6f2?7fm27?i:4>ad9>16c=90=018=i:0;4?83383;2;63l1;3:0>;d93;2963<8482=2=:;1<1=494=2:4>4?0349347?67:?0<<<61>16:7?68:?4>4??34;;64?034;86<77;<37>4??34l=64ge34li64gb34lo6<78;4?034;;=7?nb:?247<6il16===51`g89461283<70??7;3:3>;6810:5:5211a95<><58:o6<77;<33a?7>027:999>574=90=01<<<:0;4?875<3;2;63>2482=2=:9;<1=494=00:>4gb34;9m7?ne:?26g<6il16=?m51`g8942?28k;70?:b;3b4>{t1<0;6>u297814<=Y1<16nn4>929~wge=839iw0ll:32;?851<3;ji63<6482e`=:;?n1=464=24f>4??349=j7?68:?035<61116?:?518:8913428kn70::4;3ba>;3=<0:mh5244495dc<5:nh64>959>0`0=9hl019k8:0ce?834m3;2463:3g82===:==:1=464=2:6>4??3493:7?68:?0<2<61116?56518:896>>2833708518;892<61016==4>ad9>57<61116=>4>989>51<61016j;4>ad9>bd<6il16jo4>ag9>bf<6io16ji4>999>b`<61116==?51`g8946528km70??3;3bb>;68?0:555211595<><58:36<77;<33g?7>127:989>55c=90301<>i:0;:?875:3;2463>2282===:9;>1=464=006>4??34;9:7?68:?26<<6io16=?o51`d8944e28km70?=c;3bb>;6;>0:m=5215a95d6<58?h6;ej3;2?6s|bc83>6d|5kh1>=64=247>4ga349=97?nf:?02a<61016?;k518;8960a283270=80;3:=>;4?80:545244195d`<5=??6ag9>7ab=9h:018o<:0c3?840?3;2863;e782f5=:4=41f>4?>34?8j7?69:?605<61016?5;518;896>1283270=77;3:=>;4010:545239;95;683;jj63>2;3:=>;6;3;2m63>4;3:e>;a>3;jj63ia;3bb>;aj3;i<63ic;3a4>;al3;2563ie;3:=>;6880:mk5211095g6<58:86127:<:4>989>55>=90301<>l:0;b?877l3;2m63>0d82=d=:99l1=4o4=001>4?>34;9?7?69:?261<61016=?;518;89441283270?=9;3a4>;6:h0:n=5213`95g6<588h6a19>50b=9h:0q~7>:1808?52;:27S7>;<`b>4?43tyim7>53cy>fd<58116?;:51c28960228h;70=9d;3:e>;4>l0:5l5237d95i27?m>4>a19>005=9k:019;;:0`3?822=3;i<63;5782f5=:=h>1=l>4=34b>4?334>n:7?m1:?7a2<6j8169>k518c8905a283j70;;0;3:e>;40<0:5l5239495i278444>9`9>2?7>j27<6<7m;<33>4d734;96<7n;<30>4?e34;?6<7m;4d734lj64d634lh6;4?f34ln6<7n;<335?7e827:b09>555=9k;01<>9:0;b?877?3;2m63>0982=d=:99i1=4l4=02g>4?e34;;i7?6b:?24c<61k16=?<518c89444283j70?=4;3:e>;6:<0:5l5213495;<31e?7e927:>o4>b09>57e=9k;01<=6:0c3?873m3;j<63>5d82e5=z{l>1<7:t=d7965?01<6i:0;0?87?k3;2?6s|19d94?7>s4;3j7:4j3:?0a7k4j3:?2g4:d1896?62l901>7k:d1891522l9019j;:d18906a2l9018=::d18901e2l90q~k9:1878c02;:27Sk9;<3;a?7>;27:4o4>929~w4>b2908=v3>8d814==:;:<1i>525c79a6=:;881i>5248c9a6=:ll0n?63>f28f7>;4:00n?63>d88f7>;6nm0n?63=a78f7>;5j90n?63<1c8f7>;4;4=k0n?63<668f7>;4?:0n?63<818f7>;3>90n?63;6e8f7>;3?10n?63;818f7>;2l90n?63:028f7>;2mk0n?639058f7>;2n?0n?639138f7>;3j=0n?63;a68f7>;5k00n?63=ed8f7>;5nj0n?63;588f7>;5l90n?63=d68f7>;5ll0n?63=e48f7>;30m0n?63:a68f7>;4800n?63=9`8f7>;?93o870?j6;g0?823l3o870;l3;g0?83cm3o870:l9;g0?xu60k0;6>u219`965><5:9o6h=4=5d7>`5hm7>53z?7gg<5801U8no4=5a`>4?43ty?on4?:4fx91ed2;:370==d;3:0>;2im0:59525`g95dd<5<27o57?64:?gf?7><27:ik4>949>5c6=90?01><>:0cf?855>3;2863=a382=1=::h91=4:4=3c`>4?3348jh7?64:?052<61=16?<6518689625283?70=;6;3:1>;4<>0:mo5235d95<2<5:?<6<7;;<155?7><278;l4>959>72d=90>01>9l:0;7?850l3;2863<7d82=1=:<>91=4:4=556>4?334?h57?64:?6gd<61=169nm51``891`c283?70;j7;3:1>;2n80:mo525g195dc<5=h96<7;;<0e1?7fj27?9>4>b39>003=9k80196n:0;7?83>n3;2863<0582e`=:;9<1=4:4=0g7>4?33498n7?64:?07g<61<169n>5187890b?28k;70;k9;3b4>;2lh0:m=525ea95dc<5;8m6<279??4>959>63c=90>01?9n:0cf?84?=3;2863=8782=1=::1=1=4:4=3:;>4ge34>n>7?64:?7a7<61<169>l51868905c283?70;9c;3:0>;2180:595250595dc<5854>959>11`=90>01<>l:0;`?877l3;2o63>0d82=f=:98h1=4:4=03g>4ge34;:j7?ne:?26<<6j:16=?l51c18945628ki70?<2;3bf>;6;o0:595217195d6<58<>6959>524=9hh01<9;:0c3?870>3;j<63>8582=0=z{<3=6=4<{<7:3?4712T>5;5258:95<5540y>1<>=:9201f082e5=:;=<1=l>4=264>4gb349=87?m2:?020<6j;16?;j518a8960b283h70=9f;3:g>;4?90:5n52363954>b29>002=9k9019;::0`0?822>3;i?638e;3b4>;3m?0:n>524d595g5<5<9i6<7:;<70a?7>k27>?k4>9b9>116=90i0188l:0;6?83303;j<63:4g82e5=:;1?1=4m4=2:5>4?d3493;7?6c:?0<=<61j16?57518a8946=9k801<<518a89c0=9k801ko51c089cd=9k901km51c189cb=90i01kk518a8946628h970??2;3a7>;68:0:n>5211495k27:>?4>9b9>575=90i01<<;:0;`?875=3;2o63>2782=f=:9;31=484=00b>4?134;9n7?66:?26f<61?16=>>51`28945628kn70?<2;3ba>;6;:0:mh5212695dc<58>;6<7;;<37=?7f827:9k4>a19~w1cf2908w0:jb;03=>X3mh168hm51818yv2bk3:1hv3;eb814==:9j=1=4;4=0a;>4?234;h57?65:?0;6?00:585216c95<3<58=i6<7:;<34g?7>=27:;i4>949~w`>=83>p1h7521;8Z`><5lk1=4=4=0:g>4?43tynm7>51cy>ad<58116=n951`2894e?28k;70?l9;3b4>;6kk0:59521ba95<2<5=:j6<7;;<63f?7><27?959>05b=90>019<::0;7?825l3;2863>be82=1=:9ko1=4:4=0`e>4?3349mj7?64:?745<61=168=?518689165283?70<;5;m0:595222g95<2<58=26a19>52e=9h:01<9k:0c3?xu60m0;6?u219f965><5:o36h=4}r76f?6=9n4=089]10d<5;2wx98j50;7x903c2;:370:<3;3:0>;3;:0:585242;95<2<5=926<7:;|q2=4<72;q6=4?521:8964f283?7p}:2983>6}:=;31>=74^40;?835i3;2?6s|53c94?3|5<8j6?>7;<3g2?7>=27?9h4>959>00c=90?018:7:0ca?xu2>l0;6>u257d965?{t=>:1<7;t=453>76?34;mm7?65:?73`<61=168:k51878902a28ki7p}>8683>6}:9121>=74^0:4?87?13;2?6s|19;94?5|58226?>7;<1f2?7><278i;4>949~w0?42908w0;64;03=>X21:1694;51818yv3>=3:19v3:94814==:<:l1=4:4=51e>4?234>?97?64:?700<61<1v8>::180837>38;56P:049>151=9090q~;?7;291~;28>09<55238`95<2<5:3i6<7:;<1b5?7><278m<4>949~w5<5s4i86?>7;<107?7>=2wxm94?:2y>e0<5801Um952ce82=6=z{jn1<7<5;9j6<7:;|qb2?6=;r7j;7;dm3;2?6s|cd83>7}:kl09<55225495<376>3Wk370mi:0;0?xudn3:1>v3lf;03<>;5=80:585rs`c94?5|5hh1>=74^`c89a6=9090q~j?:1818b72;:370<:8;3:1>{tij0;6>u2ae814<=Yij16h<4>929~wa7=838p1i?521:89703283>7p}ne;297~;fn38;56Pne:?g6?7>;2wxh?4?:3y>`7<58116>;l51878yv30k3:1?v3:7e814<=Y=>i0189l:32;?xu2?o0;6?u256a95<5<5<=m6?>7;|q7b0<72:q68k8521;8Z1`234>m976;_6``>;3km09<55rs5ae>5<5s4>hh7?63:?7gc<5811v9kk:18082bm38;56P;ee9>0`b=:920q~:jf;296~;3mm0:5>524dd965>53z?64<<5801U9=64=42;>76?3ty>15>=909018>n:32;?xu29l0;6>u250d965?e;03<>{t=;:1<74?434?9<7>o4=099~w04c2909w0;=b;3:7>;2:m09<55rs452>5<4s4?<>7;1>=64}r747?6=:r7>;<4>929>125=:920q~<>0;297~;59809<45Q202897772;:37p}=2883>7}::8:1=4=4=30:>76?3ty9=:4?:2y>64>=:930R??8;<023?4702wx>>;50;0x9770283870<<5;03<>{t:831<7=t=33b>76>3W8:563=18814==z{;>:6=4={<02=?7>;2798<4=099~w77e2908w0<>c;03=>X59k16>v3=1c82=6=::=i1>=64}r02`?6=;r79=h4=089]64b<5;;o6?>7;|q116<72;q6>6}::;:1>=74^33e?846n38;46s|24d94?4|5;;m6<7<;<06b?4702wx>??50;1x97452;:27S<=1:?164<5811v?89:18184593;2?63=67814==z{;886=4<{<010?4712T9>>52231965>7>52z?166<61:16>:<521:8yv45=3:1?v3=27814<=Y:;?01?<::32;?xu5?l0;6?u223795<5<5;=n6?>7;|q162<72:q6>?6521;8Z7403489;76;_6gg>;3lj09<55rs5ff>5<5s4>oo7?63:?7``<5811v8=9:180834?38;56P:379>160=:920q~;<8;296~;2;?0:5>5252:965>53z?62=<5801U9;94=444>76?3ty>:44?:3y>131=90901886:32;?xu20j0;6>u259f965?{t=1o1<74?434?3i7;3j>09<55rs433>5<4s4?:=7=64}r726?6=:r7>==4>929>144=:920q~;:1;297~;2=;09<45Q543890362;:37p}:5283>7}:=<;1=4=4=470>76?3ty9=84?:2y>640=:930R??:;<021?4702wxnh4?:3y>643=90901ok521:8yv46:3:1?v3=12814<=Y:8801??=:32;?xud>3:1>v3=1382=6=:k?09<55rs5``>5<4s4>ih7=64}r6aa?6=:r7?nn4>929>0gc=:920q~;;3;297~;2<=09<45Q551890242;:37p}:4483>7}:==91=4=4=466>76?3ty>8l4?:2y>11d=:930R8:n;<77e?4702wx99m50;0x902f283870;;c;03<>{t=76>3W?>i63:5d814==z{<<;6=4={<76a?7>;27>:=4=099~w1b22908w0:k6;03=>X3l<168i;521:8yv2c?3:1>v3;d482=6=:=64}r7;=?6=;k4>929>12c=9090186m:0;6?83?i38;46s|56g94?2|5<=n6?>7;<7;7?7><27>494>959>7ag=90>0q~;78;296~;20<0:5>5259:965>53z?6<0<58116=>k51868945b283>7p}:8183>7}:=1h1=4:4=4:3>76?3ty>4o4?:3y>1=d=:920186n:0;0?xu61;0;6l7t=0;1>76?34?3m7<6b:?68528`896512;3?70;m5;0:f>;2j<09595230096j27?5l4=959>``<51k16hh4=959>5f`=:0h01f281=1=:;;k1=4;4=20:>7?e34;o57<6b:?2`<<51=16=kj528`894`c2;3?70;5i?0959522c296j278=o4=959>71g=:0h01>:n:3;7?852:382n63<5381=1=:;

    4l4=27a>7?3349=;7<6b:?022<51=16?:=528`896142;3?70=70;0:f>;40909595247296j27?:i4=959>02>=:0h01997:3;7?82?8382n63;8181=1=:=m:1>4l4=4f3>7?334?;?7<6b:?646<51=169hl528`890ce2;3?708?4;0:f>;18=0959525g496j27==?4=959>0g2=:0h019l;:3;7?85fk382n634l4=2`5>7?334>;j7<6b:?74c<51=16?n?528`896e62;3?70:n7;0:f>;3i>0959523bc96j278h84=959>043=:0h019?::3;7?826j382n63;1c81=1=:<;;1>4l4=502>7?334>9;7<6b:?762<51=16>n7528`897e>2;3?70;5ml0959522ga96j27?944=959>6a6=:0h01?j?:3;7?84c?382n63=d681=1=::mo1>4l4=3ff>7?3348n97<6b:?1a0<51=16?h<528`896c52;3?70=i4;0:f>;4n=09595243d96j27?4i4=959>1d1=:0h018o8:3;7?87d9382n63>c081=1=:;931>4l4=22:>7?3349n47<6b:?0a=<51=16>4o528`897?f2;3?70=je;0:f>;4ml09595241696j27?8<4=959>011=:0h019:8:3;7?8122;3i709::3;7?8>62;3i706>:3;7?87b>382n63>e781=1=:;:n1>4l4=21g>7?33492=7<6b:?0=4<51=16?49528`896?02;3?70=6d;0:f>;41m0959523`196j278jl4=959>063=:0h019=::3;7?824j382n63;3c81=1=:<=n1>4l4=56g>7?334?h?7<6b:?6g6<51=169ik528`890bb2;3?70:k4;0:f>;3l=0959524g696j27>171=:0h018<8:3;7?834=382n63:3481=1=:=>h1>4l4=45a>7?334>h57<6b:?7g<<51=1v?l;:1813<}::k>1>=64=4:b>`4<5<2?6>27>494>969>1=2=9020186;:0;:?83?<3;2m63:8582=g=:=k?1i?525c295j27>n<4>9`9>1g7=90h018l=:0;b?83e:3;2n63<138f6>;31h0n>63ke;g1?87dn3o970?i3;g1?85513o9704??348j?7?69:?1e6<61h16>l=518`897g328h870;5i=0:5:522`695<><5;k?6<76;<0b0?7>i279m94>9c9>6g6=m;16>lj5185897gc283370;5im0:5l522`f950279mh4>989>6dc=90k01?oj:0;a?856j3o970=>8;3:2>;4910:5:5230:95<><5:;36<76;<12i278=54>9c9>74?=90<01>?6:0;4?85613;2463<1882=<=:;831=4o4=23:>4?e349?m7k=;<166?c5349>n7k=;<153?c5349=87?67:?021<61116?;:518;89603283j70=94;3:f>;4><0:5:5237795<><5:<>6<76;<151?7>i278:84>9c9>725=m;16?;j518d8960c28k:70=9d;3b6>;4>m0:m>5237f95d2<5:278:i4>a69>73b=9h201>8k:0c:?851l3;jm63<6e82ef=:;?n1=lj4=24f>4?a349=i7?n1:?02`<6i;16?;k51`18960b28k?70=9e;3b1>;4>l0:m;5237g95d1<5:a`9>73c=9hi01>8j:0cg?851n3;2j63<6g82e4=:;?l1=l<4=24e>4g4349=j7?n4:?02c<6i<16?;h51`48960a28k<70=9f;3b<>;4>o0:m45237d95dg<5:9g9>726=9h;01>9?:0c1?85083;j?63<7182e1=:;>:1=l;4=253>4g1349<<7?n7:?035<6i116?:>51`;8961728kj70=80;3bg>;4?90:mi5236395<`<5:=:6;<145?7f:278;<4>a29>727=9h>01>9>:0c6?85093;j:63<7082e2=:;>;1=l64=252>4g>349<=7?na:?034<6ij16?:?51`f896>72l80198k:d08911?2l8018j?:d0890ef283=70;la;3:3>;2kh0:55525bc95j27>oo4>979>1fd=90=018mm:0;;?83dj3;2563:cc82=d=:=jh1=4l4=4a`>4?>34?ho7?6a:?6gf<61k169==5e39>1`d=m;16:=:5e39>1c0=m;169k=51c1890`4283=70;i3;3:3>;2n:0:55525g195j27>j94>b29>1c2=90<018h;:0;4?83a<3;2463:f582=<=:=o>1=4o4=4d7>4?e34<:>7k=;<6a0?c5349jo7k=;<1a2?c534>;j7k=;<1`5?c534>j;7k=;<1`e?c5349o97k=;<621?c534>:n7k=;<615?c534>9;7k=;<0`=?c5348ni7k=;<0eg?c534>>57k=;<667?7>j27?994>9c9>003=90h019;9:0;a?84c83o970i3o970=je;g1?827<3o970:;1;g1?823?3o9709::d089=7=m;16=h85e39>76b=m;16?4?5e39>7<1=m;16?4j5e39>7d5=m;16?ko5e39>063=m;168>l5e39>01b=m;169n=5e39>1ac=m;168i:5e39>0c2=m;169=h5e39>171=m;169>;5e39>12d=m;16>:o51c18971f283=70<8a;3:3>;5?h0:555226c95j279;o4>b29>62d=90<01?9m:0;4?840j3;2463=7c82=<=::>h1=4o4=35a>4?e34>n:7?69:?7a3<61h168h8518`891c0283270:j7;3:e>;3m>0:5o5252g95<`<5<9n6;<70a?7f:27>?h4>a29>16c=9h>018=j:0c6?834m3;j:63:3d82e2=:=:o1=l64=41f>4g>34?8i7?na:?67`<6ij169>k51`f8905a283m70;;2;o0:m?5252d95d5<5<9m6?k4>a79>16`=9h=018=i:0c;?834n3;j563:3g82ed=:=:l1=lm4=41e>4gc34??<7?6f:?605<6i81699>51`08902728k870;;0;3b0>;2<90:m85255295d0<5<>;68=4>a89>116=9hk018:?:0c`?83383;jh63;c88f6>;40<0:5k5239795d7<5:2>6a59>7=3=9h?01>6::0c5?85?=3;j;63<8482e==:;1?1=l74=2:6>4gf349397?nc:?0<0<6im16?58518d896>128k:70=76;3b6>;40?0:m>5239495d2<5:2=62784;4>a69>7=0=9h201>69:0c:?85?>3;jm63<8782ef=:;1<1=lj4=2:4>4?a3493;7?n1:?0<2<6i;16?5951`1896>028k?70=77;3b1>;40>0:m;5239595d1<5:2<6a`9>7=1=9hi01>68:0cg?85?03;2j63<8982e4=:;121=l<4=2:;>4g4349347?n4:?0<=<6i<16?5651`4896>?28k<70=78;3b<>;4010:m45239:95dg<5:2369g9>7=?=9h;01>66:0c1?85?13;j?63<8882e1=:;131=l;4=2::>4g1349357?n7:?0<<<6i116?5751`;896>>28kj70=79;3bg>;4000:mi526;3b0>;128k>70851`4893<6i>16:7?n8:?5>4g>34<1=lo4=782ef=:>3;jh638:0c7?81=9h?01:4>a79>3?7f?27<60:ml527;3bg>;028ko70??:0`0?877283=70??:0;4?877283370??:0;:?877283j70??:0;a?87528k:70?=:0c1?87528k870?=:0c7?87528k>70?=:0c5?87528k<70?=:0c;?87528k270?=:0cb?87528kh70?=:0cg?87428k970?<:0c0?87428k?70?<:0c6?87428k=70?<:0c4?87428k370?<:0c:?87428kj70?<:0c`?87428ko70?;:0c1?87328k870?;:0c7?87328k>70?;:0c5?87328k<70?;:0c;?87328k270?;:0cb?87328kh70?;:0cg?8`1283370h9:0;:?8`1283j70h9:0;a?8`f283370hn:0;:?8`f283j70hn:0;a?8`e283j70hm:0;a?8`d283j70hl:0;a?8`c28k:70hk:0c1?8`c28k870hk:0c7?8`c28k>70hk:0c5?8`c28k<70hk:0c;?8`c28k270hk:0cb?8`c28kh70hk:0cg?8`b28k:70hj:0c1?8`b28k870hj:0c7?8`b28k>70hj:0c5?8`b28k<70hj:0c;?8`b28k270hj:0cb?8`b28kh70hj:0cg?87793;i?63>0082=3=:99;1=494=022>4??34;;=7?69:?244<61h16==?518`89465283<70??2;3:<>;68;0:545211095?27:<>4>999>555=90301<><:0;b?877;3;2n63>0782=c=:99<1=l?4=025>4g534;;:7?n3:?243<6i=16==851`78946128k=70??6;3b3>;68?0:m55211495d?<58:=6ae9>551=90l01<>8:0c2?877?3;j>63>0682e6=:99=1=l:4=024>4g234;;;7?n6:?242<6i>16==951`:8946028k270??7;3be>;68>0:mn5211595db<58:36<7i;<33a39>55>=9h901<>7:0c7?87703;j963>0982e3=:9921=l94=02;>4g?34;;47?n9:?24=<6ih16==651`a8946?28ko70??c;3b0>;68j0:m85211a95d0<58:h6a89>55e=9hk01<>l:0c`?877k3;jh63>0e82e1=:99n1=l;4=02g>4g134;;h7?n7:?24a<6i116==j51`;8946c28kj70??d;3bg>;68m0:mi5211g95d2<58:n627:

    a69>55c=9h201<>j:0c:?877m3;jm63>0d82ef=:99o1=lj4=02e>4g334;;j7?n5:?24c<6i?16==h51`58946a28k370??f;3b=>;68o0:ml5211d95de<58:m6?4>a39>574=9h901<<=:0c7?875:3;j963>2382e3=:9;81=l94=001>4g?34;9>7?n9:?267<6ih16=?<51`a8944528ko70?=3;3b5>;6::0:m?5213195d5<58886>4>a79>575=9h=01<<<:0c;?875;3;j563>2282ed=:9;91=lm4=000>4gc34;987?n1:?261<6i;16=?:51`18944328k?70?=4;3b1>;6:=0:m;5213695d1<588?694>a`9>572=9hi01<<;:0cg?875=3;j=63>2482e7=:9;?1=l=4=006>4g334;997?n5:?260<6i?16=?;51`58944228k370?=5;3b=>;6:<0:ml5213795de<588>6;4>a39>570=9h901<<9:0c7?875>3;j963>2782e3=:9;<1=l94=005>4g?34;9:7?n9:?263<6ih16=?851`a8944128ko70?<1;3:2>;6;80:5:5212395<><589:6<76;<305?7>i27:?<4>9c9>564=90<01<==:0;4?874:3;2463>3382=<=:9:81=4o4=011>4?e34;8?7?66:?276<61>16=>=518:89454283270?<3;3:e>;6;:0:5o5212695<0<589?6<78;<300?7>027:?94>989>562=90k01<=;:0;a?xu2080;6?u259295<2<5<2:6?>7;|q6<7<72;q695>5187890>52;:37p}46|5<286<7:;<7;0?7>=278m94=099>7d>=90>01>o6:0;7?85fi3;28634?3349ii7?64:?0g2<61=16?n65186896b6283?70=k2;3:0>;4l:0:59523ec95<35fz?6<6<6i91695:51`2896g>283>70=na;3:1>;4il09<5523c095<3<5:h86<7:;<1ag?7><278nh4>949>7f1=90?01>m7:0;6?85c93;29634g73ty8n:4?:gy>1=5=9hh0186;:0ca?85f13;j<63=64=2`a>4?2349io7?65:?0f`<6i916?oh5186896e028k;70=l8;3b4>;4l80:m=523e095d6<5:n86428kn70;74;3ba>;4i00:mo523`c95dd<5:hm6<7:;<1`6?470278o;4>959>7a7=9hh01>j=:0ca?85c;3;jn63494>ag9>7d?=9ho01>on:0cf?85en3;j<634gb349om7?nf:p1=5=838p186<:32;?83?:3;286s|1c794?2|5<2?6<27?9<4>949>5g3=:920q~:?>7>52z?6<1<6j;1689<521:8yv3?<3:1>v3:85814==:=181=4;4}r7;2?6=:r7>4;4=099>1=>=90>0q~==c;292`}:=1=1=ll4=20`>76?34?ji7?n0:?6f5<6i9169o?51``890d528ki70==5;3:1>;4=10:m=5234;95dd<5:;<151?7e9278:i4>9c9>73c=90h01>8i:0;a?85083;2n63<7082=g=:=ji1=l>4=4d2>4g734?m>7?n0:?6b6<6ik169k:51``891g628k;70;5n?0:m=522g595<3<5;l36<7:;<0e=?7fj27?9>4>b09>002=9k;019;::0`2?822>3;i=634=227>4ge349;97?nb:?4g?7f827>h54>949>1a?=90?018jn:0;6?83cj3;2963:db82eg=::;o1=4;4=30e>4?23488<7?65:?10<<6ik16>8l51878973d283>70<9d;3:1>;5?00:m=5226c95dd<5;=i6b39>0`1=9k8018=j:0;a?834n3;2n63:4182=g=:=8=1=ll4=43;>4ge34?:m7?65:?65g<61<1698651`28903>28ki70;95;3bf>;40<0:5o5239495j278444>9c9>55<6j816=?4>9c9>b6<6i916j84>ac9>b3<6j816jl4>b09>bg<6j;16jn4>b39>ba<61k16jh4>9c9>557=9k;01<>=:0`1?877;3;i>63>0782=g=:99=1=4l4=02;>4?e34;;n7?nb:?25a<6i916=;6::0:5o52136956<7m;<312?7>j27:>44>b39>57g=9k801<63>3c82eg=:9=91=l>4=064>4g734;><7?nb:?21=<6i916=;;518789401283>70?97;3:1>;6>k0:585216095d6<58=86=2wx95950;0x90>02;:370;78;3:1>{t;:?1<74?43498:7=>:0;0?854<38;46s|32394?5|5:9:6?>7;<01g?7><279>n4>949~w64b2909w0=<6;3:7>;4:l09<55rs20g>5<5s498:7k=;<11`?4702wx??h50;0x964b283?70==f;03<>{t;::1<74?23498<7=?:0;7?85483;2963<1e814==:;;;1=lh4=201>4gb3ty>hk4?:05x9655283>70=<3;3:0>;2kl0:58525ed965><5=l96<7;;<6e6?7>=279?44>949>66g=90>01?:::0;6?843>3;2863=5182=0=::<;1=4:4=374>4?2348>47?64:?126<61<16>;:51868970f283>70<9b;3:0>;5??0:585226595<3<5;286<7:;<0;b?7>=2795=4>949~w6552909w0=<2;03<>;4;=0:595rs210>5<5s498?7=7:1864~;4:m0:58525`f95<3<5949>`<<61<16hn4>959>5c7=90?01><=:0ce?855>3;2963=a382=0=::h>1=4:4=3c`>4?2348ji7?64:?052<61<16?<7518689625283>70=;f;3:1>;4=>0:585237395<3<5==86<7:;<642?7><27>o44>949>1fd=90>019hk:0;6?83b03;2963:f382eg=:=o>1=lk4=5`1>4?2348m:7?nb:?1b2<6i916>k651`2897`>28kn70::4;3a6>;3=?0:n?5249c95<3<5<3m6<7:;<137?7fj278<84>ad9>750=90?014=30f>4g73488<7?n0:?174<61<16>><51878970a283?70<89;3bf>;5?k0:mh5229795<3<5;2=6<7:;<0;3?7>=27>?i4>949>14>=9ho01;4>9b9>3?7>k27:ad9>55`=90i011482=1=:98<1=4:4=034>4?334;:o7?64:?25`<6ik16=?o51c18944d28h870?<0;3:1>;6;:0:mo5212695dd<589m6<7:;<350?7f827::;4>a19>53d=9h:01<8j:0;6?870;3;jn63>7482e5=:91?1=4;4}r7a0?6=;r7>m54>929>1g0=90?018l::32;?xu2i10;676?34?jh7?n0:?6e`<6il169o>51`g890d628km70;m2;3bb>;2kh0:58525b`95<3<5j?4>ad9>1c5=9hl018h;:0ce?807l3;286390d82=1=:>9l1=4:4=733>4?3348m97?ne:?1b3<6il16>k751`d890bd28km70<89;3ba>;5?h0:mk5226`95d`<5<;<6959>524=9ho01<9<:0cf?xu2j:0;6?u25`d95<5<57;|q6ec<72:q69lh521:890e?283?70;l8;3:1>{t=h31<74?334?j57283>70;nb;03<>{t:>21<7=t=4c`>4?334?jo7?65:?13=<5811v8ol:18183fk38;463:ac82=1=z{mo4>949~w0`?2908:v3:ad82ec=:=k:1=lh4=4`2>4d734?i>7?m0:?061<6i916??;51`28964128k;70;la;3b4>;2kk0:m=525ba95d`<56<7;;<7f2?7><27>i:4>a19>1`>=9h:018k6:0;6?83a038;463:fd82=1=:=o:1=4:4=4d2>4ga34?m>7?nf:?6b6<6j9169k:51c28936d283>708?d;3:1>;18l0:585261d95<3<5?;;6<7:;<132?7f8279554>959>1gb=90>018li:0;7?845m3;jn63=2g82eg=::::1=ll4=312>4g7348=h7?n0:?12`<61<16>;h51878971>28km70<8a;3a4>;5?k0:n=5250595g6<5<;36=l4>a19>14d=9h:01<8k:0;7?870:3;jj63>7282ec=:9>>1=ll4=056>4ge34;<:7?nb:?2<4<61=16=5<51868yv3bk3:194u25`g95g6<5n?4>b09>772=9hh01><::0ca?855>3;jn63:c`82eg=:=jh1=ll4=4a`>4d734?n:7?65:?6a2<6ik169h651``890c>28k;70;if;3:0>;1890:595261395<2<5?:96<7;;<7fg?47027>j<4>b19>1c4=9k:018h<:0`2?83a<3;i=6390b82e5=:>9n1=l>4=72f>4g734<;j7?n0:?555<6i916>k;51`d897`128km70;5n10:mo522g;95g6<5::86ag9>750=9hh01?77:0;6?83el3;2963:bd82=1=:=kl1=4;4=4a3>4g734?h=7?n0:?6`=<6ik169i751``890bf28ki70;kb;3bf>;2lj0:n=5223g95dc<5;8m6ac9>664=9h:01?;n:0c3?842j3;j<63=5b82e5=::?n1=ll4=34f>4g7348=j7?n0:?13<<6j916>:o51c38971e28h:70<75;3b4>;50?0:m=5229595d6<5;236=54>b09>14?=9hh018?n:0ca?836j3;jn63>6282eg=:9?>1=ll4=046>4ge34;=:7?nb:?222<6ik16=;o51878940e28ki70?9c;3:1>;6>l0:m=5216095g6<58=86ad9>520=9ho01<6=:0;6?87?;3;2863>8582e5=:91?1=l>4}r432?6=:oq69lk51c3890d728h:70;m1;3a6>;2j;0:n?5233695dc<5:8>6ol4>ad9>1fd=9ho018ml:0`2?83b=3;2963:e782e5=:=oo1=4;4=4de>4?234<;<7?65:?544<61<16:=<5187890`7283>70;i1;3a5>;2n;0:n<525g195g4<5ac9>25b=9hh01;>j:0ca?807n3;jn6391182eg=:;991=lh4=227>4d7349;97?m0:?6f`<61<169oh51`28975528ki70<9d;3ba>;5>l0:mo5227d95dd<5;=26;<04e?7e:279;o4>b39>6=3=9hh01?69:0ca?84??3;jn63=8982ec=:9?n1=4;4=0:2>4?234;3?7?65:p1dc=838p18oj:32;?83fj3;j<6s|31`94?54s4?i<7?m2:?6f4<6j:169o<51c18966e2;:370=?f;3:0>;2kh0:mk525b`95d`<5i54>ad9>1`?=9hh018hi:0c3?807l3;ji63=eb82=1=::o=1=lk4=3d;>4gb349;:7?ne:?6g5<6ik169n?51``890b?28kn70;k9;3ba>;2lh0:mh525e`95dc<5;8n6ag9>667=9ho01?==:0cf?842i3;jn63=5c82eg=::4gb348=j7?ne:?65<<6il169;6>=0:mh5217795dc<58<=6a19>53d=9ho01<8l:0c3?871l3;j<63>6d82eg=:9>>1=lh4=056>4ga34;<:7?nf:?2<1<6ik16=5;51``8yv3d<3:1ov3:b182f6=:=k;1=484=4`1>4?134?h87;2=10:mh5254;95d`<5<>36<7:;<77b?7>=2wx>h950;0783e83;2:63:b082=2=:=k81=494=233>4?3348j?7?65:?1e1<61<16>lj5187897gb283>70=>8;3:1>;4900:58525bc95g7<5;<7`g?7>>27>i44>ad9>256=9h:01;>j:0cf?84b?38;463=eb82=0=:;9;1=4:4=225>4ga3488=7?nf:?177<6io16>8o51`g8973e28kn70<:c;3ba>;5>l0:mk5227d95d`<5;2>6<27>=44>ag9>14g=9hl018?m:0ce?871i3;jn63>6b82eg=:9?n1=ll4=04f>4gb3ty9j=4?:31x90d7283<70;m1;3:<>;2j;0:555230295<3<5;k86a19>6dc=9h:01>?7:0c3?85613;j<63:c`82f7=:=jh1=o<4=4a`>4?034?n57?nf:?544<6i916:=h51`g897cd28k;70;4880:585231495g6<5;9:6ag9>60d=9hl01?;l:0ce?841m3;i<63=6g82f5=::1<1=lk4=43:>4d734?:m7?m0:?65g<6j916=;o51`g8940d28kn70?9d;3ba>;6>l0:mk5rs3df>5<58r7>n=4>999>1g7=903018l=:0;:?85683;j<63=ae82eg=::ho1=ll4=23;>4ge349:57?nb:?6gd<6j:169nl51c1890ed283370;j9;3a4>;18;0:m=5260295dc<5;oh6b09>667=9k;01?==:0`2?842i3;i<63=5c82f5=::4=34f>4d6348=j7?m1:?1<2<6il169<751c38907f28h:70;>b;3a5>;6>h0:mk5217a95d`<5850;0x90d72;:370;m3;3:0>{t=k;1<776?34?i?7?65:p1g4=838p18l=:32;?83e;3;j<6s|30394?4|5::i6<7<;<126?4702wx?=m50;0x9675283870=?c;03<>{t;9n1<74?3349;h7>l:0;6?857m38;46s|28794?2|5::m6<7:;<0:1?47027>9:4>959>101=90?0q~=?f;296~;48o09<55231g95<2511y>746=9hh01?o<:0ca?84f<3;jn63=ae82e`=::ho1=lk4=23;>4gb349:57?ne:?1g1<58116>ho5186897eb283?70;5l<0:59522ea95<2<5;o86<7;;<135?7f82795?4>949~w7ee290nw0=>0;3ba>;5i:0:mh522`695dc<5;ko6ag9>74?=9hl01?kn:0;6?84dj38;463=d482=0=::mi1=4;4=3g0>4?2349;=7?nb:?1=7<6i91v?j=:18g85683;jj63=a282ec=::h>1=lh4=3cg>4d7348ji7?m0:?05=<6j916?<751c2897cf28k;70;5lj0:m=522d195d6<5:::6i750;ax967728h;70;5i=0:n=522`f95g7<5;kn6;<12b09>6`g=9hh01?j6:32;?84b;3;jn63<0082ec=::081=lk4}r0f4?6=jr78==4>b09>6d5=9k;01?o;:0`2?84fl3;i>63=ad82f7=:;821=o<4=23:>4d5348nm7?ne:?1a5<58116?=?51c2897?528km7p}<1183>7}:;8:1>=64=22f>4?23ty?544?:3y>0=c=9090197n:32;?xu30l0;6?jt=5:f>76?34>297?65:?7=3<6i9168495187891??283>70jn:0;7?8be283>70jl:0;6?87bn3;jn63>f182eg=:9o;1=ll4=546>4?334>=97?65:?731<61=168:;518789111283>70:m0;3:0>;3=:0:5;5244695<0<5=?>6<79;<662?7>>27?484>959>0=3=90?014?034;9n7?67:?26f<61>16=>>51``8945628km70?<2;3bb>;6;:0:mk5212695d`<58??6<7:;<361?7><27:9;4>959>501=9hh01<;7:0ca?872j3;jn63>5b82eg=:94ge34;>j7?nb:p0=`=838p197n:0;0?82?n38;46s|48294?4|5=2m6<7;;<6:4?4702wx84?50;0x91>a283>70:61;03<>{t9<81<7=t=5;1>4?334>2>7?65:?217<5811v97=:18182>:38;463;9082=1=z{8?j6=4<{<6:7?7><27?5>4>949>50g=:920q~:63;296~;31:09<55248395<3287>52z?7=1<5811684?51`28yv2f03:1?ku248795d6<5=3<64?234ni64g734;nh7?64:?2a`<61=16=hh51`g894`728kn70?i1;3ba>;6l;0:59521g495<2<5==?6<7:;<641?7f827?;;4>a19>0d>=:92019oj:0;7?82fn3;2863;b182=0=:4=5`1>4g734>j<7?nb:?7e4<6ik168l<51``891g428ki70:n4;3bf>;3=:0:5:5244695<1<5=?>6<78;<662?7>?278hn4>ac9>7ab=9hh01>jj:0cf?85cn3;ji63;8c82=1=:?00:59527c82eg=:?j0:mo527e82eg=:?l0:mo521d295<2<58o96<7:;<3f7?7f827:i94>a19>01d=90>019m::0;7?82d=3;2963>2882===:9;k1=464=00a>4??34;9o7?68:?275<6il16=>?51c28945528h;70?<3;3a4>;6;=0:n=5212595dd<58936ad9>56d=9ho01<:>:0;7?872=3;296s|48794?4|5=3>6?>7;<6:5?7fj2wx84850;0x91?12;:370:61;3ba>{t<0=1<776?34>2=7?nf:p0;6mm0:58521dg95<3<58om6ag9>5a4=90?014=5`2>4ge34>i>7?nb:?7=g<5811688=518:89133283370::5;3:<>;3=?0:555249`95<3<5>31=4;4=6`95dc<5>i1=lk4=6f95dc<5>o1=lk4=0g3>4?234;n=7?64:?70g<61<168n851868944>283270?=a;3:=>;6:k0:545213a95b09>565=9k;01<=;:0`2?874?3;ji63>3982e`=:9:31=lk4=01b>4ga34;8n7?nf:?204<61<16=8:51`289431283>7p};9983>7}:<021>=64=5;2>4d73tyoh7>53z?g1?7>;27oj7?65:?ga?4702wxh84?:01x9a3=:9201i751`289ag=9h:01il51``89ae=9hh01>;?:0;7?850i3;2963;fd82=1=:4gb34<1=4j4=682=a=:9:0:5n521582=f=:99i1=4j4=02g>4?c34;;i7?6d:?24c<61m16=<=51878yvb12909w0ji:0;7?8b12;:37p}kf;296~;cn38;463ke;3:7>{tl>0;6?u2d782=1=:l>09<55rse:94?4|5m<1=4;4=e:965>76?34n36<7;;|q2g7<72oq6hl4>ac9>`g<6il16hn4>ad9>5f4=:9201c882=1=:<>>1=l>4=556>4ge34><:7?nb:?23<<61=16=:o51868941e283?70?8c;3:0>;6?m0:595rs56f>54ga34nh6ad9>020=9ho019oj:0c3?82fn3;j<63;4d814==:9l;1=4;4=5a5>4?23ty3>7>542y>`d<6io16ho4>b19>`f<6j916=hj51`2894cb28k;70?jf;3a4>;6n90:n=521g395g6<58n=6<7;;<3g3?7><27:jl4>959>5cd=90>01989:0;7?821>3;2963;7582e`=:<>?1=lh4=555>4ga34>i<7?nb:?7f4<6il168o<51`g891g728kn70:n1;3ba>;3i;0:mh524`195dc<5=k?6127?994>989>003=903019;9:0;:?85ck3;ji634ga34>3:7?64:?7<3<61<16;o4>ag9>3f<6io16;i4>ag9>3`<6io16=h<51`2894c428ki70?j4;3bf>;376?34>h?7?64:?ef?7>>27mo7?66:?247<61?16===51848944>283j70?=a;3:e>;6:k0:5l5213a95b39>565=9k801<=;:0`1?874?3;jj63>3982ec=:9:31=lh4=01b>4d734;8n7?m0:?210<6i916=8851`28yvbf2909w0jn:32;?8b?283>7p}kb;296~;cj38;463k8;3b4>{tlj0;6?u2db814==:l10:mo5rs0af>5<4s4;h>7?63:?2`5<61<16=nh521:8yv7dl3:1>v3>c`82=6=:9jn1>=64}r3`e?6=;r7:ol4=099>5g?=90>017;|q2`5<72;q6=i>521:894ea28387p}>c583>7}:9j91=4:4=0a7>76?3ty:o84?:3y>5f5=90?01u21b495<2<58i=6<7:;<34{t<9?1<7?6{<3`3?7fj27:o54>ac9>5f?=9hh019>::32;?82713;2863>bc82=1=:9ki1=4:4=2de>4?234>;<7?65:?744<61<168=<51878924=90>01:=5186891b5283?70;?d;3:0>;2:<0:595252095<2<5<986<7;;<74<27>;44>959>52?=9hh01<9n:0ca?870j3;jn63>7b82eg=:9>n1=ll4}r1g3?6=?r7:o:4>ad9>5f>=9ho019>n:0;6?85c?38;463>be82=0=:9ko1=4;4=2de>4g73ty:o:4?:3y>5f1=:9201<58i>6q6=n751`g8916e283>70?mf;3:1>;3890:m=5239a965><5;9h6<7:;<34f?7fm2wx?4<50;5x94e>28km70:?b;3b4>;6jo0:m=5241295dd<5:396?>7;<00g?7f827:;o4>ag9~w4e>2909w0?l9;03<>;6k<0:mo5rs533>5<61r7:oo4>949>5fe=90?019>6:0;6?827i3;j<63;0c82eg=:<9i1=4;4=52g>4?234>:<7;6jo0:mo527382=0=:?:0:58524e095<3<5<:o6<7:;<711?7>=27>??4>949>165=90?01897:0;6?83013;2963=3b82eg=:::n1=4;4=31f>4?23ty?=;4?:07x94ee28k;70?lc;3b4>;39:0:595240195<3<5=;=6?>7;<3af?7f827:nn4>a19>5gb=9hh01;0;3;j<63;d382e5=:=;?1=l>4=411>4g734?8?7?n0:?63=<6i9169:751`28975d28kn70<;5;l0:m=5rs53`>5<6;r7:oo4>ac9>5fe=9hh019?6:0;7?82613;2963;1b814==:9kh1=ll4=0``>4ge34;ih7?ne:?2f`<6il16=oh51`d8924=9hh01:=51``891b528ki70;=5;3bf>;2;:0:mo5256;95dd<5;9h6ac9~w145290:?v3>cc82e`=:9ji1=lk4=53e>4?334>:j7?65:?767<58116=ol51`g894dd28kn70?md;3bb>;6jl0:mk521cd95g6<5>81=lk4=6195dc<5=n96?>4>ad9>12?=9ho01?=l:0`3?844l3;ji63=3d82e`=z{:om6=46{<3`f?7fn27:on4>ag9>073=90?01>ki:32;?825l3;2963>bc82ec=:9kn1=o>4=0`e>4d634=96q6=nl51c28916d28k;70:?1;3b4>;41109<55222f95d`<58=26;3880:mo5238g965><5;9o6ag9~w4ee2909w0?lb;03<>;6km0:595rs513>5<0s4;ho7?m0:?74a<6i9168=<51`2891572;:370<;6?h0:mh5216f95dc8:7>57z?2gf<6j8168=j51``8916528ki70:<6;03<>;5;l0:n=5216c95d`<58=o67p}>f383>6}:9l=1=4=4=0d7>4?234;m?7;6mm0:mo521dg95dd<58om6;<3e4?7e927:j<4>b09>005=90k019;;:0;b?822=3;2m63;5782=d=:=;>1=4:4=407>4?234?8>7?nb:?63=<6ik16=?7518`8944f283i70?=b;3:f>;6:j0:5o5212295g7<589:64>b29>562=9k90q~?j8;296~;6n=0:59521d:965>52z?2b1<58116=k=51818yv7b13:1>v3>e982=1=:9l31>=64}r3fe?6=:r7:i54>949>5`g=:920q~?=e;297~;6mk0:59521d`95<3<588n6?>7;|q2ag<72;q6=hl521:894cf283?7p};5383>6}:9li1=4:4=0g`>4?234>>>703g=90?0198m:0c3?87383;296s|1df94?4|58oo6?>7;<3fe?7f82wx=hk50;0x94cb2;:370?ja;3bf>{t9ll1<776?34;nm7?ne:p5c6=838p17;<3fe?7e82wx??650;1x967c283870==b;3:1>;4:009<55rs204>5<5s499?7?63:?062<5811v><<:180855;38;46390`82=1=:>9k1=4;4}r12a?6=:r78>o4>959>74c=:920q~==b;296~;4:k09<55233;95<552z?06d<58116??752868yv56n3:1>v3<1d82=1=:;8l1>=64}r114?6=:r78=h4>949>776=:920q~==1;296~;4:809<55233295<27>52z?067<58116??>51878yv55<3:1>v3<25814==:;;=1=4:4}r111?6=:r78>84=099>771=90?0q~==6;296~;4:?09<55233595d652z?2`4<61:16=i7521:8yv7c93:1?v3>d0814==:=:;1=4:4=412>4?23ty:h>4?:3y>5a?=90901=64}r3g0?6=:r7:h>4>959>5a2=:920q~?k5;296~;6l:0:58521e7965>52z?2`3<58116=i;51868yv22i3:1>v3>d682=0=:<=64}r3g3?6=:r7:h:4=099>5a3=90?0q~?ic;296~;6n<0:5>521gf965>53z?2b0<581169:9518689010283>7p}>f683>7}:9on1=4=4=0d4>76?3ty:j;4?:3y>5cb=m;16=k8521:8yv7a03:1>v3>f682=1=:9o21>=64}r3e=?6=:r7:j:4>949>5c?=:920q~?ia;296~;6nh09<5521g;95<252z?2bg<61<168:o521:8yv7aj3:1>v3>fc814==:9o31=4;4}r0b1?6=;r795h4>929>6d1=90?01?o9:32;?xu51l0;676?348j>7?n0:?1e6<6j;16>l:51c08960c283o70=9e;3:`>;4>o0:5i5236295l27>?k4>9e9>116=90n01>6::0;g?85?>3;2h63<8682=a=:;121=4j4=2::>4?c34;96<7k;4?c34ln6<7k;<332?7>l27:<:4>9e9>55>=90n01<<=:0;g?875;3;2h63>2582=a=:9;?1=4j4=005>4?c3ty95k4?:3y>6d1=90>01?7i:32;?xu5i>0;6?u22`5965><5;k=6<7<;|q1e5<72;q6>4h5186897g72;:37p}=a083>7}::0l1=4;4=3c2>76?3ty9m?4?:3y>6d4=:9201?o>:0;7?xu5i:0;6?u22`1965><5;k:6<7:;|q1e1<72;q6>l:521:897g628k;7p}=ag83>6}::h21=4=4=3`2>4?2348i<7=832p1?o7:32;?84fk3;j<63=ae82f6=::ho1=o=4=260>4?334>n?7?65:?0>4?33491=4;4}r0b=?6=:r79n<4>959>6d?=:920q~52z?1e<<61=16>lo521:8yv4fj3:1>v3=a882=0=::hh1>=64}r0bg?6=:r79mn4=099>6dd=90>0q~=>3;292~;5im0:5;522`g95<0<5:;86?>7;<123?7f8278=54>b29>74?=9k90q~52z?1e`<58116>ll51`28yv56i3:1?v3<1282=6=:;8i1=4;4=23a>76?3ty8=94?:3y>74e=90>01>?;:32;?xu49j0;6?u230a965><5:;i6<7<;|q050<72;q6?<:5186896722;:37p}<1783>7}:;8>1=4;4=235>76?3ty8=:4?:3y>741=:9201>?9:0;7?xu4910;6?u230:965><5:;=6<7:;|q05<<72;q6?<7521:8967128k;7p}<4883>7}:;:o1=4=4=26b>76?3ty8?h4?:02x965b2;:370=;3;3:1>;4<=0:mh5236`95<3<5=lm6<7;;<6f7?7f827?i94>ag9>2?7>m27<6<7j;<30>4?c34;?6<7k;<33g?7>m27:9d9>55c=90o01<>i:0;f?876<3;296s|35:94?4|5:>>6<7<;<175;3:0>;29<0:585rs21e>5<5s49?m7?63:?07c<5811v>:?:181854n3;2863<41814==z{:>:6=4={<10b?7>=2788<4=099~w6252909w0=;2;03<>;4<80:595rs260>5<5s49??7:;:181853<38;463<4082e5=z{:>=6=4={<172?470278854>959~w6202909w0=;7;03<>;4<10:585rs272>5<5s49?n7?63:?017<5811v>:m:18e853j38;463<5182=0=:;>i1=4;4=423>4?334>n?7?nb:?7a1<6j916:7?6f:?4>4?a34;86<7j;<37>4?b34;;o7?6f:?24a<61o16==k518d8946a283m70?>5;3:1>{t;=i1<74?4349?o7:l:0;7?853l38;46s|35g94?4|5:>h6<7:;<17a?4702wx?9h50;0x962a2;:370=;e;3:0>{t;<:1<776?349?i7?65:p70g=838p1>;<:0;0?852j38;46s|34194??|5:?86?>7;<16ad9>b6<6ik16j84>ad9>55d=9hl011g82ec=z{:??6=4={<16f?7>;278994=099~w6322909w0=:4;3:0>;4=<09<55rs275>5<5s49>87?65:?013<5811v>;8:181852?38;463<5782=1=z{ag9>1a4=:9201k=51`g89c3=9hl01<>m:0`3?876l3;jj63>1d82ec=:98l1=o>4}r16700=90?0q~=:9;296~;4=009<55234495d652z?01f<61:16?;9521:8yv52k3:1=?u234a965><5:<96<7:;<157?7fj278:94>b29>733=9k9019k9:0;4?82b?3;2;63>3;3:b>;a:3;2963i4;3bf>;a>3;i?63ia;3a7>;aj3;2;63ic;3:3>;68j0:m<5211d95d7<58;i6<7:;<32g?7>=2wx?8j50;0x9600283870=:d;03<>{t;4?3349>i7;k:0;6?852n38;46s|4d794?5|5:<;6<7;;<154?7>=27?i84=099~w6072909w0=90;03<>;4=o0:595rs242>5<5s49==7;0:m=5237195dc<5:>27?:k4=099>025=9h:0199;:0ce?820=3;i<63;7782f5=:4??34<1=l?4=0695<`<5o81=l>4=g695dc<5o<1=484=gc95<0<5oh1=464=ga95<><58:o6;<32f?7f827:=n4>a19~w6052909w0=92;03<>;4=o0:m=5rs240>5<5s49=?78;:181851<38;463<5g82e`=z{:<>6=4={<151?4702789k4>ag9~w6152909w0=98;3:7>;4?:09<55rs24;>5<61r78:54=099>73b=90o01>8j:0;f?851n3;2i63<7182=`=:;>;1=4k4=41f>4?b34?8j7?6e:?605<61l16?5;518g896>1283n70=77;3:a>;4010:5h5239;954?b34;;;7?6e:?24=<61l16=?<518g89444283n70?=4;3:a>;6:<0:5h521349552z?036<61:16?;7521:8yv51i3:1>v3<6882=1=:;?k1>=64}r15f?6=:r78:44>949>73d=:920q~;j0:595237a95<3<5<9h6?>7;|q02f<72;q6?;m521:8960e283?7p}<6e83>7}:;?n1>=64=24a>4?23ty8:h4?:3y>73c=:9201>8m:0c3?xu4>o0;6?u237d965><5:521:8960e28kn7p}<7083>7}:;>;1>=64=24a>4ga3ty8;k4?:3y>722=90901>6?:32;?xu4?=0;6<76?34970=8e;3:1>;2180:585211`95g7<58;86a19>540=90?011b82eg=:98n1=o>4=03f>4d734;:j7?m1:p723=838p1>6?:0;0?850=38;46s|36494?4|5:=>6<7;;<142?4702wx?:950;0x9612283>70=87;03<>{t98;1<7=t=25;>4?3349<47?65:?254<5811v>97:181850038;463<7682=1=z{8;26=4<{<14=?7><278;44>949>54?=:920q~=89;296~;4?009<55236595<352z?03d<58116?:951`28yv50j3:1>v3<7c814==:;>=1=ll4}r14g?6=:r78;n4=099>721=9ho0q~=7b;29=~;4?m0:m=5239`965><5?0:m?527;3b5>;68j0:m?5211f95d4<58:n6;<33b?7f:27:=;4>a19~w61c2909w0=8d;03<>;4?>0:mk5rs`83>f}:;>o1=l>4=422>4?334k1>=64=782e6=:?3;j>63>3;3b5>;6<3;j=63>0b82e6=:99n1=l=4=02f>4g534;;j7?n3:?252<6i91v>9j:181850m38;463<7682f5=z{=?m6=4={<66e?7>;27?:=4=099~w13d2909w0:90;3:7>;3=j09<55rs57a>5<5s4>=<7k=;<66f?4702wx88j50;0x913d283?70::d;03<>{t<4?234>>i7h1=4;4=5:2>76?34>h>7?64:?7g7<61<1v98l:18082193;2?63;6d82=0=:=64}r656?6=:r7?:h4>959>034=:920q~:9e;296~;3>l09<55247f95<5=?7>52z?727<61=168;=521:8yv21<3:1>v3;6382=0=:1>=64}r651?6=:r7?:84=099>032=90>0q~:96;296~;3>?09<55247695<353z?722<61=168;951878945c2;:37p};6683>7}:=64=547>4g73ty>m=4?:2y>03>=90>01987:0;6?83f838;46s|47:94?4|5=<36?>7;<650?7fj2wx8;750;0x910>2;:370:94;3ba>{t76?34>=87?nf:p03d=838p198m:32;?821<3;i<6s|46594?5|5==27?;54=099~w1172909w0:89;3:0>;3?909<55rs55:>5<5s4><57:18182083;2863;70814==z{==96=4={<644?7>=27?;?4=099~w1142909w0:83;03<>;3?;0:595rs557>5<5s4><87ac9~w11a2909w0:8a;3:7>;30909<55rs55`>5<5s4>3<7?63:?73f<5811v99m:18182?83o970:8b;03<>{t<>n1<74?334>=27>h=4=099~w0eb2909w0;ld;3:7>;2kl09<55rs4ag>5<4s4?hh75<51878yv3d=3:1>v3:d082=1=:=j?1>=64}r7g5?6=:r7>h<4=099>1a6=9090q~;l6;296~;2k<0:59525b4965>52z?6g0<61<169n9521:8yv3d03:1>v3:c9814==:=j=1=4:4}r7`=?6=:r7>o44=099>1f1=90?0q~;la;296~;2kh09<5525b595d652z?6gg<581169n951``8yv3dk3:1>v3:cb814==:=j=1=lk4}r736?6=;r7?j:4>929>152=909018><:32;?xu28=0;6<;t=427>76?34>mi7?65:?7bc<61<169=>518789066283>70?=:0;e?8`528ki70h<:0ce?8`328km70h::0`3?8`1283<70hn:0;4?8`e283270hl:0;:?8`c283m70hj:0;e?875:3;2j63>2282=c=:9;>1=4h4=006>4?a34;9:7?6f:p0c>=838p18><:0;0?82a038;46s|4g;94?4|5=l36<7;;<6e=?4702wx8ko50;0x91`?283>70:ia;03<>{tn90;6>u24g`95<2<5=li6<7:;76?3ty?jo4?:3y>0cd=:92019hn:0;7?xua03:1?v3;fb82=1=:mo7>52z?7bf<581168ko51878yv2al3:1>v3;fe814==:4}r6ea?6=:r7?jh4=099>0cg=9hh0q~:if;296~;3no09<5524gc95dc52z?645<581168ko51`d8yv3793:1>v3:00814==:4}r7fe?6=:r7>hk4>929>1`d=:920q~;j0;296~;2mk0:5>525d2965>52z?6a5<61=169h?521:8yv3b:3:1>v3:e182=0=:=l81>=64}r01`?6=;r7>i>4>959>1`5=90?01?<570?80;03<>{t=l>1<776?34?n>7?65:p1`3=838p18k::32;?83b:3;j<6s|5d494?4|57;<7f6?7fj2wx94m50;3`83b?3;jj63:e982ec=:>9:1=ll4=722>4ge34<;>7?nb:?1b2<6io16>k651`d890?d2;:370;l0;3ba>;2k80:mh525e:95d`<5ho4>ag9>67c=9k:01?6282ec=:9?>1=lh4=046>4ga34;=:7?nf:?222<6io16=;l51`d8941328h;70?85;3a4>;6??0:n=5219695dc<582>67}:=l21>=64=4g1>4ga3ty>i44?:3y>1`?=:92018k=:0`3?xu18:0;6>u25g:95<5<5?:>6<7:;<430?4702wx9k750;0x9362283?70;i9;03<>{t>9?1<776?34<;87?63:p1cg=838p18h6:0;7?83ai38;46s|5g`94?4|55:50;1x90`d283?70;ic;3:1>;50=09<55rs4d`>5<5s4?mo7>=:18083al3;2863:fe82=0=:;981>=64}r7e`?6=:r7>ji4=099>1cd=90?0q~;ie;296~;2nl09<5525g`95d652z?6bc<581169kl51``8yv0783:1>v3901814==:=oh1=lk4}r435?6=:r7=<<4=099>1cd=9hl0q~8?2;296~;18;09<5525g`95g653z?6af<61:169k95187890`12;:37p}:ee83>7}:=o=1=4:4=4gg>76?3ty>j:4?:3y>1c1=:92018h9:0;0?xu2ml0;6?u25df95<2<57;|q6ac<72;q69hj5187890ca2;:37p}:f183>7}:=o:1>=64=4ge>4?33ty>j<4?:3y>1c7=:92018ki:0;6?xu2n;0;6?u25g0965><57}:=o>1>=64=4ge>4gb3ty==<4?:2y>250=90901;?<:0;6?806:38;46s|61594?4|5?;86<7;;<433?4702wx:<=50;0x93742;:3708>2;3:7>{t>921<74?334<;478:0;6?807138;46s|61c94?4|5?:j6?>7;<43=?7><2wx>;m50;1x936e283?708?b;3:1>;5>j09<55rs72a>5<5s4<;n7l:181807k38;46390882e5=z{?:o6=4={<43`?47027=<44>ac9~w36b2909w08?e;03<>;1800:mh5rs72e>5<5s4<;j7;27?n94=099~w1g>2909w0:m4;3:7>;3i009<55rs5cb>5<5s4>j57?64:?7ed<5811v9om:18182f13;2963;ac814==z{>k1<7=t=5c`>4?334>jo7?65:?4e?4702wx8lm50;0x91gd2;:370:nb;3:0>{t9:<1<7=t=5cg>4?334>jh7?65:?273<5811v9ok:18182fl38;463;ac82=0=z{=kn6=4={<6ba?47027?mo4>a19~w1ga2909w0:nf;03<>;3ik0:mo5rs5`3>5<5s4>i<7:18182e938;463;ac82ec=z{=h96=4={<6a6?47027?mo4>b19~w6ge2908w0=n4;3:7>;4im0:58523`a965>52z?0ea<61=16?l;521:8yv5fl3:1>v3959>7d0=:920q~=n7;296~;4i<0:58523`5965>5bz?0e=<61<16?l751`d896d3283?70=md;3:0>;4k?0:58523b595dd<5:n;6<7:;<1g5?7fm278i>4=099>7`e=90>01>kl:0;6?xu4m00;64u23`:95d6<5:kj6=278ni4>949>7f0=9h:01>m7:0ca?85c83;j<63=64}r1b7d1=90>0q~=n9;296~;4i009<5523`595<352z?0ed<58116?l951`28yv5e=3:1>v3=64}r1bb?6=:r78n;4>929>7d`=:920q~=m0;296~;4io0:59523c2965>52z?0ec<61<16?o?521:8yv5e:3:1>v34=099>7g7=90?0q~=m4;296~;4j=09<5523c395d6;i7>52z?740<61:168=h521:8yv27>3:1>v3;0g82=6=:<9<1>=64}r633?6=:r7?<;4>959>051=:920q~:?8;296~;38?0:585241:965>;57>52z?74<<581168=651868yv27i3:1>v3;0`814==:<921=4;4}r63f?6=:r7?05>=9h:0q~:?c;296~;38j09<55241:95dd;h7>52z?74a<581168=651`g8yv5d83:1>v3=64}r1a929>7g>=:920q~=m9;296~;4j10:59523c;965>52z?0f=<61<16?oo521:8yv5ej3:1>v37gg=90?0q~=md;296~;4jm09<5523cc95d652z?0f`<58116?oo51``8yv5en3:1>v3929>0d1=:920q~:n5;296~;31o0:5>524`7965>2j7>53z?7=c<58116=ik5186894bb283>7p};9b83>7}:76?3ty?5i4?:3y>00197k:32;?xu31l0;6?u248a95<3<5=3n6?>7;|q7g1<72:q684k5186891?b283>70:l4;03<>{t76?34>j97?64:p0d7=838p19o>:32;?82f=3;296s|4`094?4|5=k96?>7;<6b1?7f82wx8l=50;0x91g42;:370:n5;3bf>{t1<776?34>j97?ne:p7f?=839p1>m=:0;0?85dj3;2963<278o>4=099~w6ee2909w0=lb;03<>;4kh0:5>5rs2a7>5<5s49h?7?64:?0g1<5811v>m::18185d;3;2963959~w6e02909w0=l7;03<>;4k<0:585rs2a;>5<5s49h47j;:18085dk3;2?63=64}r1``?6=:r78h;4>959>7fb=:920q~=k6;296~;4l?09<5523e795<552z?0ga<61=16?nk521:8yv5dn3:1>v3=64}r1g4?6=:r78h=4=099>7f`=90>0q~=k1;296~;4l809<5523bd95<37>52z?0`7<58116?nh51`28yv5c;3:1>v3929>043=:920q~:>1;296~;39<0:5>52403965>:>7>52z?754<61=168<<521:8yv26;3:1>v3;1082=0=:<891>=64}r62e?6=:r7?=;4>929>04d=:920q~:>7;296~;39k0:5>52405965>:47>52z?752<61=168<6521:8yv2613:1>v3;1682=0=:<831>=64}r614?6=:r7?=n4>929>077=:920q~:>d;296~;3:80:5>5240f965>:i7>52z?75a<61=168v3;1e82=0=:<8l1>=64}r612?6=:r7?>?4>929>071=:920q~:=3;296~;3:>0:5>52431965>987>52z?766<61=168?:521:8yv25=3:1>v3;2282=0=:<;?1>=64}r6184>a19>07>=:92019bd82f5=:?:0:mk5222a95g7<5;9o6;<00a?7e92wx>n650;1x97e3283870;5k009<55rs3a6>5<5s48hm7?64:?1g0<5811v?mn:18184di38;463=c882=6=z{;i=6=4={<0`1?7><279o;4=099~w7e02909w0;5k>09<55rs3gg>5<4s48n;7?63:?1ac<61<16>hk521:8yv4bk3:1>v3=ec82=6=::li1>=64}r0ff?6=;r79io4=099>5=6=90>01<6?:0;6?xu5m10;6?u22dd95<2<5;o36?>7;|q1ac<72;q6>hh521:897cb28387p}=e883>7}::l21=4:4=3g:>76?3ty9il4?:3y>6`>=90?01?kn:32;?xu5nk0;6>u22g295<5<5;lo6<7:;<0eg?4702wx>ko50;0x97`3283870{t:o>1<7=t=3d7>76?34?o:7?64:?6`3<61<1v?h>:18184al3;2863=f0814==z{;lo6=4={<0e`?470279jn4>929~w7`52909w0;5n;09<55rs3d0>5<5s48m=7?65:?1b6<5811v?7>:18084a;3;2863=f282=0=::0;1>=64}r0e1?6=:r79j84=099>6cg=90>0q~52z?1b2<58116>ko51`28yv4a03:1>v3=f9814==::ok1=ll4}r0e=?6=:r79j44=099>6cg=9ho0q~::8;296~;35244;965>>;7>52z?717<61:16889521:8yv23n3:1>v3;5882=6=:<=l1>=64}r664?6=:r7?8k4>959>006=:920q~::1;296~;3>?7>52z?716<5811688951868yv22<3:1>v3;55814==:<<=1=4;4}r661?6=:r7?984=099>001=9h:0q~::6;296~;3=?09<55244595dd53z?1gg<61:16>i?5187897b72;:37p}=cb83>7}::m;1=4:4=3a`>76?3ty9h<4?:3y>6a7=:9201?j?:0;0?xu5km0;6?u22ba95<2<5;io6?>7;|q1g`<72;q6>nm5187897eb2;:37p}=d783>6}::m81=4=4=3f;>4?2348o;77;<0g3?7>;2wx>i:50;0x97b4283?70{t:m?1<74?2348o97<279hl4=099~w7ba2909w0;5ll0:5>5rs3fa>5<5s48om7?64:?1`g<5811v?jl:18184ci3;2963=db814==z{;o?6=4<{<0f4?7>;279i;4>949>6`3=:920q~52z?1a3<58116>h;51818yv4b:3:1>v3=e082=1=::l81>=64}r0f7?6=:r79i<4>949>6`5=:920q~=j1;296~;4l>0:5>523d0965>52z?0`g<61:16?h>521:8yv5cj3:1?v34?23ty8h54?:3y>7`4=90901>j7:32;?xu4l00;6?u23e:95<2<5:n26?>7;|q0`d<72;q6?i65187896bf2;:37p}7}:;mi1>=64=2g3>4?33ty8hi4?:3y>7ab=:9201>k?:0;6?xu4ll0;6?u23eg965><5:o;67}:;ll1=4=4=2d7>76?3ty8j=4?:3y>7c2=90901>h?:32;?xu4n80;6?u23g295<2<5:l:6?>7;|q0b7<72;q6?k>5187896`52;:37p}>d`83>6}:;o81=4:4=2d1>4?234;om7283?70:=a;03<>{t<;h1<74?234>9n7o4>959~w14c2909w0:=d;03<>;3:k0:585rs5:`>5<5s4>3=7?63:?7<27?4>4=099~w1>32909w0:72;3:1>;30=09<55rs5:6>5<5s4>39738;463;8582=0=z{8>>6=4<{<6;3?7><27?4:4>949>513=:920q~:77;296~;30>09<55249695d653z?7<=<61=1685651878942e2;:37p};8983>7}:<121>=64=5:7>4ge3ty?444?:3y>0=?=:920196;:0cf?xu30h0;6?u249c965><5=2?6328h;7p}:a783>7}:=0i1=4=4=4c4>76?3ty>m84?:3y>1d6=909018o::32;?xu21m0;6?u25`595<5<5<3o6?>7;|q6=`<72;q694j5186890?b2;:37p}:9g83>7}:=0n1=4;4=4;e>76?3ty>m<4?:3y>1d7=:92018o::0;7?xu2i;0;6?u25`0965><56<7:;|q6e6<72;q69l=521:890g228k;7p}:a583>7}:=h>1>=64=4c6>4ge3ty:o=4?:3y>5g3=90901:32;?xu6j?0;6?u21b395<5<58h=6?>7;|q2f2<72;q6=o85186894d02;:37p}>b983>7}:9k<1=4;4=0`;>76?3ty:n44?:3y>5g?=:9201u21cc95<2<58hj6<7:;<00f?4702wx=oo50;0x94df2;:370?m8;3:1>{t9kh1<776?34;i47?n0:p5ge=838p17;<3a{t9kl1<776?34;i47?m0:p75>=839p1?hj:0;0?857i3;2963<08814==z{::<6=4={<136?7>;278<:4=099~w7`a2909w0=?a;3:0>;5no09<55rs22b>5<5s49;m7>?:18184an3;2863<01814==z{:::6=4={<0eb?7>=278<<4=099~w6642909w0=?3;03<>;48>0:595rs227>5<5s49;87>::181857=38;463<0682e5=z{::=6=4={<132?470278<:4>ac9~w6c02909w0=j3;3:7>;4m109<55rs2g7>5<5s49n47?63:?0a1<5811v>k::18185b<3;2863=278i;4=099~w7?>2909w0<65;3:7>;51h09<55rs3;5>5<5s482m7?63:?1=3<5811v?78:18184>>3;2863=96814==z{;336=4={<0:2?7>=279554=099~w6cc2909w0=j9;3:7>;4ml09<55rs2gb>5<5s49ni7?63:?0ad<5811v>km:18185bi3;2863=278in4=099~w1642909w0=ib;3:7>;38=09<55rs2da>5<3s49mn77}:<9>1=4=4=2d`>76?3ty8ji4?:3y>7ce=90>01>hk:32;?xu4nl0;6?u23ga95<3<5:ln6?>7;|q0bc<72;q6?kh521:896`b283?7p};0183>7}:<9:1>=64=2df>4?23ty?<<4?:3y>057=:9201>hj:0c3?xu38;0;6?u2410965><5:ln6m5181891262;:37p};3e83>7}:<=;1=4=4=51g>76?3ty??h4?:3y>06b=90>019=j:32;?xu3;o0;6?u242f95<3<5=9m6?>7;|q703<72;q689<5181891202;:37p};4283>7}:<==1=4=4=560>76?3ty?894?:3y>015=90>019:;:32;?xu3<<0;6?u245195<3<5=>>6?>7;|q40?6=:r7=i7?63:?41?4702wx:h4?:3y>2`<581168oo51868yv0a2909w09::0;0?80a2;:37p}80;296~;1n3;286380;03<>{t?80;6?u26g82=0=:?809<55rs6094?4|5>81>=64=6395<276?34=:6<7:;|q;4?6=:r7<:7?63:?;5?4702wx;;4?:3y>33<581168oo51878yv1a2909w09n:0;0?81a2;:37p}87;296~;?93;2?6387;03<>{t?10;6?u27682=1=:?109<55rs6;94?4|5>=1=4;4=6;965>76?34=m6<7;;|q4g?6=:r7=2wx;i4?:3y>3a<58116;k4>a19~w2c=838p1:k521:892`=9hh0q~?j5;296~;6lh0:5>521d4965>52z?2a3<61:16=il521:8yv7ck3:1>v3>dc82=1=:9mi1>=64}r3g`?6=:r7:ho4>949>5ab=:920q~?ke;296~;6ll09<5521ef95<252z?2`c<58116=ij51878yv7b83:1>v3>e1814==:9mn1=l>4}r3f5?6=:r7:i<4=099>5ab=9hh0q~?j2;296~;6m;09<5521ef95dc52z?2a6<58116=ij51`d8yv7b<3:1>v3>e5814==:9mn1=o>4}r10g?6=:r78?54>929>76b=:920q~=<9;296~;4;m0:5>5232;965>52z?07<<61=16?>o521:8yv54j3:1>v3<3882=0=:;:h1>=64}r1:4?6=:r784n4>929>7<7=:920q~=7d;296~;4180:5>5239f965>52z?0v3<8e82=0=:;1l1>=64}r1:2?6=:r785?4>929>7<1=:920q~=63;296~;41>0:5>52381965>52z?0=6<61=16?4:521:8yv5>=3:1>v3<9282=0=:;0?1>=64}r1:g?6=:r78554>929>75238;965>52z?0=<<61=16?4o521:8yv5>j3:1>v3<9882=0=:;0h1>=64}r1b6?6=:r785h4>929>7d5=:920q~=6f;296~;4i:0:5>5238d965>52z?0=c<61=16?l>521:8yv5f93:1>v3<9g82=0=:;h;1>=64}r1e=?6=:r78j84>929>7cg=:920q~=i6;296~;4nh0:5>523g4965>52z?0b3<61=16?k9521:8yv5a03:1>v3=64}r600?6=:r7??=4>929>063=:920q~:<1;296~;3;<0:5>52423965>8>7>52z?774<61=168><521:8yv24;3:1>v3;3082=0=:<:91>=64}r60e?6=:r7??;4>929>06d=:920q~:<7;296~;3;k0:5>52425965>847>52z?772<61=168>6521:8yv2413:1>v3;3682=0=:<:31>=64}r67g?6=:r7?854>929>01b=:920q~:;8;296~;3<109<5524b195<3?57>52z?70a<61:16897521:8yv23i3:1>v3;4882=1=:<=k1>=64}r67f?6=:r7?844>949>01d=:920q~;l2;296~;2j>0:5>525b1965>55z?6f2<5811698651`d8903>28h;70;;8;3ba>;25<5s4?h?7?63:?6f=<5811v8l6:18183e03;2863:b8814==z{=27>nl4=099~w4062908w0;mb;3:0>;2jk0:5852173965>52z?6fg<581169oo51868yv7113:1?v3:bb82=1=:=ki1=4;4=04:>76?3ty>nn4?:3y>1ge=:92018ln:0;6?xu2jm0;6?u25cf965><57}:=kl1>=64=4`b>4gb3ty>o=4?:3y>1f6=:92018ln:0ce?xu2k80;6?u25b3965><57}:=mo1=4=4=4f0>76?3ty>h94?:3y>1a5=90>018j;:32;?xu2l<0;6?u25e195<3<56?>7;|q6`3<72;q69i8521:890b2283?7p}>7g83>6}:=m=1=4:4=4f4>4?234;7;<7g1?7f82wx9i750;0x90b>2;:370;k5;3bf>{t=mk1<776?34?o97?ne:p1ad=838p18jm:32;?83c=3;jj6s|5ea94?4|57;<7g1?7e82wx8i=50;0x91ea283870:k4;03<>{t4?434>o<7{t4?434>m<7{t=9h1<74?434?;n7m:0;7?837k38;46s|51f94?4|5<:i6<7:;<73`?4702wx9?850;0x9047283870;=7;03<>{t=;;1<74?434?9=7:0;7?835:38;46s|53194?4|5<8:6<7:;<717?4702wx9?:50;0x90432;:370;=3;3:0>{t=;?1<776?34?9?7?65:p162=838p186<7<;<71a?4702wx9?h50;0x904b283?70;=f;03<>{t=::1<74?234?8<7:32;?83483;286s|52094?4|5<996?>7;<704?7>=2wx9>=50;0x90542;:370;<0;3b4>{t=>k1<74?434?70;86;03<>{t=>=1<776?34?<:7?64:p12>=838p1897:32;?830>3;296s|56;94?4|5<=26?>7;<742?7f82wx>>:50;0x974>283870<<4;03<>{t::91<74?43488?7?m50;0x974f283>70<=c;03<>{t:;o1<776?3488?7?64:p67`=838p1?7;<007?7f82wx>>?50;0x97562;:370<<3;3bf>{t::81<776?3488?7?ne:p616=838p1?=::0;0?843838;46s|22d94?4|5;9i6<7<;<00b?4702wx>>850;0x9727283870<<6;03<>{t::=1<74?33488;7=838p1?=9:0;6?844038;46s|22;94?4|5;926?>7;<00<2wx>>o50;0x975f2;:370<<8;3:1>{t::i1<776?3488j7?64:p66b=838p1?=k:32;?844n3;296s|22g94?4|5;9n6?>7;<00b?7f82wx>9l50;0x9726283870<;b;03<>{t:=k1<74?4348?m75282=0=z{;>96=4={<07f?7>;2798?4=099~w7242909w0<;2;3:0>;5<:09<55rs367>5<5s48?>7?65:?101<5811v?:::181843=38;463=4582=1=z{;>=6=4={<072?470279894>949~w72?2909w0<;8;03<>;55<5s48?57o6=4={<066?7>;2798i4=099~w72b2909w0<;d;3:0>;55<5s48?h7?65:?10c<5811v?;?:181842838;463=4g82=1=z{;?:6=4={<065?4702798k4>949~w73b2909w0<:3;3:7>;5=l09<55rs37g>5<5s48>57?63:?11a<5811v?;6:180842138;463>6382=1=:9?81=4;4}r060?6=:r799h4>929>602=:920q~<:5;296~;5==0:5952247965>:7>52z?111<61<16>88521:8yv42?3:1>v3=56814==::<<1=4:4}r06600=90?0q~<:a;296~;5=h09<55224f95<2n7>52z?11g<58116>8j51878yv42k3:1>v3=5b814==::4}r051?6=:r799k4>929>633=:920q~<90;296~;5><0:5>52272965>52z?125<61=16>;?521:8yv41:3:1>v3=6182=0=::?81>=64}r057?6=:r79:>4=099>634=90>0q~<94;296~;5>=09<55227095<352z?123<61:16>:?521:8yv4083:1>v3=6b82=6=::>:1>=64}r053?6=:r79;<4>929>631=:920q~<98;296~;5>>0:595227:965>52z?122<61<16>;7521:8yv41i3:1>v3=6`814==::?31=4:4}r05f?6=:r79:o4=099>63?=90?0q~<9d;296~;5>m09<55226295<252z?12`<58116>:>51878yv41n3:1>v3=6g814==::>:1=l>4}r04`?6=:r79;?4>929>62b=:920q~<8c;296~;5?10:5>5226a965>52z?13a<61:16>:=521:8yv40<3:1>v3=7282=1=::>>1>=64}r041?6=:r79;>4>949>623=:920q~<86;296~;5??09<55226795<252z?132<58116>:;51878yv4013:1>v3=78814==::>i1=4:4}r04e?6=:r79;l4=099>62e=90?0q~<8b;296~;5?k09<55226a95d652z?13`<61:16>5o521:8yv4?13:1>v3=8582=6=::131>=64}r04b?6=:r794l4>929>62`=:920q~<70;296~;5?o0:5952292965>52z?13c<61<16>5?521:8yv4?:3:1>v3=83814==::1;1=4:4}r0;7?6=:r794>4=099>6=7=90?0q~<75;296~;50<09<55229;95<252z?1<3<58116>5751878yv4??3:1>v3=86814==::131=l>4}r0;6=?=9hh0q~<63;296~;50k0:5>52281965>7>52z?1=4<61:16>4<521:8yv4?k3:1>v3=9282=6=::1i1>=64}r0;`?6=:r794n4>959>6=b=:920q~<7e;296~;50j0:585229g965>52z?15k51868yv4>83:1>v3=91814==::1o1=4;4}r6f=?6=:r7?hh4>929>0`?=:920q~:j8;296~;3m<0:5>524d:965>oj7>52z?7a<<61:168ih521:8yv2b83:1>v3;dg82=1=:=64}r6f5?6=:r7?hk4>949>0`7=:920q~:j2;296~;3m;09<5524d395<2n?7>52z?7a6<581168h?51878yv2b<3:1>v3;e5814==:4}r6f2?6=:r7?i;4=099>0`>=90>0q~:j7;296~;3m>09<5524d:95<37>52z?67=<61:1699<521:8yv3393:1>v3:3b82=6=:==;1>=64}r70=?6=:r7>8?4>929>16?=:920q~;52z?67<<61<169>l521:8yv34l3:1>v3:3e814==:==;1=4:4}r70a?6=:r7>?h4=099>117=90?0q~;52z?605<5811699?51``8yv31l3:1>v3:6882=6=:=?n1>=64}r75e?6=:r7>:i4>929>13g=:920q~;9b;296~;2>h0:595257`965>52z?62d<61<169;m521:8yv3>:3:1>v3:8d82=6=:=081>=64}r7;b?6=:r7>5?4>929>1=`=:920q~;60;296~;20o0:5952582965>52z?6v3;b682=6=:=64}r6a929>0g>=:920q~:m9;296~;3j10:59524c;965>im7>52z?7f=<61<168oo521:8yv36l3:1>v3:1382=6=:=8n1>=64}r72g?6=:r7>=;4>929>14e=:920q~;>6;297~;29?09<55216395<2<58=:6<7:;|q656<72;q697}:=891=4:4=437>76?3ty>=84?:3y>145=90?018?::32;?xu29>0;6?u2505965><5<;h6<7;;|q65=<72;q69<6521:8907d283>7p}:1883>7}:=831>=64=43`>4g73ty>=l4?:3y>14g=:92018?l:0ca?xu29k0;6?u250`965><5<;h67}:=76?3ty>984?:3y>102=90>018;::32;?xu2=?0;6?u254695<3<57;|q612<72;q6989521:89031283?7p}:5983>7}:=<21>=64=475>4?23ty>944?:3y>10?=:92018;9:0c3?xud:3:1>v3me;3:7>;d:38;46s|bg83>7}:k;0:5>52bg814==z{j:1<7=64}ra2>5<5s4hm6<7:;76?3tyhm7>52z?`2?7>;27hm7929>g2<5811vn650;0x9f1=90>01n6521:8yve>2909w0m8:0;6?8e>2;:37p};c983>6}:7;|q7g2<72;q68n:5181891e02;:37p};bg83>7}:76?3ty?o=4?:3y>0g`=90>019m?:32;?xu3k80;6?u24cd95<3<5=i:6?>7;|q7g7<72;q68n<521:891e6283?7p};c283>7}:=64=5a2>4?23ty?o84?:3y>0f3=:92019m8:0;7?xu3k?0;6?u24b4965><5=i<6<7:;|q60<<72;q699;51818902>2;:37p}:4783>7}:==31=4=4=465>76?3ty>8:4?:3y>110=90>018:8:32;?xu2<10;6?u255495<3<5<>36?>7;|q615<72;q699m5181890372;:37p}:4e83>7}:=<:1=4=4=46g>76?3ty>8h4?:3y>11b=90>018:j:32;?xu2m6?>7;|q623<72;q69;>5181890012;:37p}:6083>7}:=?<1=4=4=442>76?3ty>:?4?:3y>137=90>0188=:32;?xu2>:0;6?u257395<3<5<<86?>7;|q621<72;q69;:521:89004283?7p}:6483>7}:=??1>=64=440>4?23ty?ho4?:3y>0a1=909019jm:32;?xu3l10;6?u24e`95<5<5=n36?>7;|q7`<<72;q68i65186891b>2;:37p};d`83>7}:76?3ty84l4?:3y>7=d=90901>6n:32;?xu4080;6?u239c95<5<5:2:6?>7;|q0<7<72;q6?5?5186896>52;:37p}<8283>7}:;1;1=4;4=2:0>76?3ty:<84?:2y>7=2=90>01>6;:0;6?877=38;46s|39694?4|5:2?6?>7;<1;7?7><2wx?5;50;0x96>22;:370=73;3:1>{t;1<1<776?3493?7?n0:p7=1=838p1>68:32;?85?;3;jn6s|39:94?4|5:236?>7;<1;7?7fm2wx?5750;0x96>>2;:370=73;3bb>{t13:1>v3n:0;0?8?=:920q~650;0x90<61:16476?>7;<32e?7><27:=l4>949~w5<72;q657?63:?3>76?3ty:6=4={<295<2<5809<55rs383>7}:83;2963=:32;?xu42909w0=521:897<61=1v94?:3y>0?4702796<7:;|q5>5<5s4<1>=64=982=1=z{8=1<7;t=682e6=:9>09<55211g95d5<58;i652z?4>76?3421=4;4}r35>5<5s4;<6<7<;<35>76?3ty:97>52z?25?7>;27:97b<<61=16j44>949~wg<72;q6=;4>929>f?4702wxo7>52z?a>4?334i1>=64}rf94?4|5k0:5852d;03<>{t99:1<7=t=d82=1=:m3;2963>01814==z{l0;6?u2e;03<>;c283?7p}i:1818`=:9201i4>949~w46=838p1<>521:89a<6i91v<<50;0x944=:9201<;51868yv742909w0?<:32;?872283>7p}>4;296~;6<38;463>5;3b4>{tn>0;6?u2f182=6=:n>09<55rs002>5<4s4l:6<7;;4?234;9=7b2<61=1vk<50;0x9c4=:9201k951878yv`42909w0h<:32;?8`028k;7p}i4;296~;a<38;463i7;3bf>{tn<0;6?u2f4814==:n>0:mh5rsg494?4|5o<1>=64=g595d`4?434lm6?>7;|qe=?6=:r7m57<2wxjl4?:3y>bd<58116jk4>949~wcd=838p1kl521:89c`=9h:0q~hl:1818`d2;:370hi:0ca?xual3:1>v3id;03<>;an3;ji6s|fd83>7}:nl09<552fg82ec=z{8:?6=4={<334?7>;27:<94=099~w4662909w0??1;03<>;68=0:595rs021>5<5s4;;>7<:181877;38;463>0582e5=z{8:26=4={<331?7>;27:<44=099~w4612909w0??6;03<>;6800:595rs024>5<5s4;;;77:181877038;463>0882e5=z{8;;6=4={<33e?7>;27:==4=099~w46f2908w0??a;03<>;69;0:595210095<352z?24g<58116=<>51868yv77k3:1>v3>0b814==:98:1=4;4}r33`?6=:r7:546=9h:0q~??e;296~;68l09<55210295dd52z?24c<58116=<>51`g8yv7603:1>v3>1082=6=:9821>=64}r326?6=:r7:=?4=099>54>=90>0q~?>3;296~;69:09<55210:95<352z?251<58116=<651`28yv76=3:1>v3>14814==:9821=ll4}r322?6=:r7:=;4=099>54>=9ho0q~?>7;296~;69>09<55210:95d`52z?25<<61:16=?>521:8yv76i3:1>v3>1`814==:9;:1=4:4}r32f?6=:r7:=o4=099>576=90?0q~?>c;296~;69j09<55213295d652z?25a<58116=?>51``8yv76m3:1>v3>1d814==:9;:1=lk4}r32b?6=:r7:=k4=099>576=9hl0q~?=7;296~;6:80:5>52135965>7>52z?267<58116=?951868yv75;3:1>v3>22814==:9;=1=4;4}r310?6=:r7:>94=099>571=9h:0q~?=5;296~;6:<09<55213595dd52z?263<58116=?951`g8yv75l3:1>v3>2982=6=:9;n1>=64}r3154=099>57`=90>01<<588o6<7;;|q26d<72;q6=?o521:8944c283>7p}>2c83>7}:9;h1>=64=00g>4g73ty:>n4?:3y>57e=:9201<6?>7;|q26c<72;q6=?h521:89452283?7p}>3183>7}:9::1>=64=016>4?23ty:?<4?:3y>567=:9201<=::0c3?xu6;;0;6?u2120965><589>6=521:8945228kn7p}>3583>7}:9:>1>=64=016>4ga3ty:?n4?:3y>560=90901<=l:32;?xu6;>0;6?u2125965><589h6<7;;|q27=<72;q6=>6521:8945d283>7p}>3883>7}:9:31>=64=01`>4g73ty:?l4?:3y>56g=:9201<=l:0ca?xu6;k0;6?u212`965><589h6j5181894232;:37p}>3d83>7}:9:o1>=64=067>4?33ty:?k4?:3y>56`=:9201<:;:0;6?xu6<90;6?u2152965><58>?64383>7}:9=81>=64=067>4gb3ty:8>4?:3y>515=:9201<:;:0ce?xu6j6?>7;|q203<72;q6=98521:8942f283?7p}>4683>7}:9==1>=64=06b>4?23ty:854?:3y>51>=:9201<:n:0c3?xu6<00;6?u215;965><58>j64b83>7}:9=i1>=64=072>4?33ty:8i4?:3y>51b=:9201<;>:0;6?xu6<58?:65183>7}:9<:1>=64=072>4gb3ty:944?:3y>504=90901<;6:32;?xu6=:0;6?u2141965><58?26<7;;|q211<72;q6=8:521:8943>283>7p}>5483>7}:9=64=07:>4g73ty:9;4?:3y>500=:9201<;6:0ca?xu6=>0;6?u2145965><58?2628km7p}>6183>7}:976?3ty:9o4?:3y>50d=:9201<8?:0;7?xu6=j0;6?u214a965><58<;6<7:;|q21a<72;q6=8j521:8940728k;7p}>5d83>7}:9=64=043>4ge3ty:9k4?:3y>50`=:9201<8?:0cf?xu6>10;6?u217395<5<58<36?>7;|q227<72;q6=;<521:8940?283?7p}>6283>7}:9?91>=64=04;>4?23ty::94?:3y>532=:9201<87:0c3?xu6><0;6?u2177965><58<366683>7}:9?=1>=64=04;>4ga3ty::k4?:3y>53?=90901<8i:32;?xu6>h0;6?u217c965><587p}>6b83>7}:9?i1>=64=04e>4g73ty::i4?:3y>53b=:9201<8i:0ca?xu6>l0;6?u217g965><585181894102;:37p}>7083>7}:9>;1>=64=054>4?33ty:;?4?:3y>524=:9201<98:0;6?xu6?:0;6?u2161965><58=<67483>7}:9>?1>=64=054>4gb3ty:;;4?:3y>520=:9201<98:0ce?xu6?l0;6?u216:95<5<58=n6?>7;|q23<<72;q6=:7521:8941b283?7p}>7`83>7}:9>k1>=64=05f>4?23ty:;o4?:3y>52d=:9201<9j:0c3?xu6?j0;6?u216a965><58=n68783>7}:9>l1=4=4=0:5>76?3ty:4=4?:3y>5=6=:9201<69:0;7?xu6080;6?u2193965><582=6<7:;|q2<7<72;q6=5<521:894>128k;7p}>8283>7}:9191>=64=0:5>4ge3ty:494?:3y>5=2=:9201<69:0cf?xu60<0;6?u2197965><582=65<6std<;>h50;3xyk10<90;6>=6=4>{|l4311=83;pqc984983>4}zf>=?57>51zm322f290:wp`875`94?7|ug=<8n4?:0y~j213l3:1=vsa766f>5<6std<;9h50;3xyk10=90;6?=6=4>{|l4301=83;pqc985983>4}zf>=>57>51zm323f290:wp`874`94?7|ug=<9n4?:0y~j212l3:1=vsa767f>5<6std<;8h50;3xyk10>90;6<=6=4>{|l4331=83;pqc986983>4}zf>==57>51zm320f290:wp`877`94?7|ug=<:n4?:0y~j211l3:1=vsa764f>5<6std<;;h50;3xyk10?90;691<7?t}o5431<728qvb:985;295~{i?>==6=4>{|l4321=83;pqc987983>4}zf>=<57>51zm321f290:wp`876`94?7|ug=<;n4?:0y~j210l3:1=vsa765f>5<6std<;:h50;3xyk10090;62=6=4>{|l43=1=83;pqc988983>4}zf>=357>51zm32>f290:wp`879`94?7|ug=<4n4?:0y~j21?l3:1=vsa76:f>5<6std<;5h50;3xyk10190;63=6=4>{|l43<1=83;pqc989983>4}zf>=257>51zm32?f290:wp`878`94?7|ug=<5n4?:0y~j21>l3:1=vsa76;f>5<6std<;4h50;3xyk10i90;6k=6=4>{|l43d1=83;pqc98a983>4}zf>=j57>51zm32gf290:wp`87``94?7|ug=5<6std<;lh50;3xyk10j90;6h=6=4>{|l43g1=83;pqc98b983>4}zf>=i57>51zm32df290:wp`87c`94?7|ug=5<6std<;oh50;3xyk10k90;6i=6=4>{|l43f1=83;pqc98c983>4}zf>=h57>51zm32ef290:wp`87b`94?7|ug=5<6std<;nh50;3xyk10l90;6n=6=4>{|l43a1=83;pqc98d983>4}zf>=o57>51zm32bf290:wp`87e`94?7|ug=5<6std<;ih50;3xyk10m90;6o=6=4>{|l43`1=83;pqc98e983>4}zf>=n57>51zm32cf290:wp`87d`94?7|ug=5<6std<;hh50;3xyk10n90;6l=6=4>{|l43c1=83;pqc98f983>4}zf>=m57>51zm32`f290:wp`87g`94?7|ug=5<6std<;kh50;3xyk1?890;6=:182xh00991<7?t}o5;41<728qvb:6?5;295~{i?1:=6=4>{|l4<51=83;pqc970983>4}zf>2;57>51zm3=6f290:wp`881`94?7|ug=37l3:1=vsa792f>5<6std<4=h50;3xyk1?990;65;295~{i?1;=6=4>{|l4<41=83;pqc971983>4}zf>2:57>51zm3=7f290:wp`880`94?7|ug=3=n4?:0y~j2>6l3:1=vsa793f>5<6std<4{|l4<71=83;pqc972983>4}zf>2957>51zm3=4f290:wp`883`94?7|ug=3>n4?:0y~j2>5l3:1=vsa790f>5<6std<4?h50;3xyk1?;90;6{|l4<61=83;pqc973983>4}zf>2857>51zm3=5f290:wp`882`94?7|ug=3?n4?:0y~j2>4l3:1=vsa791f>5<6std<4>h50;3xyk1?<90;6=6=4>{|l4<11=83;pqc974983>4}zf>2?57>51zm3=2f290:wp`885`94?7|ug=38n4?:0y~j2>3l3:1=vsa796f>5<6std<49h50;3xyk1?=90;6{|l4<01=83;pqc975983>4}zf>2>57>51zm3=3f290:wp`884`94?7|ug=39n4?:0y~j2>2l3:1=vsa797f>5<6std<48h50;3xyk1?>90;6{|l4<31=83;pqc976983>4}zf>2=57>51zm3=0f290:wp`887`94?7|ug=3:n4?:0y~j2>1l3:1=vsa794f>5<6std<4;h50;3xyk1??90;691<7?t}o5;31<728qvb:685;295~{i?1==6=4>{|l4<21=83;pqc977983>4}zf>2<57>51zm3=1f290:wp`886`94?7|ug=3;n4?:0y~j2>0l3:1=vsa795f>5<6std<4:h50;3xyk1?090;6{|l4<=1=83;pqc978983>4}zf>2357>51zm3=>f290:wp`889`94?7|ug=34n4?:0y~j2>?l3:1=vsa79:f>5<6std<45h50;3xyk1?190;6{|l4<<1=83;pqc979983>4}zf>2257>51zm3=?f290:wp`888`94?7|ug=35n4?:0y~j2>>l3:1=vsa79;f>5<6std<44h50;3xyk1?i90;6{|l44}zf>2j57>51zm3=gf290:wp`88``94?7|ug=3mn4?:0y~j2>fl3:1=vsa79cf>5<6std<4lh50;3xyk1?j90;6{|l44}zf>2i57>51zm3=df290:wp`88c`94?7|ug=3nn4?:0y~j2>el3:1=vsa79`f>5<6std<4oh50;3xyk1?k90;6{|l44}zf>2h57>51zm3=ef290:wp`88b`94?7|ug=3on4?:0y~j2>dl3:1=vsa79af>5<6std<4nh50;3xyk1?l90;6{|l44}zf>2o57>51zm3=bf290:wp`88e`94?7|ug=3hn4?:0y~j2>cl3:1=vsa79ff>5<6std<4ih50;3xyk1?m90;6{|l4<`1=83;pqc97e983>4}zf>2n57>51zm3=cf290:wp`88d`94?7|ug=3in4?:0y~j2>bl3:1=vsa79gf>5<6std<4hh50;3xyk1?n90;6{|l44}zf>2m57>51zm3=`f290:wp`88g`94?7|ug=3jn4?:0y~j2>al3:1=vsa79df>5<6std<4kh50;3xyk1>890;6=:182xh01991<7?t}o5:41<728qvb:7?5;295~{i?0:=6=4>{|l4=51=83;pqc960983>4}zf>3;57>51zm3<6f290:wp`891`94?7|ug=25<6std<5=h50;3xyk1>990;65;295~{i?0;=6=4>{|l4=41=83;pqc961983>4}zf>3:57>51zm3<7f290:wp`890`94?7|ug=2=n4?:0y~j2?6l3:1=vsa783f>5<6std<5:90;6{|l4=71=83;pqc962983>4}zf>3957>51zm3<4f290:wp`893`94?7|ug=2>n4?:0y~j2?5l3:1=vsa780f>5<6std<5?h50;3xyk1>;90;6{|l4=61=83;pqc963983>4}zf>3857>51zm3<5f290:wp`892`94?7|ug=2?n4?:0y~j2?4l3:1=vsa781f>5<6std<5>h50;3xyk1><90;6=6=4>{|l4=11=83;pqc964983>4}zf>3?57>51zm3<2f290:wp`895`94?7|ug=28n4?:0y~j2?3l3:1=vsa786f>5<6std<59h50;3xyk1>=90;6{|l4=01=83;pqc965983>4}zf>3>57>51zm3<3f290:wp`894`94?7|ug=29n4?:0y~j2?2l3:1=vsa787f>5<6std<58h50;3xyk1>>90;6{|l4=31=83;pqc966983>4}zf>3=57>51zm3<0f290:wp`897`94?7|ug=2:n4?:0y~j2?1l3:1=vsa784f>5<6std<5;h50;3xyk1>?90;691<7?t}o5:31<728qvb:785;295~{i?0==6=4>{|l4=21=83;pqc967983>4}zf>3<57>51zm3<1f290:wp`896`94?7|ug=2;n4?:0y~j2?0l3:1=vsa785f>5<6std<5:h50;3xyk1>090;6{|l4==1=83;pqc968983>4}zf>3357>51zm3<>f290:wp`899`94?7|ug=24n4?:0y~j2??l3:1=vsa78:f>5<6std<55h50;3xyk1>190;6{|l4=<1=83;pqc969983>4}zf>3257>51zm3l3:1=vsa78;f>5<6std<54h50;3xyk1>i90;6{|l4=d1=83;pqc96a983>4}zf>3j57>51zm35<6std<5lh50;3xyk1>j90;6{|l4=g1=83;pqc96b983>4}zf>3i57>51zm35<6std<5oh50;3xyk1>k90;6{|l4=f1=83;pqc96c983>4}zf>3h57>51zm35<6std<5nh50;3xyk1>l90;6{|l4=a1=83;pqc96d983>4}zf>3o57>51zm35<6std<5ih50;3xyk1>m90;6{|l4=`1=83;pqc96e983>4}zf>3n57>51zm35<6std<5hh50;3xyk1>n90;6{|l4=c1=83;pqc96f983>4}zf>3m57>51zm3<`f290:wp`89g`94?7|ug=2jn4?:0y~j2?al3:1=vsa78df>5<6std<5kh50;3xyk1f890;6=:182xh0i991<7?t}o5b41<728qvb:o?5;295~{i?h:=6=4>{|l4e51=83;pqc9n0983>4}zf>k;57>51zm3d6f290:wp`8a1`94?7|ug=j5<6std5;295~{i?h;=6=4>{|l4e41=83;pqc9n1983>4}zf>k:57>51zm3d7f290:wp`8a0`94?7|ug=j=n4?:0y~j2g6l3:1=vsa7`3f>5<6std{|l4e71=83;pqc9n2983>4}zf>k957>51zm3d4f290:wp`8a3`94?7|ug=j>n4?:0y~j2g5l3:1=vsa7`0f>5<6std{|l4e61=83;pqc9n3983>4}zf>k857>51zm3d5f290:wp`8a2`94?7|ug=j?n4?:0y~j2g4l3:1=vsa7`1f>5<6stdh50;3xyk1f<90;6=6=4>{|l4e11=83;pqc9n4983>4}zf>k?57>51zm3d2f290:wp`8a5`94?7|ug=j8n4?:0y~j2g3l3:1=vsa7`6f>5<6std{|l4e01=83;pqc9n5983>4}zf>k>57>51zm3d3f290:wp`8a4`94?7|ug=j9n4?:0y~j2g2l3:1=vsa7`7f>5<6std90;6{|l4e31=83;pqc9n6983>4}zf>k=57>51zm3d0f290:wp`8a7`94?7|ug=j:n4?:0y~j2g1l3:1=vsa7`4f>5<6std91<7?t}o5b31<728qvb:o85;295~{i?h==6=4>{|l4e21=83;pqc9n7983>4}zf>k<57>51zm3d1f290:wp`8a6`94?7|ug=j;n4?:0y~j2g0l3:1=vsa7`5f>5<6std{|l4e=1=83;pqc9n8983>4}zf>k357>51zm3d>f290:wp`8a9`94?7|ug=j4n4?:0y~j2g?l3:1=vsa7`:f>5<6std{|l4e<1=83;pqc9n9983>4}zf>k257>51zm3d?f290:wp`8a8`94?7|ug=j5n4?:0y~j2g>l3:1=vsa7`;f>5<6std{|l4ed1=83;pqc9na983>4}zf>kj57>51zm3dgf290:wp`8a``94?7|ug=jmn4?:0y~j2gfl3:1=vsa7`cf>5<6std{|l4eg1=83;pqc9nb983>4}zf>ki57>51zm3ddf290:wp`8ac`94?7|ug=jnn4?:0y~j2gel3:1=vsa7``f>5<6std{|l4ef1=83;pqc9nc983>4}zf>kh57>51zm3def290:wp`8ab`94?7|ug=jon4?:0y~j2gdl3:1=vsa7`af>5<6std{|l4ea1=83;pqc9nd983>4}zf>ko57>51zm3dbf290:wp`8ae`94?7|ug=jhn4?:0y~j2gcl3:1=vsa7`ff>5<6std{|l4e`1=83;pqc9ne983>4}zf>kn57>51zm3dcf290:wp`8ad`94?7|ug=jin4?:0y~j2gbl3:1=vsa7`gf>5<6std{|l4ec1=83;pqc9nf983>4}zf>km57>51zm3d`f290:wp`8ag`94?7|ug=jjn4?:0y~j2gal3:1=vsa7`df>5<6std=:182xh0j991<7?t}o5a41<728qvb:l?5;295~{i?k:=6=4>{|l4f51=83;pqc9m0983>4}zf>h;57>51zm3g6f290:wp`8b1`94?7|ug=i5<6std5;295~{i?k;=6=4>{|l4f41=83;pqc9m1983>4}zf>h:57>51zm3g7f290:wp`8b0`94?7|ug=i=n4?:0y~j2d6l3:1=vsa7c3f>5<6std{|l4f71=83;pqc9m2983>4}zf>h957>51zm3g4f290:wp`8b3`94?7|ug=i>n4?:0y~j2d5l3:1=vsa7c0f>5<6std{|l4f61=83;pqc9m3983>4}zf>h857>51zm3g5f290:wp`8b2`94?7|ug=i?n4?:0y~j2d4l3:1=vsa7c1f>5<6stdh50;3xyk1e<90;6=6=4>{|l4f11=83;pqc9m4983>4}zf>h?57>51zm3g2f290:wp`8b5`94?7|ug=i8n4?:0y~j2d3l3:1=vsa7c6f>5<6std{|l4f01=83;pqc9m5983>4}zf>h>57>51zm3g3f290:wp`8b4`94?7|ug=i9n4?:0y~j2d2l3:1=vsa7c7f>5<6std90;6{|l4f31=83;pqc9m6983>4}zf>h=57>51zm3g0f290:wp`8b7`94?7|ug=i:n4?:0y~j2d1l3:1=vsa7c4f>5<6std91<7?t}o5a31<728qvb:l85;295~{i?k==6=4>{|l4f21=83;pqc9m7983>4}zf>h<57>51zm3g1f290:wp`8b6`94?7|ug=i;n4?:0y~j2d0l3:1=vsa7c5f>5<6std{|l4f=1=83;pqc9m8983>4}zf>h357>51zm3g>f290:wp`8b9`94?7|ug=i4n4?:0y~j2d?l3:1=vsa7c:f>5<6std{|l4f<1=83;pqc9m9983>4}zf>h257>51zm3g?f290:wp`8b8`94?7|ug=i5n4?:0y~j2d>l3:1=vsa7c;f>5<6std{|l4fd1=83;pqc9ma983>4}zf>hj57>51zm3ggf290:wp`8b``94?7|ug=imn4?:0y~j2dfl3:1=vsa7ccf>5<6std{|l4fg1=83;pqc9mb983>4}zf>hi57>51zm3gdf290:wp`8bc`94?7|ug=inn4?:0y~j2del3:1=vsa7c`f>5<6std{|l4ff1=83;pqc9mc983>4}zf>hh57>51zm3gef290:wp`8bb`94?7|ug=ion4?:0y~j2ddl3:1=vsa7caf>5<6std{|l4fa1=83;pqc9md983>4}zf>ho57>51zm3gbf290:wp`8be`94?7|ug=ihn4?:0y~j2dcl3:1=vsa7cff>5<6std{|l4f`1=83;pqc9me983>4}zf>hn57>51zm3gcf290:wp`8bd`94?7|ug=iin4?:0y~j2dbl3:1=vsa7cgf>5<6std{|l4fc1=83;pqc9mf983>4}zf>hm57>51zm3g`f290:wp`8bg`94?7|ug=ijn4?:0y~j2dal3:1=vsa7cdf>5<6std=:182xh0k991<7?t}o5`41<728qvqpsO@By;4a6=nk8j959i}ABA5{GHYqvLM \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngc b/cpld/XC95144XL/MXSE.ngc deleted file mode 100644 index 46db088..0000000 --- a/cpld/XC95144XL/MXSE.ngc +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$53;4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:285N<02=1>G;984>7L2>2?78E9746<1J0<:15:C?50823H6::3;4A=34:0=F482596O318<7?D:66<1J0?>17:C?64<66<1J0??15:C?67803H69?7615:C?66833H69295N<2<7?D:36=1J080;;@>5:1=F4>4?7L27>59B8<833HFN<85NLD2N1>GKM9Yh7LBJ0^ov|5678m1J@H>Pmtz34566l2KGI=Qbuy23454c3HFNGIL=>0MCJ:4:CM@32374A^DPF974601JSK]M<06==>GXNZH7=806;@]EWG:6>730MRH\B=34:<=FWOYI0<619:C\BVD;90437LQISC>2:<=FWOYI0?>19:C\BVD;:8427LQISC>16;d546OPFR@?1;>GXNZH753j4A^DPFZ77W@H^Ji5N_GQA[47XAK_Mh6OPFR@\57YNJ\Lo7LQISC]27ZOE]On0MRH\B^37[LDRNm1JSK]M_07\MGSAl2KTJ^LP17]JFP@c3HUM_OQ>7^KAQCbGXNZHT=RGMUGf8EZ@TJV8;SDLZFe9B[CUEW;;TEO[Id:C\BVDX:;UBNXHk;@]EWGY5;VCIYKm4A^DPFZ4XAK_Mo6OPFR@\7ZOE]Oi0MRH\B^6\MGSAk2KTJ^LP5^KAQCeGXNZHT;RGMUGa8EZ@TJV2TEO[Ic:C\BVDX1VCIYK:4B@AW1>DFK];>7OOLT378FDESz=1II_\:;CGQV50=5:@FVW713KOY^<|j;CGQV4tXWfx;<=>i;CGQV4tXWfx;<=>>f:@FVW7uWVey<=>?249AAWT5=2HN^_=:;CGQV13DBZ[UTc>?010g?GCUZVUd~=>?02f8F@TUWVey<=>?4e9AAWTXWfx;<=>:d:@FVWYXg{:;<=89;CGQVw`>3KOY^hPN1c8F@TUzoUE<<84BDPQvwcE6>D90O5C;;BC@P7=DM:1H@F74CMI1\ZOHJl1H@F1H@FQISCa8GIMXNZHTEO[I7:AOOZOHJj1H@FQFOC]JFP@43JFY?6MAT89@KHKN\]OO;6M]E@VF@7=D[?1H`ho}1g9@h`gu9VUjbi>?01d8Gicfz8Ujbi>?0132?A3Bf|h6:2<5J5:GP85823LY7=3;4ER>1:0=B[59596K\<5<7?@UX8m1N_R>P_`lg4567m2OXS=QPaof34566m2OXS=QPaof34565m2OXS=QPaof34564m2OXS=QPaof34563m2OXS=QPaof34562m2OXS=QPaof34561<2OXS95JS^0g?@UX:VUjbi>?01g8AVY5WVkeh=>?00g8AVY5WVkeh=>?0368AVY4l2OXS>QPaof3456b3LYT?RQnne23457b3LYT?RQnne2345433LYT8i5JS^6\[dhc89:;i6K\_5]\ekb789::i6K\_5]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3e?@UXEhnoSRokd1234ZKg{UYi~{ct=6=5<=B[VGjhiQPaef3456XWhdo<=>?1`9FWZKflmUTmij?012\[dhc89:;=<74ER]NeabXWhno<=>?_^cm`56798k0I^QBaef\[dbc89:;SRoad123547>3LYTAljk_^cg`5678VUjbi>?033b?@UXEhnoSRokd1234ZYffm:;i;DQ\UZ7Xg{:;<=?>f:GP[TY6Wfx;<=>>2d9FWZWX9Vey<=>?2d9FWZWX9Vey<=>?3d9FWZWX9Vey<=>?4d9FWZWX9Vey<=>?5d9FWZWX9Vey<=>?6d9FWZWX9Vey<=>?7d9FWZWX9Vey<=>?8d9FWZWX9Vey<=>?9d9FWZYflm:;<=2>>d9FWZYflm:;<=2=>d9FWZYflm:;<=2<>d9FWZYflm:;<=2;>49FPDELl2O_MNEPaof3456b3L^JOFQnne23457b3L^JOFQnne23454b3L^JOFQnne23455b3L^JOFQnne23452b3L^JOFQnne23453b3L^JOFQnne2345023LUBCOo4E^KLFZOE]O80I=4Es0a?@tXKeaTECX>5:Gq[K633OIGG?5ID59E@FC43OYI=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ21>OFKZ8>7DOLS268MGSA12CEEY][AUG0?LHQ=2CDMNZk;HMBGQYj}q:;<=k4INC@PZkrp9:;<i;HMBGQYj}q:;<=?>f:KLEFRXe|r;<=>>2d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?7d9JKDESWds<=>?8d9JKDESWds<=>?979JKDESz?1BCOK]Rd9JKGCUZVddx=>?1g9JKGCUZVddx=>?10d8MJDBZ[Uecy>?000e?LIEM[XTbbz?01300>OHJD>0EBL\4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce895FOT2`?LIR8Vg~t=>?0e9JKP6Xe|r;<=>>d:KLQ5Yj}q:;<=j4INW3[hs89:;8i5FOT2\ip~789:>86G@U0a8MJS6Wge<=>>d:KLQ4Yig}:;<;N68KGSA;2EY>>5@R218KW233Fdhin5@nbg\[jt789:o7B`le^]lv56788n0Ccmj_^mq4567:m1DbnkP_np34564l2EeohQPos23452c3FdhiRQ`r12340bIiklUTc>?01:g?JhdmVUd~=>?0838Tf=W&;::?<>>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD`8TVYA[DUMJi?j;QQ\BVKXNOn:!D`i;QQ\BVKXNOn:!D`>f:RP[CUJWOLo= Ga2c9SWZ@TEVLMh?k4PR]EWHYANm8&Ech4PR]EWHYANm8&Ec?>0:RP[CUJWOLo> Ga1133?UUXNZGTJKj=-Hl2546 Ga7g9SWZ@TEVLMh?#Fn9d8TVYA[DUMJi<"Io;a?UUXNZGTCxz?c:RP[CUJWF<3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ<0^LCLS048VDKD[;<0^LCLS248VDKD[=<0^LCLS448VDKD[?<0^LCLS648VDKD[1<0^LCKIR58VDKCAZ;m7_OBDHQ2[hs89:;==5]ALFJW4Yj}q:;<=?>1:PBIAOT9Vg~t=>?00225>TFEMCX=Rczx123447692XJAIG\1^ov|567888:=6\NMEKP5Zkrp9:;<<=>1:PBIAOT9Vg~t=>?00625>TFEMCX=Rczx123443692XJAIG\1^ov|56788<:=6\NMEKP5Zkrp9:;<<9>1:PBIAOT9Vg~t=>?00:25>TFEMCX=Rczx12344?682XJAIG\1^ov|5678;;;7_OBDHQ2[hs89:;?<>4R@OGMV7Xe|r;<=>;119QEHBN[8Ufyu>?01724>TFEMCX=Rczx12343773[KFHD]>_lw{4567?8:0^LCKIR3\ip~789:3==5]ALFJW4Yj}q:;<=78;SCN@LU5n2XJAIG\2^]lv56788:0^LCKIR0\[jt789::=<5]ALFJW7YXg{:;<=??119QEHBN[;UTc>?01024>TFEMCX>RQ`r12346773[KFHD]=_^mq4567<8:0^LCKIR0\[jt789:>==5]ALFJW7YXg{:;<=8>0:PBIAOT:VUd~=>?0633?WGJL@Y9SRa}0123<46?2XJAIG\369QEHBN[==0^LCKIR7;?WGJZlkou<>4R@OQadb~Wds<=>?109QEHTbimsTaxv?012257=UIDXnmiwPmtz345668880^LC]e`fz[hs89:;=?00026>TFE[ojhtQbuy2345749;1YM@\jae{\ip~789::8<<4R@OQadb~Wds<=>?1431?WGJZlkouRczx123440692XJA_kndx]nq}67898:=6\NMSgb`|Yj}q:;<==>1:PBIWcflpUfyu>?01625>TFE[ojhtQbuy23453692XJA_kndx]nq}6789<:=6\NMSgb`|Yj}q:;<=9>1:PBIWcflpUfyu>?01:25>TFE[ojhtQbuy2345?23[KXIAj4R@QFHZkrp9:;>3g9QEVCKWds<=>?15d8VDUBDVg~t=>?007e?WGTMEUfyu>?0135b>TF[LFTaxv?01223c=UIZOGS`{w01235=`;k4R@QFHZkrp9:;<>k4R@QFHZkrp9:;<9k4R@QFHZkrp9:;<8k4R@QFHZkrp9:;<;k4R@QFHZkrp9:;<:k4R@QFHZkrp9:;<5k4R@QFHZkrp9:;<474R@]3[JDRNj1YMR>Pmtz3456c3[KTTFW9Ufyu>?016b?WGX99UDNXHn;SC\54YHJ\L27_OP1^MAQCeTFW8Ufyu>?013g?WGX9Vg~t=>?03f8VDY6Wds<=>?3e9QEZ7Xe|r;<=>;9:PB[7YHJ\Lh7_OP2^ov|5678m1YMRRczx12346bTaxv?012g?WGX?00f8VDY3Wds<=>?2e9QEZ2Xe|r;<=>S`{w01237a=UIV?Taxv?0127=>TFW?UDNXHl;SC\2Zkrp9:;TFW>Ufyu>?01f8VDY0Wds<=>?1e9QEZ1Xe|r;<=>=d:PB[2Yj}q:;<==k;SC\3Zkrp9:;<974R@];[JDRNj1YMR6Pmtz3456c3[KT4Rczx12344bTFW1Ufyu>?016:?WGX1VEIYKm4R@]:[hs89:;h6\N_8]nq}6789;o7_OP9^ov|5678;n0^LQ6_lw{4567;m1YMR7Pmtz34563;2XN_95]ER36?WCTzo?0^H]}re9QAVtuWge<=>>e:PFWwtXff~;<=?>5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV213[EFO^;9;SMNGV013[EFO^9m;SQ\BVKXNOn:i6\\_GQN[C@c9$Cej6\\_GQN[C@c9$Ce=<>4RR]EWHYANm;&Ec??119QWZ@TEVLMh<#Fn0324>TTWOYFSKHk1,Km57773[YTJ^CPFGf2)Lh6;8:0^^QISL]EBa7*Ag;?j6\\_GQN[C@c9$Ce>k5]S^DPIZ@Al8'Bb>h4RR]EWHYANm;&Ec:i;SQ\BVKXNOn:!D`:f:PP[CUJWOLo= Ga6g9QWZ@TEVLMh<#Fn6d8VVYA[DUMJi?"Io:e?WUXNZGTJKj>-Hl:f>TTWOYFSKHk2d9QWZ@TEVLMh?#Fng9QWZ@TEVLMh?#Fn033?WUXNZGTJKj=-Hl2446??;SQ\BVKXNOn9!D`>4028VVYA[DUMJi<"Io3655=U[VLXARHId3/Jj40682XXSK]B_GDg6(Oi9>;;7_]PFRO\BCb5%@d:4<>4RR]EWHYANm8&Ec?6f:PP[CUJWOLo> Ga2g9QWZ@TEVLMh?#Fn2d8VVYA[DUMJi<"Io6e?WUXNZGTJKj=-Hl6b>TTWOYFSKHk2,Km2c=U[VLXARHId3/Jj2`a3[YTJ^CPFGf1)Lh>j2XXSK]B_GDg7`=U[VLXARHId2/Jjc=U[VLXARHId2/Jj4773[YTJ^CPFGf0)Lh68o1Y_RH\M^DE`6+Nf;l0^^QISL]EBa5*Ag9m7_]PFRO\BCb4%@d?j6\\_GQN[C@c;$Ce9k5]S^DPIZ@Al:'Bb;h4RR]EWHYANm9&Ec9i;SQ\BVKXNOn8!D`7f:PP[CUJWOLo? Ga9c9QWZ@TEVE~x5m4RR]EWHYH}}2:o6\\_GQN[Jss0;?0^hoky79Qadb~8>1Yiljv0sd8V`gcq9xTSb|?01224>Tbims;~RQ`r12344773[ojht>}_^mq4567:?1Yiljv169Qadb~9{l0^hoky0p\[jt789::<6\jae{2vZYhz9:;<?279Qadb~:>1Yiljv2sd8V`gcq;xTSb|?01224>Tbims9~RQ`r12344773[ojht<}_^mq4567:?1Yiljv379Qadb~TbnJd0>06;SgeGkr;<730^hhLnu>6:<=UmoIex1819:PfbFhs4>437_kiCov\4==UmoIexR?7;SgeGkrX:11YikMat^1;?WcaKg~T855]egAmpZ3?3[omOczP699QacEi|V=9?6\jfBlw[HgclVUjhi>?01]N|jtXZly~`y2>>318V``Df}UFmijP_`fg4567WDrd~R\jstnw8785;2XnjN`{_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4Rdd@jqYJimnTSljk0123[H~hzVXnxb{<5<17>TbnJdS@okd^]b`a6789UFtb|PRdqvhq:26;90^hhLnu]NeabXWhno<=>?_LzlvZTb{|f0;0=3:PfbFhsWDkohRQnde2345YJpfxT^h}zlu>4:70d:PfbFhsWDkohRQnde2345YXign;<=?>e:PfbFhsWDkohRQnde2345YXign;<=?>1e9QacEi|VGjhiQPaef3456XWhdo<=>=1d9QacEi|VGjhiQPaef3456XWhdo<=>=10f8V``Df}UFmijP_`fg4567WVkeh=>?30g8V``Df}UFmijP_`fg4567WVkeh=>?303g?WcaKg~TAljk_^cg`5678VUjbi>?053f?WcaKg~TAljk_^cg`5678VUjbi>?0532`>TbnJdS@okd^]b`a6789UTmcj?0172a>TbnJdS@okd^]b`a6789UTmcj?017257=UmoIexRQnde2345:76880^hhLnu]\eab789:7=3?=;SgeGkrXWhno<=>?<3<26>TbnJdSRokd12349599;1YikMat^]b`a67896?2<<4Rdd@jqYXimn;<=>35?31?WcaKg~TSljk01238386:2XnjN`{_^cg`56785=5;6\jfEmma464RddGkkcXWfx;<=>>139QacBhflUha}Qjq123445?2018V``CggoTo`~Pep234556;2XnjIaae^antZcv89:;8<=4RddGkkcXkdzTi|>?01727>TbnMeeiRmbp^gr4567>890^hhKoog\ghvXmx:;<=9>3:PfbAiimVif|Rk~0123<45Tbn\xliczn;SgeQwabf};j7_kiUsefjq4f3[omYijnu1b?Wca]{mnby:9;Sgpqir13ZIXEN]8;RAPMFU6?2YH_DM\269PGVOD[:=0_N]FCR64?VETAJY>:6]@USAFe>Uil[KFO^Y]b:Qm`WGJKZ]Y=n5\nePBIFUPZ8:h7^`kR@O@WRT69j1Xbi\NMBQTV44e3Zdo^LCLSVP1f>Uil[KFO^Y]3c9PjaTFEJY\^9l4SofQEHET_[?i7^`kR@O@WRT1j2Yeh_OBCRUQ3g=TfmXJAN]XR9`8WkbUIDIX[_7;;UPVA1=SQYO37YgbenwwE46<\`gncxzN_^mq4567981_e`k`uuC\[jt789::=?5[ilglqqGXWfx;<=>>0038Plkbg|~JSRa}0123647<\`gncxzN_^mq4567;8;0XdcjotvB[Ziu89:;8?5038Plkbg|~JSRa}0123247<\`gncxzN_^mq4567?8;0XdcjotvB[Ziu89:;4?999Wmhch}}Hi7YgbenwwFUtb9:1_e`k`uu@Sv`YXg{:;<=?;;UknajssJYxnSRa}0123542<\`gncxzMPsg\[jt789:9==5[ilglqqDXWfx;<=>>1:Vji`ir|KUTc>?01325>Rnele~xOQPos23454692^bahaztC]\kw67899h7X]JR^TJWLDKM:1]ON74VHGT[Q_WM:1]\Lm4VQC\[dhc89:;h6X_A^]bja6789;i7[^N_^mq4567k2\[MRQ`r12344e<^YKTSb|?0121g>PWIVUd~=>?02a8RUGXWfx;<=>;c:TSEZYhz9:;<8m4VQC\[jt789:=96X_Asd6?SVFz{n0Z]O}r^llp5679l1]\L|}_omw45669m1]ei\NMBQTV32lm2\bh_OBCRUQ21m6m2\bh_OBCRUQ21m5m2\bh_OBCRUQ21m4m2\bh_OBCRUQ21m3m2\bh_OBCRUQ21m2m2\bh_OBCRUQ21m1m2\bh_OBCRUQ21m0m2\bh_OBCRUQ21m?9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON<2RD^?84XRVOMG12RonRGk119[`hYJiceyZh||inl24>^ceVGbbb|Yesqjkk0?010;?Zkrp9:;<>5lnu08gv5?01310>ohjd>0ebl|9:lB@jssDL:j7cOKotvOA57e3gKOcxzCE132f>hFLf@H>>2c9mEAir|EO;=>k4n@FlqqJB8VEIYK74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKi0bL]PIN@\KGSAj2dJ_R``t1235f=iIZUecy>?003`?kGTWge<=>>2b9mEVYig}:;<<=l;oCP[kis89::8n5aAR]mkq6788?h7cO\_omw4566>>1eMb{{ODa8jDir|FOTCO[I5:lAAWT13gHN^_?6;o@FVWYA[Ko0bOK]R^DPFZIE]O30bOK]R^KLF`=iJLXYSDAM_H@VB1=iKHYo7cMNS^AooZOI^8=0bNO\_O2:?kEF[VEIYKm4nBCP[kis89::h6`LAR]mkq6788;=7cJ[ABIb?kBSIJATJ^Li;oFWEFMXNZHTCO[Ia:lGPDELW@EIj6`KT@AH[LIEW@H^Jh5aDUC@OZYhz9:;hCagFNSb|?012f?kBnfEOTc>?0135?kBnfFO<7cJfnNG23>hCagEN>:5aDhlLA6d>2028jAir|FOTbbz?013055=iLfCHQaou23442682dOcxz@E^llp5679<;;7cJ`uuMF[kis89:::<>4nEmvpJCXff~;<=?8119m@jssGLUecy>?00:24>hCg|~DIR``t1235<2hKLZUd~=>?10a8jIBTWfx;<=?=c:lO@VYhz9:;=>m4nMFP[jt789;?o6`CDR]lv5679hKLZUd~=>?1868jIQB=2dG[H?l;oNTAZgil9:;hHM;20bBKPOCWE=>hH~lxgmt>>3:lLr`tkip:TMnb}_HLU5f=iGoy`lw?_O225>hH~lxgmt>Paof34566:2dDzh|cax2\ekb789::=?5aOwgqhd7Whdo<=>?2008jJpbzekr:>6`@vdpoe|6Xign;<=>:139mKscudhs;Sl`k01232<=iGoy`lw>159mKscudhs:SNbdEo]JJS7d3gE}ibny0]M42=iZHGG[Hm4nSCNHRCXGK_M;6`]ALWTAf=iZHG^[HQ@BTD7?kTF[<1e^L]>5:lQEV423gXJ_>;4nSCP00=iZHY>56`]AR]LFP@33gXN_45aRDQ\MGSA>2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNi5aUEQ\MJDXGK_Mo6`ZDR]bja6789n0bXJ\_`lg45679m1eYI]Paof34565k2d^H^Qaou2344b7c[XE0a8jPQBWhdo<=>?d:lVS@Yffm:;<=?k;oWTAZgil9:;hQEHUTc>?017g?kPJIVUd~=>?07f8jSKFWVey<=>?7e9mRHGXWfx;<=>7d:lUIDYXg{:;<=7l;oTNEZgil9:;hQXHUM_OQ@BTD;?kPWIVCDNi5aVQC\MJDXAK_M?6`XE69mS@YA[Ki0bZKPFR@\MGSA;2xja55wc8734}jzHIz:>>o4@Az2=44g1289?:;>m:0`451}i;<>1=6`<5485?!52;39?i6s\968012<6i?0:?9890c82f2713m;2=7>51;3xW<>=;<=1=l85126525d=9k=:86x[31d94?7=938m8v]68;163?7f>3;88;8?b;3a342<,:9=6<6k;%3b3?26?2h:5<4?:51914v<55;'5=e=;8n0e4=50;9l7=?=831b?;h50;9l05`=831b?h;50;9l7`7=831d?i;50;9j7=6=831d?i?50;9l7=4=831b?im50;9j7=d=831d?:?50;9l72g=831d?i=50;9j047=831d?h950;9l7f6=831d?;;50;9l73d=831b?l850;9j5;n1ga?6=3`o<6=44o2;3>5<5<5<5<6=4+1`;95f25<#9h31=n:4n0c;>4=5<#9h31=n:4n0c;>6=5<#9h31=n:4n0c;>0=5<#9h31=n:4n0c;>2=5<#9h31=n:4n0c;><=5<#9h31=n:4n0c;>g=a8870>h6i10;76g;3;29 4g>2=>0b5$0c:>1254i2d94?"6i00?86`>a987?>o4m3:1(j50;&2e<<3<2d:m549;:k0g?6=,8k269:4n0c;>2=a8870>h6i10376g2=>0b5$0c:>12a98`?>o4>3:1(c=a8870>h6i10:<65f4`83>!7f13>?7c?n8;32?>o313:1(40<3k9>h7>51c87f?3asA9886*<1d8:=>\?03;p?7s+19a974b<0;66g>i6900;66g;1283>>i6l90;66a=7183>>iak3:17d?9:18'5d?=9<1e=l650:9j51<72-;j57?:;o3b65f1083>!7f13;>7c?n8;18?l`=83.:m44>5:l2e=<332cn6=4+1`;950=i9h21965fd;29 4g>28?0ba8821>h6i10376gn:18'5d?=9<1e=l659:9j=?6=,8k26<;4n0c;>d=5<#9h31=85a1`:9`>=n9l0;6)?n9;36?k7f03o07d?k:18'5d?=9<1e=l65f:9a707=83;1<7>t$23f>c4<@:?;7E=<4:me5?6=3th89?4?:083>5}#;8o1?>;4H273?M54<2e:m84?::a7f2=83?1<7>t$23f>``<@:?;7E=<4:&244<73`2o6=44i8794?=n000;66g6d;29?j7d93:17pl0<729q/?5<52;294~"49l0:5<52;294~"49l0:5<5;hdb>5<;?;I100>oa<3:17dh::188mc0=831bj:4?::ke9483>>{eno0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>>n:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`04g<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04f<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`04a<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<=3:17pl>3783>7<729q/?=3:17pl>3683>7<729q/?=3:17pl>3983>7<729q/?=3:17pl>3883>1<729q/?5;hd6>5<6=44}c1`=?6=:3:1=;;hd0>5<6=44}c1`e?6=:3:1=;;hd0>5<6=44}c1`f?6=:3:1=;;hd0>5<6=44}c1`g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;jn1<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4b629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4b529086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:h>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:h84?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0f5>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6l>0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?k8;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a627=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a624=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a625=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a622=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a623=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9;;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb354>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=;6;291?6=8r.8=h4=c:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c173?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;=21<7=50;2x 67b2:>m7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl<4883>7<729q/?=3:17pl<4`83>7<729q/?=3:17pl<4c83>6<729q/?<6F<359jb1<722cm97>5;n3:1?6=3th88i4?:583>5}#;8o1>o5G3428L6533`l?6=44ig794?=nn?0;66a>9483>>{e;8;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=>5;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f671290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<6F<519K7626F<519K7626F<519K7626F<519K762=3:17pl<1b83>0<729q/?5;|`057<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm30694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg76i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg75:3:197>50z&05`<5k2B89=5G3268mc2=831bj84?::ke2?6=3`l<6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb007>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb006>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6:?0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd6:10;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn<<6:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25g<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<1<75ff483>>i61<0;66sm10f94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`25c<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm13394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xu1290?wS84=gf9b3=:;8<1j9523059b6=z{>0;69uQ7:?e`?`0349::7h:;<1274?=n:1v44?:5y]=>;al3l270=>1;d6?875;3l?7p}n:186[g<5on1jl523049b2=:;8k1j>521319b0=z{k0;6>uQb:?e`?`e349:=7h;;|q`>5<3sWi01kk5f59>710=n<16=?<5f59~wa<72=qUh63ie;d6?853>3l?70?=2;d6?xub290?wSk4=gg9b3=:;=<1j;521309b3=z{o0;69uQf:?ea?`0349?:7h8;<316?`03ty:=7>57z\25>;am3l370=?a;d7?85d13l870<82;d0?853?3l?70?=0;d7?xu6;3:15vP>3:?ea?`>349;n7h<;<1`e?`434;o>7h:;<047?`4349?;7h:;<32e?`434;997h:;|q20?6=krT:863ie;db?857i3l>70?<6;d0?85d03l>70?k1;d0?87c:3l?70<85;d7?85303l>70?=6;d0?87503l?70?=9;d7?xu6>3:15vP>6:?ea?`e349;o7h<;<30e;297~X6m27mh7h:;<121?`23ty=97>53z\51>;4ko0m?63{t0l0;6>uQ8d9>7f2=0016?nk5889~wce=838pRkm4=gd95<34?234lm6k:4}rdf>5<5s4ln6<7:;c352z\25<=:9;;1=4;4}r32e?6=:r7:=l4>949>57>=n<1v1b8e1>{t98i1<74?234;:h7h;;|q25a<72;q6=e;296~;69l0:585210d9b0=z{8;m6=4={<32b?7>=27:>=4i5:p576=838p1<7p}>2383>7}:9;81=4;4=03f>c252z?266<61<16=;6:00m96s|13794?4|588>6<7:;<32f?`33ty:>;4?:3y>570=90?01v3>2682=0=:98n1j;5rs00;>5<5s4;947?65:?25c283>70?>c;d7?xu6;<0;6?uQ1278945>283>7p}>3783>7}:9:<1=4;4=01:>c352z?272<61<16=>75f59~w45?2909w0?<8;3:1>;6;00m:6s|18094?4|5:?:6k?4=2af><352z?017<6i<16?n:59e9~w4b72909wS?k0:?2`=<61<1v:18187c93;2963>d48e1>{t9m81<74?234;o:7h;;|q2`6<72;q6=i=5187894b12o?0q~?k4;296~;6l=0:58521e:9b0=z{8n>6=4={<3g1?7>=27:h:4i4:p5a0=838p17p}>d683>7}:9m=1=4;4=0f;>c252z\135=::>=1=4;4}r045?6=:r79;<4>949>623=n<1v?9=:181840:3;2963=768e0>{t:>91<74?2348<:7h;;|q131<72;q6>::5187897112o?0q~<85;296~;5?<0:58522659b0=z{;==6=4={<042?7>=279;:4i6:p75?=838pR>>6;<13a?7>=2wx?=o50;0x966f283>70=?e;d7?xu48k0;6?u231`95<3<5::o6k:4}r13g?6=:r78949>75b=n<1v>>k:181857l3;2963<0d8e1>{t;8:1<7744=90?01>?;:g68yv56;3:1>v3<1282=0=:;8>1j85rs236>5<5s49:97?65:?05g70=>3;d7?xu49>0;6?u230595<3<5:;h6k:4}r12949>74e=n<1v>?6:18185613;2963<1b8e2>{t;8k1<74?2349:o7h8;|q05g<72;q6?c;296~;49j0:58523019b0=z{:>>6=4={<17`?7>=278=o4i4:p710=838p1>:9:0;6?853l3l>7p}<4683>7}:;==1=4;4=26`>c252z?00=<61<16?9l5f59~w62>2909w0=;9;3:1>;4j6<7:;<17`?`13ty88o4?:3y>71d=90?01>:l:g78yv53k3:1>v3<4b82=0=:;=n1j95rs2a7>5<5s49h87?l1:?0g`<>l2wx?n850;0x96e320?01>m::0;6?xu4k>0;6?u23b69949>7fb=n<1v>m6:18185d13;2963{t;jk1<74?2349ho7h:;|q0gg<72;q6?nl5187896ec2o<0q~=lc;296~;4kj0:58523bf9b1=z{:in6=48{<1`a?7d927:h>4i3:?2`094i3:?26050;0x96eb21n01>mi:0;6?xu4lj0;6?uQ3ea896e22o90q~:>3;290~X39:16=>95f29>71g=n:16=?95f29~yg26>3:1?94l8;g4M54<2.8=h4>cg9Y<=<5s;0?6p*>8b805a=n0l0;66g<0283>>i5k=0;66a>o4>l0;66a>i4l<0;66a<8383>>o4880;66g95;29?j5d83:17d=n5;29?l26;3:17d=j4;29?l7c83:17b=ke;29?l4083:17b28i?7c?n8;28?j7d;3:1(28i?7c?n8;08?j7dl3:1(28i?7c?n8;68?j7dj3:1(28i?7c?n8;48?j7d13:1(28i?7c?n8;:8?j7d?3:1(28i?7c?n8;c8?j7d:3:1(a8826>h6i10976gj:18'5d?=9;1e=l653:9j`?6=,8k26<<4n0c;>1=5<#9h31=?5a1`:93>=n13:1(6`>a98b?>o1290/=l75139m5d>=j21b=h4?:%3b=?753g;j47m4;h3g>5<#9h31=?5a1`:9`>=n9j0;6)?n9;31?k7f03o07d?m:18'5d?=9;1e=l65f:9j5d<72-;j57?=;o3b5$0c:>445<#9h31=?5a1`:957=a8826>h6i10:?65f1183>!7f13;97c?n8;37?>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo?jf;291?6=8r.8=h4jf:J015=O;:>0(<>>:19j5;h::>5<=;;h::>5<:188yg4683:1?7>50z&05`5;|`2`d<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm31294?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn>6=:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`13=<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm29:94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?7k:180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<7>52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb2ge>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2`b>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb2fe>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0da>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0d`>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb0dg>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd40>0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ih0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5ik0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>6<:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn>k=:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a7f7=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a7f4=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8j<4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j?4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j>4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8j94?:483>5}#;8o1>n5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?j7>=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl7<729q/?=3:17pl0<729q/?5;|`16`<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K762=3:17pl=3083>6<729q/?3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>>950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi>>650;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c00=?6=;3:1=;;hd7>5<=3:17pl=3e83>7<729q/?=3:17pl=3d83>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi>9<50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c077?6=:3:1=;;hd0>5<6=44}c070?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=?1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl=4983>6<729q/?29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f72f29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th98o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c07a?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:=l1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:<:1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<:1;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f73329086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th9984?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th99;4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb374>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;?;I100>oa<3:17dh::188k4?22900qo<:b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<:c;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a60b=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9:=4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb342>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb341>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd5>:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo<96;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a631=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a63>=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3;e>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:h;1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<6=44}c0b1?6=;3:1=;;hd7>5<=3:17pl=a683>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>l>50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`15;|`1=0<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm28:94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm28`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4>k3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg4?j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>5m50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c0;`?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:1o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<7f;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f7?729086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th95<4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c0:6?6=;3:1=;;hd7>5<=3:17pl=9583>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6?:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?6>:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`1<7<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1<6<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<6F<519K7626=4=:183!56m3;;>6F<519K7626F<519K7621<75ff483>>i61<0;66sm26`94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg40k3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>:j50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c04a?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl=7g83>1<729q/?5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7j:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn<7i:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb0c2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:881<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=a;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<=b;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo<>5;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a640=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm20:94?2=83:p(>?j:3`8L6373A9886gi4;29?l`22900ek850;9l5<3=831vn??6:180>5<7s-9:i7?67:J015=O;:>0ek:50;9jb0<722e:584?::a64g=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9=o4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c02g?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e:8o1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<>f;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a676=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th9><4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb301>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:;91<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo<=4;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f4bd29086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi=h950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=h750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3fe?6=:3:1=;;hd0>5<6=44}c3ff?6=:3:1=;;hd0>5<6=44}c3fg?6=:3:1=;;hd0>5<6=44}c3f`?6=<3:1N4=91C?>:4ig694?=nn<0;66gi6;29?j7>=3:17pl>ed83>7<729q/?=3:17pl>de83>7<729q/?=3:17pl>dd83>1<729q/?5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`2a5<72=0;6=u+30g96g=O;<:0D>=;;hd7>5<>i61<0;66sm1d394?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7b:3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=h=50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`2a1<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1d494?2=83:p(>?j:0;;?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo=k6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=k9;290?6=8r.8=h4>999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi?io50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c3e5?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9o91<7:50;2x 67b2;h0D>;?;I100>oa<3:17dh::188mc0=831d=4;50;9~f4`329096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`229096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`129096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`029096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f4`?290>6=4?{%12a?4d3A9><6F<359jb1<722cm97>5;hd5>5<1<75ff483>>i61<0;66sm1gc94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg7a:3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn?>?:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm21;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21c94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21`94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21a94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21f94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm21394?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd58;0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn?><:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`141<72=0;6=u+30g95<><@:?;7E=<4:ke0?6=3`l>6=44ig494?=h90?1<75rb326>5<3290;w)=>e;0a?M5282B8?95ff583>>oa=3:17dh9:188k4?22900qo969K706<@:9?7dh;:188mc3=831d=4;50;9~f76029086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3ty=6=4={_4897572o>0q~950;0xZ2=:::21j95rs983>7}Y0279?h4i4:p=?6=:rT270<;4;d7?xuf2909wSo4=36b>c2606=n=1vn4?:3y]g>;5=?0m86s|d;296~Xc348>o7h;;|qf>5<5sWo01?8=:g68yv772909wS??;<01a?`23ty:=7>52z\25>;5>?0m96s|1683>7}Y9>16>>85f49~w4>=838pR<64=31`>c37p}>a;296~X6i279854i5:p5g<72;qU=o5225g9b0=z{8i1<7m7h:;|q2a?6=:rT:i63=618e1>{t><0;6<=1m16=hh59e9>5l?5f49>6d2=n=16>485f29>6<1=n<16>4m5f29>6=5=n<16=4h5f29>64b=n:16>?o5f29>64`=n=16=h95f29>5`?=n=16=k95f29>65d=n:1v;650;7x93>=9j;01?77:g18974>2o9010q~6j:18`[>b34;nj766;<3:e?>>34<36574=0df>=?<5;;;6574=0fb>=?<5::;6574=35;>=?<5;236574=3;g>=?<5:286k=4}r3:6?6=;r789<4i1:?2ac<>=27:5l465:p570949>5d6=n<1v<7k:18187>l3;2963>a18e2>{t90o1<74?234;j<7h8;|q2=c<72;q6=4h5187894g62o?0q~?n0;296~;6i90:58521`39b1=z{8i96=4={_3`6>;5;80:585rs0a0>5<5sW;87S?l3:p5f3=839pRk5Q1b78970?2o>0q~?l6;296~X6k?16>>751878yv7d?3:1>vP>c69>66`=90?0q~?l8;296~X6k116>9;51878yv7d13:1>vP>c89>61d=90?0q~?la;296~X6kh16>8?51878yv7dj3:1>vP>cc9>601=90?0q~?lc;296~X6kj16>8j51878yv7dl3:1>vP>ce9>635=90?0q~?le;296~X6kl16>;751878yv7c83:1jvP>d19>6g4=n:16>l?5f59>65=5f59>5d6=n=16>?65f29>67d=n:16><95f59>5`>=n:16=hl5f29>7a0=n:16=k65f59>65>=n<16>=o5f29~w4b>290?w0?id;3:1>;4n=0m863;4l>0m?6s|1ec94?4|58nj6;<3eg?`43ty:ho4?:3y>5ag=1m16=h851878yv7ck3:1>v3>db82=0=:9l>1j95rs0fg>5<5s4;oh7?65:?2a52wx=ik50;0x94bb283>70?j1;d6?xu6lo0;6?u21ed95<3<58o96k;4}r3f4?6=:r7:i=4>949>5`0=n?1v:18187b93;2963>e28e0>{t9l81<74?234;n?7h:;|q2a6<72;q6=h=5187894c32o?0q~?j4;296~;6m=0:58521d79b1=z{8o>6=4={<3f1?7>=27:i;4i5:p5`1=838p1e983>7}:9l21=4;4=0ff>c052z?2a<<61<16=h<5f59~w4cf2909w0?ja;3:1>;6lo0m86s|1d`94?4|58oi6<7:;<3gb?`23ty:in4?:3y>5`e=90?01v3>ee82=0=:9l<1j95rs0gf>5<5s4;ni7?65:?2a5949>5cg=n=1vf88e0>{t9o>1<74?234;m47h:;|q2b0<72;q6=k;5187894`?2o<0q~?i6;296~;6n?0:58521g:9b2=z{8l<6=4={<3e3?7>=27:j?4i5:p5c>=838p17p}>f883>7}:9o31=4;4=0db>c352z?2bd<61<16=k<5f59~w4`e2909w0?ib;3:1>;6nm0m86s|1ga94?4|58lh6<7:;<3e`?`23ty:jh4?:3y>5cc=9j;01?l8:g68yv7an3:1>v3>fd8:`>;58>0:585rs323>5<5s48;<7?65:?143=?50;0x9766283>706k84}r037?6=:r79<>4>949>652=n=1v?>;:181847<3;2963=048e1>{t:9?1<74?2348;:7h:;|q143<72;q6>=85187897602o>0q~=279<<4i5:p65g=838p1?>n:0;6?847;3l>7p}=0c83>7}::9h1=4;4=327>c052z?14f<61<16>=;5f59~w76c2909w0;58;0m86s|21g94?4|5;:n6<7:;<036?`23ty965`=90?01?>8:g78yv4683:1=;u220295f7<5:2<6k=4=30f>c2<5;8m6k=4=315>c2<5;9<6k=4=31`>c2<5;9o6k=4=361>c2<5;>86k=4=36;>c2<5;>26k=4=36f>c2<5;>m6k=4=377>c2<5;?>6k=4=37b>c2<5;?i6k=4=343>c2<5;<:6k=4=345>c2<5;<<6k=4}r025?6=:r79==46d:?161<61<1v??=:181846:3;2963=148e0>{t:891<74?23489=7h:;|q151<72;q6><:5187897442o?0q~<>5;296~;59<0:585220c9b1=z{;;=6=4={<022?7>=279>?4i4:p641=838p1??8:0;6?846j3l?7p}=1983>7}::821=4;4=33a>c352z?15<<61<16>a;3:1>;59l0m96s|20`94?4|5;;i6<7:;<02b?`23ty9=n4?:3y>64e=90?01?v3=1e82=0=::8?1j85rs33f>5<5s48:i7?65:?16170<=0;d7?xu5:90;6?u223295<3<5;8:6k:4}r015?6=:r79><4>949>674=n<1v?<=:181845:3;2963=228e0>{t:;91<74?2348987h:;|q160<72;q6>?;51878977f2o?0q~<=6;296~;5:?0:58522049b0=z{;8<6=4={<013?7>=279=:4i5:p67>=838p1?<7:0;6?84603l?7p}=2883>7}::;31=4;4=33;>c052z?16d<61<16><75f59~w74e2909w0<=b;3:1>;5900m96s|23f94?4|5;8n6<7:;<005?`33ty9>h4?:3y>67`=90?01?=?:g78yv45n3:1>v3=3182=0=:::;1j85rs316>5<5s488:7?65:?17<>850;0x9750283>70<<8;d6?xu5;>0;6?u222:95<3<5;926k;4}r00f?6=:r79?n4>949>66`=n=1v?=l:181844l3;2963=3d8e1>{t::n1<74?23488j7h:;|q104<72;q6>9<5187897222o>0q~<;2;296~;5<:0:58522569b0=z{;>86=4={<070?7>=279884i5:p611=838p1?:7:0;6?843j3l?7p}=4983>7}::=31=4;4=36b>c352z?10d<61<16>9l5f49~w72c2909w0<;e;3:1>;5=80m86s|25g94?4|5;>m6<7:;<064?`23ty98k4?:3y>606=90?01?;>:g78yv42;3:1>v3=5582=0=::<=1j95rs377>5<5s48>97?65:?1138;50;0x9731283>70<:7;d6?xu5=00;6?u224c95<3<5;?o6k:4}r06e?6=:r799o4>949>60e=n<1v?;m:181842k3;2963=5e8e1>{t:4?2348=?7h;;|q125<72;q6>;?5187897052o?0q~<91;296~;5>;0:58522719b0=z{;<>6=4={<052?7>=279:44i4:p630=838p1?88:0;6?84103l>7p}=6683>7}::?21=4;4=34:>c352z\135=:;ml1j>5rs35;>5<69r79;54>c09>6dg=n:16>4h5f49>6d2=n?16>4;5f59>65<5f29>62b=n=16=4k5f29>644=n<16><:5f29>5`e=n:16=h>5f49>5c5=n=16=k:5f29>656=n=16>=m5f29~w71>2909w0<88;;g?840n3;296s|26c94?4|5;=j6<7:;<0;3?`33ty9;o4?:3y>62d=90?01?9i:g68yv40k3:1>v3=7b82=0=::>o1j95rs35g>5<5s48:k50;0x971b283>70<8f;d5?xu5090;6?u229295<3<5;2<6k;4}r0;5?6=:r794<4>949>62d=n=1v?6=:18184?:3;2963=7c8e1>{t:191<74?23485:51878971d2o>0q~<75;296~;50<0:585226a9b0=z{;2=6=4={<0;2?7>=279;h4i6:p6=1=838p1?68:0;6?840l3l>7p}=8983>42|5;236;<0bf?`33482j7h;;<0b0?`03482m7h<;<0;f?`33486=>=1m16>4:51878yv4?i3:1>v3=8`82=0=::1h1j85rs3:a>5<5s483n7?65:?1=15m50;0x97>d283>70<61;d7?xu50m0;6?u229f95<3<5;2m6k;4}r0;a?6=:r794h4>949>6<2=n?1v?6i:18184?n3;2963=918e0>{t:0:1<74?23482=7h:;|q1=4<72;q6>4?5187897?52o?0q~<62;296~;51;0:58522819b1=z{;386=4={<0:7?7>=279594i4:p6<3=838p1?7::0;6?84>:3l?7p}=9783>7}::0<1=4;4=3:`>c352z?1=2<61<16>5j5f59~w7??2909w0<68;3:1>;50m0m96s|28;94?4|5;326<7:;<0:4?`23ty95l4?:3y>6j3:1>v3=9c82=0=::1o1j95rs3;`>5<5s482o7?65:?1<`4j50;3284>l3;h=63=a28e7>;50h0m?63=948e1>;5090m?63=808e1>;50?0m?63>9b8e7>;5:?0m?63=1d8e0>;6lj0m963>ee8e2>;6lm0m?63>f28e2>;6n?0m?63=018e2>;58l0m?6s|28g94?4|5;3o64j4=3c3>4?23ty95k4?:3y>6<`=90?01?o8:g68yv4f93:1>v3=a082=0=::h<1j95rs3c1>5<5s48j>7?65:?1e0l=50;0x97g4283>70949>6d0=n<1v?o9:18184f>3;2963=a68e1>{t:h=1<74?2348j47h;;|q1e=<72;q6>l65187897g72o>0q~;5j>0:585rs3`1>5<5s48i>7?65:?1f250;1xZ7e3349;<7?l1:?0`=4?:by]755<5;k?6k;4=3c6>c2<5;3i6k:4=3:`>c2<5;2m6k:4=331>c2<5;;h6k:4=0gg>c2<58o:6k:4=0d2>c2<5;:m6k=4}r16f?6=:r78<=46d:?1eg<61<1v>8j:185[51m278i?4i5:?0g76=;<1;6?7d92wx?5:50;0x96>521301>6<:0;6?xu40?0;6?u23909=a=:;1=1=4;4}r1b1?6=:rT8m8523cg9b6=z{:h26=4={_1a=>;4jh0:585rs2`a>5<5s49im7h<;<1ab?7>=2wx?om50;0x96dd283>70=mf;d6?xu4jm0;6?u23cf95<3<5:hm6k84}r1aa?6=:r78nh4>949>7g`=n>1v>m?:181[5d8278o?4>949~w6e62909w0=l1;3:1>;4k;0m96s|3e794?4|V:n>70=ka;3:1>{t;m<1<74?2349o57h;;|q0`2<72;q6?i95187896b>2o<0q~=k8;296~;4l10:58523ec9b0=z{:n26=4={<1g=?7>=278hl4i4:p7ac=838pR>jj;<1gb?7>=2wx?h?50;0xZ6c6349n>7?65:p7`2=838pR>k;;<1e7?`43ty8ih4?:3y]7`c<5:om6<7:;|q0b5<72;q6?hh5f29>7c2=90?0q~=i1;296~;4n80:58523g69b0=z{:l96=4={<1e6?7>=278j94i6:p7c5=838p1>h<:0;6?85a<3l<7p};1283>0}Y<8901>k=:g6896e62o901>h=:g1896dc2o90qpl<6583>45=><0=jvF<359'74c=9820(<6l:23g?l>b2900e<:188m60b2900c;750;9j20<722e:?l4?::k0e0<722c:=44?::m202<722c?=>4?::k0a1<722e:>l4?::m1ef<722e8594?::m2<5<722e:j7>5;n04>5<:183!56m3l97E=:0:J071=hn80;66sm14f94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a2<<72<0;6=u+30g9ac=O;<:0D>=;;h:g>5<>o>l3:17b?l1;29?xd61h0;684?:1y'74c=mo1C?8>4H217?!7793:0e5j50;9j=0<722c357>5;h;g>5<5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188mt$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762>i6k80;66sm1g83>6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th:=<4?:283>5}#;8o1ih5G3428L6533-;;=7>4i9;94?=n1m0;66a>c083>>{e91:1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=?o50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm15594?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a5=1=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e9:;1<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi=8;50;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm1`c94?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<=3:17pl>3283>7<729q/?=3:17pl>8883>7<729q/?=3:17pl8a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a50`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a536=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<1<75ff483>>i61<0;66sm1cg94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm1cd94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5>=3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?4850;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?4950;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c37=?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9=k1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9=h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?;c;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f44d29086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th:>i4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>h4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:>k4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb013>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e9181<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?73;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?74;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?75;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5=0=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th:n=4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th:n84?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c3a2?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e9k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k21<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e9k31<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo?ma;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?mb;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5ge=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm1c094?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd6j:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn5<7s-9:i7?68:J015=O;:>0ek:50;9jb0<722cm:7>5;n3:1?6=3th:mn4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rb0cg>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:k81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:k=1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:ki1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo999K706<@:9?7dh;:188mc3=831bj;4?::m2=0<722wi=>m50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=>k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`27c<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<;6=4=:183!56m3;;>6F<519K762:6=4=:183!56m3;;>6F<519K76296=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4283>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=9;50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c30`?6=;3:1=;;hd7>5<=3:17pl>5983>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72i3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg72j3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=8m50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<n6=4<:183!56m38j7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>4g83>7<729q/?=3:17pl>5183>7<729q/?=3:17pl>5083>7<729q/?=3:17pl>5383>6<729q/??2B89=5G3268mc2=831bj84?::m2=0<722wi=8:50;694?6|,:;n6?l4H273?M54<2cm87>5;hd6>5<i1<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd0m3:1>7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg1a29096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f=6=83?1<7>t$23f>7e<@:?;7E=<4:ke0?6=3`l>6=44ig494?=nn>0;66a>9483>>{e080;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo?6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo?n0;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a5d7=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb0c0>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd6i=0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900q~?i:181[7a34;m6;|q13?6=99qU>:522682g4=:1j0m963>488e0>;62b8e0>;6:m0m?63>838e0>;60:0m?63>b48e1>;5j;0m?63>3d8e1>;6=00m?63>528e0>;0m3l870?6c;d0?xu503:1>v3=7;:g?84>283>7p}95;29e~X1=27:5l46d:?4e?`334;=<7h;;<3a2?`234;8j7h:;<363?`334;?i7h:;<5e>c5<583m6k=4}r4:>5<5sW<27086:0a2?xu013:1>v399;;g?81f283>7p}8b;297~;1132o70?:d;:g?8>6283>7p}8c;296~;0k3;296370;d6?xu0l3:1>v38d;3:1>;?83l=7p}8e;296~;0m3;296370;d4?xu0n3:1>v38f;3:1>;?93l>7p}70;296~;?83;296371;d7?xu?m3:1=?uQ8d9>50b=0016:4479:?2=d=?<582m6574=0d9<<=:9:k144521039<<=:91:1445213c9<<=:9==144521959<<=:9:;144521479<<=:9hk144521`g9<<=z{0k1<7;69802h6s|10394?0|58;:6;<3a4?`434;i57h:;<3bg?`234;8o7h<;<5g>c57>53z\257=:?h0m963>5g8e7>{t9831<76t^03:?85>=3l?70?m6;d7?84e?3l870?70?;e;d7?87f;3l?7p}>2`83>7}Y9;k01<n4>949>566=n=1v<2g8e0>{t9;o1<74?234;9j7h:;|q26c<72;q6=?h5187894572o?0q~?<1;296~;6;80:o<5213a9b0=z{8996=4={<305??c34;8?7?65:p563=839pR<=:;<0ag?`334;j<7h;;|q27d<72;qU=>o4=01b>4e63ty:?o4?:3y>56g=1m16=>j51878yv74k3:1>v3>3b82=0=:9=81j85rs01f>5<5s4;8i7?65:?201h50;0x945a283>70?;3;d7?xu6<90;6?u215295<3<58>86k;4}r375?6=:r7:8<4>949>513=n<1v<:=:181873:3;2963>3e8e0>{t9=91<74?234;?87h:;|q201<72;q6=9:5187894222o>0q~?;5;296~;6<<0:585212f9b0=z{8><6=4={_373>;6<>0:o<5rs06;>5<5s4;?;77k;<37g?7>=2wx=9750;0x942>283>70?;c;d7?xu6i6k;4}r37f?6=:r7:8o4>949>51e=n<1v<:k:181873?32o70?:4;3:1>{t9=o1<74?234;>>7h;;|q20c<72;q6=9h5187894352o?0q~?:0;296~;6=90:58521469b1=z{8?:6=4={<365?7>=27:994i6:p504=838p1<;=:0;6?872;3l>7p}>5283>7}:9<91=4;4=077>c397>52z?210<6k816=975f49~w4312909w0?:7;3:1>;6=j0m96s|14594?4|58?36<7:;<36f?`33ty:954?:3y>50?=90?01<;m:g78yv7213:1>v3>5`82=0=:95<5s4;>n7?65:?21f949>536=n<1v<6?:181[7?827:4=4>c09~w4>62909w0?70;;g?87?>3;296s|19094?4|58296<7:;<3;2?`33ty:4>4?:3y>5=5=90?01<6::g68yv7?<3:1>v3>8582=0=:91?1j85rs0:6>5<5s4;397?65:?2<3028i:70?72;d6?xu6010;6?u21959=a=:9131=4;4}r3;b?6==r79;77k;<3;b?7d927:4:47d:?274503=0m16=8m51878yv7>:3:1nv3<508e5>;6=m0296399;;6?87>i33>70<8:87894>720?01<i3:1:v3>9`82g4=:9k=1j>521529b6=:95215d9b6=:090m86s|18`94?4|583j65j4=0c7>4?23ty:5n4?:3y>5l3:1>v3>9e82=0=:9h;1j95rs0;f>5<5s4;2i7?65:?2e470?n4;d6?xu6i90;6?u21`295<3<58k96k:4}r3b5?6=:r7:m<4>949>5d4=n<1va28e1>{t9h91<74?234;j87h;;|q2ed<720q6=lo51b3894db2o9012o>01v3>a`8:`>;6im0:585rs0c`>5<5s4;jo7?65:?2ea70?:0;d0?81d2o901<7j:g18yv7fn3:1>v3>ad8:`>;6j=0:585rs0`3>5<5s4;i<7?65:?2fg70?m4;d5?xu6j;0;6?u21c095<3<58h86k:4}r3a7?6=:r7:n>4>949>5g2=n=1vb38e0>{t9k<1<74?234;io7h;;|q2f2<72;q6=o95187894dd2o?0q~?m8;296~;6j10:58521c19b0=z{8h26=4={<3a=?7>=27:n<4i4:p5gg=838p17p}>bc83>7}:9kh1=4;4=0`7>c352z?2ff<61<16=o<5f49~w4dc2909w0?me;3:1>;6jo0m96s|1b294?3|58l15i521929;6jo0:585rs3c`>5<5sW8jo63=be82=0=z{;h96=4={<0a6?7>=279nn4i5:p6g1=838p1?l8:0;6?84el3l=7p}=bb83>7}::ki1=4;4=3`g>c252z\02`=:;0<1j>5rs2;7>5<5sW92863<9682=0=z{:3>6=4={<1:1?7>=2785:4i4:p7<0=838p1>79:0;6?85>?3l>7p}6}Y;h?01<=<:g18944b2o90q~=j4;297~X4m=16=575f29>5=2=n:1v9?<:187[26;27:9846d:?0=04$0:`>67c3f>;o7>5;n1;5;n46>5<>o1n3:17d<6F<359lb4<722wi?5650;794?6|,:;n6hh4H273?M54<2c3h7>5;h;6>5<>i6k80;66sm2`g94?3=83:p(>?j:dd8L6373A9886g7d;29?l?22900e5750;9j=a<722e:o<4?::a6g5=83?1<7>t$23f>``<@:?;7E=<4:k;`?6=3`3>6=44i9;94?=n1m0;66a>c083>>{e:k21<7;50;2x 67b2ll0D>;?;I100>o?l3:17d7::188m=?=831b5i4?::m2g4<722wi:o4?:483>5}#;8o1ik5G3428L6533`2o6=44i8794?=n000;66g6d;29?j7d93:17pl80;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg5629086=4?{%12a?cb3A9><6F<359'557=82c357>5;h;g>5<5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb7594?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd5k80;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd5k:0;6>4?:1y'74c=:h1C?8>4H217?l`32900ek;50;9l5<3=831vn>;50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5k50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?5h50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`07<<72:0;6=u+30g96d=O;<:0D>=;;hd7>5<81<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e?:0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo8k:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn;k50;194?6|,:;n6<78;I164>N4;=1bj94?::ke1?6=3f;297>5;|`1fd<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`1fg<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3`3>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb3`2>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e;;0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd38l0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=8d;297?6=8r.8=h4>969K706<@:9?7dh;:188mc3=831d=4;50;9~f3`=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a31<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`41?6=:3:1=;;hd0>5<6=44}c55>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb6594?3=83:p(>?j:3a8L6373A9886gi4;29?l`22900ek850;9jb2<722e:584?::a76d=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3th8?n4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c10`?6=:3:1=;;hd0>5<6=44}c10a?6=:3:1=;;hd0>5<6=44}c10b?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg5383:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831v>?50;0x967=9j;01;95f59~w65=838p1>?5889>77<61<1v>:50;0x967=1m16?84>949~w33=83lpR;;4=629=a=:>k02h63=b98:`>;5j:02h63=ad8:`>;1?3;2963<8d8e7>;4;00m86382;d0?80c2o901?ln:g1897d22o901?l?:g18965b2o90q~89:181801283>7088:g78yv0>2908w0=8d;d7?810283>70=5<5s4;<4e>c5=b<5?o1=4;4}r4g>5<5s4c301::5f29~w26=838p1:>51b38923=n:1v:?50;0x926=0m16;>4>949~w24=838p1:<51878925=n<1v::50;0x93`=90?01:95f59~w23=838p1::51878921=n<1v:850;0x923=90?01:95f79~w21=838p1:851878921=n>1vhj50;;xZ`b<5:236574=3cf>=?<5;h86574=3`;>=?<5?h14452718;=>;4;>03563<2;d0?xuak3:1?vPic:?0;>:g3896>?20?01?oj:87897d420?01?l7:87893d=1<16;=465:?072<>=2wx>lj50;1xZ7gc348i=7h;;<10g?`33ty9mh4?:3y>6dc=9j;01>=l:g78yv4fn3:1>v3=ad8;`>;5j80:585rs3`3>5<5s48i<7?65:?1f4o<50;1xZ7d5348h>7h<;<0a2?`33ty9n>4?:3y>6g5=9j;01?m>:g18yv4e<3:1>v3=b28;`>;5j?0:585rs3`6>5<5s48i97?65:?1f3o950;1xZ7d0348in7h;;<10f?`33ty9n54?:3y>6g>=9j;01>=m:g78yv4e13:1>v3=b98;`>;5jk0:585rs3`b>5<5s48im7?65:?1fgn>50;0x97e4283>70=949>6f5=n<1v>=8:181854?3;h=63;0e8e7>{t;:21<7958e9>7=>=0m16?9>51878yv54j3:1>v3<3c82=0=:;:l1j85rs21`>5<5s498o7?65:?07c2wx?>j50;0x965c283>70=;6k;4}r10b?6=:r78?k4>949>716=n=1v>8j:186[51m27=:7h<;<16>c5<5=:n6k:4=25g>c352z\03f=:;>n1=4;4}r1;52z?0<=<>l2784k4>949~w6>b2909w0=7e;3:1>;40o0m96s|41a94?4|V=:h70:?e;3:1>{t<9n1<74?234>;i7h:;|a735=83;>6l75b5yK762<,:;n64?::m02`<722cj:7>5;h1gg?6=3`;8m7>5;n14e?6=3`2i6=44i9c94?=h;h?1<75f12694?=h;l>1<75f19c94?=n;>i1<75`38294?=h;031<75`1g83>>i4n<0;66l<5083>4<729q/?:188yg5a=3:197>50z&05`5<0e5750;9j=a<722e:o<4?::aff<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qol;:180>5<7s-9:i7kj;I164>N4;=1b444?::k:`?6=3f;h=7>5;|`0=<<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<;?;I100>o?13:17d7k:188k4e62900qo??3;297?6=8r.8=h4je:J015=O;:>0e5750;9j=a<722e:o<4?::aad<72:0;6=u+30g9a`=O;<:0D>=;;h::>5<0e5750;9j=a<722e:o<4?::a714=8391<7>t$23f>`c<@:?;7E=<4:k;=?6=3`3o6=44o0a2>5<6<729q/?<6F<359j<<<722c2h7>5;n3`5?6=3th8:h4?:283>5}#;8o1ih5G3428L6533`226=44i8f94?=h9j;1<75rb2;3>5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xdb13:1?7>50z&05`;m50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<5<4290;w)=>e;gf?M5282B8?95f8883>>o>l3:17b?l1;29?xd4<80;6>4?:1y'74c=ml1C?8>4H217?l>>2900e4j50;9l5f7=831vnl>50;194?6|,:;n6hk4H273?M54<2c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg73>3:1?7>50z&05`5;|`gb?6=;3:1N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl>6083>6<729q/?5<:188yg70:3:1?7>50z&05`5;|`236<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm16694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn<9::180>5<7s-9:i7kj;I164>N4;=1/==?50:k;=?6=3`3o6=44o0a2>5<53;294~"49l0ni6F<519K762<,8::6<5f8883>>o>l3:17b?l1;29?xdd?3:1?7?53zJ071=#;8o1=5k4i`694?=ni<0;66a<0983>>d4=?0;6>4?:1y'74c=;=l0D>;?;I100>oa<3:17dh::188k4?22900q~o;:181[g3349>:7h:;|qb1?6=:rTj963<578e0>{t;921<73;296srbb494?5=939pD>=;;%12a?7?m2cj87>5;hc6>5<5<4290;w)=>e;17b>N4=91C?>:4ig694?=nn<0;66a>9483>>{ti=0;6?uQa59>700=n<1vl;50;0xZd3<5:?=6k:4}r1353;397~N4;=1/?5}#;8o1?9h4H273?M54<2cm87>5;hd6>5<6=44}rc7>5<5sWk?70=:6;d6?xuf=3:1>vPn5:?013:7?65:~ff2=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=6c83>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pl<9283>6<729q/?7>50z&05`<68;1C?8>4H217?l`42900c<7::188yg5fk3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi?ho50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?hl50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}cc0>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb267>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rb9594?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm27d94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3`g94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3df94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66sm3g;94?4=83:p(>?j:021?M5282B8?95ff283>>i61<0;66smc383>7<729q/?=3:17pll3;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::af`<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`ab?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{ejh0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xdej3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722win;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3thi;7>53;294~"49l09m6F<519K7621<75ff483>>i61<0;66smc883>6<729q/?t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<=3:17pljb;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo6;:181>5<7s-9:i7??2:J015=O;:>0ek=50;9l5<3=831vn?=50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb3694?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4229086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi>;4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c34g?6=:3:1=;;hd0>5<6=44}c34`?6=;3:1=;;hd7>5<=3:17pl>7g83>6<729q/?50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi=:650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi=:750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c35=?6=:3:1=;;hd0>5<6=44}c35e?6=:3:1=;;hd0>5<6=44}c35f?6=:3:1=;;hd0>5<6=44}c35g?6=:3:1=;;hd0>5<6=44}c35`?6=:3:1=;;hd0>5<6=44}c35a?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg71n3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn<9?:180>5<7s-9:i7N4;=1bj94?::ke1?6=3f;297>5;|`234<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm17794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f40129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3th8;94?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;84?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;;4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;:4?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;54?:383>5}#;8o1==<4H273?M54<2cm?7>5;n3:1?6=3th8;44?:783>5}#;8o1>i5G3428L6533`l?6=44ig794?=nn?0;66gi7;29?l`?2900c<7::188ygc629096=4?{%12a?77:2B89=5G3268mc5=831d=4;50;9~f`4=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::aa6<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`f0?6=:3:1=;;hd0>5<6=44}cg6>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbd494?1=83:p(>?j:3g8L6373A9886gi4;29?l`22900ek850;9jb2<722cm47>5;hd:>5<6=44}c631?6==3:1N4=91C?>:4ig694?=nn<0;66gi6;29?l`02900c<7::188yg27>3:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi8=950;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=650;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi8=750;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c63e?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e<9h1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo=6c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6d;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6e;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=6f;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n0;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n2;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=n3;293?6=8r.8=h4=e:J015=O;:>0ek:50;9jb0<722cm:7>5;hd4>5<>i61<0;66sm3`694?5=83:p(>?j:0;4?M5282B8?95ff583>>oa=3:17b?65;29?xd4nk0;6:4?:1y'74c=:l1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;hd;>5<6F<519K7626F<519K7626F<519K7626F<519K7626F<519K76250z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn9><:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<52;294~"49l0:5<52;294~"49l0:5<7>52;294~"49l0:5<52;294~"49l0:5<52;294~"49l0:5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm3c494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg5e?3:1?7>50z&05`<61>1C?8>4H217?l`32900ek;50;9l5<3=831vn>l7:187>5<7s-9:i7N4;=1bj94?::ke1?6=3`l=6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm10794?3=83:p(>?j:0;:?M5282B8?95ff583>>oa=3:17dh9:188mc1=831d=4;50;9~f47129086=4?{%12a?4f3A9><6F<359jb1<722cm97>5;n3:1?6=3thhj7>52;294~"49l0:5<t$23f>4653A9><6F<359jb6<722e:584?::a`3<72;0;6=u+30g9554<@:?;7E=<4:ke7?6=3f;297>5;|`g3?6=:3:1=;;hd0>5<6=44}cf;>5<5290;w)=>e;336>N4=91C?>:4ig194?=h90?1<75rbe;94?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygbf290?6=4?{%12a?4e3A9><6F<359jb1<722cm97>5;hd5>5<6=44}cf3>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{el80;694?:1y'74c=:k1C?8>4H217?l`32900ek;50;9jb3<722e:584?::a`7<72:0;6=u+30g95<1<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<6F<519K76250;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722win<4?:283>5}#;8o1>l5G3428L6533`l?6=44ig794?=h90?1<75rbc094?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188ygd429086=4?{%12a?7>?2B89=5G3268mc2=831bj84?::m2=0<722wi==;50;794?6|,:;n6<76;I164>N4;=1bj94?::ke1?6=3`l=6=44ig594?=h90?1<75rb02:>5<4290;w)=>e;0b?M5282B8?95ff583>>oa=3:17b?65;29?xd68h0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68k0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68j0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68m0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68l0;6?4?:1y'74c=9980D>;?;I100>oa;3:17b?65;29?xd68o0;684?:1y'74c=:j1C?8>4H217?l`32900ek;50;9jb3<722cm;7>5;n3:1?6=3th:==4?:283>5}#;8o1=494H273?M54<2cm87>5;hd6>5<6=44}c332?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e99=1<7=50;2x 67b283<7E=:0:J071=nn=0;66gi5;29?j7>=3:17pl>0983>6<729q/?4e63ty9>7>52z?2b??c348=6<7:;|q17?6=:r79?7?65:?12?`33ty987>52z?10?7>=27997h:;|q11?6=:r7997?65:?12?`23ty=57>55z?5b?7>=27::44i3:?220<7<6k816:k4i5:p<6<72hq64?479:?0a14?23ty397>52z?;1?7d927=j7h;;|q;2?6=az\;e>;4n<03563nc;::?8e721301om5889>f=>34;m6574=020>=?<5lk1445227f9<<=:0<03563<438;=>;f932270?>2;::?8ba21301<8>:9;8940521301<88:9;8941521301<9<:9;8941321301<9::9;8941f213015:5f29~w=d=832pR5l4=d`9b6=:9>n1j95216:9b6=:9?n1j>521769b0=:98>1j85211g9b6=z{0o1<7;t=8d95<3<58c3<58;>6k;4=02a>c54e6343m6k;4}rc2>5<5s4k:6;<;e>c2g<7}:ij02h63m3;3:1>{til0;6?u2ad82=0=:j80m96s|ag83>7}:io0:5852b38e0>{tj90;6?u2b182=0=:j;0m96s|b083>7}:j80:5852b28e0>{tj;0;6?u2b382=0=:j:0m96s|a983>d}:j=0:o<52c58b1>;d13l?70k<:g1891612o>01>hm:g7896`d2o901i=5f49>`14?23tyi:7>52z?a2?7>=27i;7h:;|qb=?6=1r7i47?l1:?`1?g234ii6k:4=d79b6=:<9<1j8523g`9b3=:;oo1j>52d98e7>;fn3l>7p}m9;296~;e033o70lm:0;6?xuei3:1>v3ma;3:1>;ej3l>7p}na;29<~;ek3;h=63l6;c6?8ec2o>01h:5f29>051=n:168=>5f29>`24?23tyii7>52z?aa?7>=27ij7h:;|qbf?6=0r7h<7?l1:?`3?g234o=6k;4=52;>c5<5:lm6k=4=e19b3=:l?0m?63nf;d4?xud93:1>v3l0;;g?8e4283>7p}l2;296~;d:3;2963l3;d6?xud03:1?v3l5;c7?8e>283>70mm:g78yvef2908w0m9:`689fd=90?01nj5f49~wfe=838p1n95a59>ga<61<1vnk50;7x9f4=n:16nh4i3:?ae?`434h=6k=4=e095<34?234n26k;4}rf3>5<5s4n;6<7:;c34?234n96k;4}rf0>5<5s4n86<7:;c24?234nj6k;4}rf6>5<5s4n>6<7:;c24?234nj6k84}rf4>5<5s4n<6<7:;c24?234n:6k84}rf:>5<5s4n26<7:;c24?234n;6k;4}rfa>5<5s4i?6>>7;<`4>c266?34hi6k:4}rfg>5<5s4i=6>>7;<`e>c266?34i86k:4}rfe>5<2s4nm6;<35f?`434;=97h9;<321?`134;;o7h<;|qf4?6=:r7oj77k;4?23tyn=7>52z?f5?7>=27n:7h;;|qf6?6=:r7n>7?65:?f2?`13tyn?7>52z?f7?7>=27n:7h7;|qf0?6=:r7n87?65:?f2?`03tyn97>52z?f1?7>=27n:7h6;|qf=?6=4e634im6k=4=`g9b6=z{lk1<7=t=dc95f7<5m31j952b08e0>{tmj0;6?u2e88;=>;bj3;296s|11194?2|V8:870??3;3`5>;38<0m863{t99>1<70q~??6;296~;68?0:58521159b0=z{8:<6=4={<333?7>=27:<54i5:p55?=838p1<>6:0;6?877?3l?7p}>0`83>7}:99k1=4;4=02e>c252z?24g<61<16==h5f49~w46d2909w0??c;3:1>;68o0m:6s|11f94?4|58:o6<7:;<33b?`03ty:55c=90?01v3>0g82=0=:98:1j95rs033>5<5s4;:<7?65:?2437?l1:p545=838p17p}>1583>7}:98>1=4;4=035>c252z?250<61<16=<85f49~w4532909wS?<4:?0efo50;0xZ45f34;?:77k;|q203<72521=n=16==75f59~w4062909w0?91;3`5>;6?o0m86s|17094?5|58<:64j4=041>4e6349j<7h<;|q226<72;q6=;<59e9>530=90?0q~?94;296~;6>=0:58521749b1=z{8<>6=4={<351?7>=27::;4i5:p531=83kp1<88:0a2?85>;3l?70<<:g5894172o>01<8;:g6896102o901>7j:g1896d32o9010q~?98;296~;6>>02h63>7082=0=z{8<26=4={<35=?7>=27::h4i4:p53g=838p1<8n:0;6?871m3l>7p}>6c83>7}:9?h1=4;4=04f>c052z?22f<61<16=;k5f69~w40c2909w0?9d;3:1>;6>o0m96s|17g94?4|5853`=90?01<9?:g78yv7083:1>v3>7182=0=:9>;1j85rs051>57?l1:?0=64?:6y>524=1m16=:=51b38975=n?16?::5f29>7553=n?1v<9;:184870;33o70?84;3`5>;5;3l?70=85;d0?85f93l870=m1;d0?877=3l?7p}>7483>2}:9>>15i5216795f7<5;91j85236:9b6=:;0l1j>523c29b6=:99?1j85rs055>5<5s4;<977k;<34=?7>=2wx=:950;0x9410283>70?89;d7?xu6?10;6?u216:95<3<58=26k;4}r34e?6==r7:;l4>c09>617p}>7b83>7}:9>i1=4;4=05g>c352z?23a<61<16=:k5f49~w41b2909w0?8e;3:1>;6?o0m96s|19c94?4|V82j70=jb;d7?xu60k0;6>uQ19`896g52o901>l8:g68yv41i3:19v3=6c82=0=:9?i1j>521779b2=:98?1j:5211f9b6=z{;6}::?n15i5227a9=a=::?l1=4;4}r175?6=;r788<4>c09>053=n?16?kl5f99~w6252908w0=;2;3`5>;38<0m963{t;=91<7=t=261>:64j4=267>4?23ty8:h4?:3y]73c<5:;|q036<72;q6?;k59e9>72?=90?0q~=84;296~;4?=0:585236;9b0=z{:=>6=4={<141?7>=278;44i4:p720=838p1>99:0;6?85013l=7p}<7683>7}:;>=1=4;4=25:>c152z?03=<61<16?:75f99~w61f290?wS=8a:?014=2wx?:m50;0xZ61d342<6k=4}r1;{t;0:1<783;h=6s|38094?4|5:3;64j4=2;0>4?23ty8544?:3y]7;|q0=g<72;q6?4759e9>7d2=90?0q~=6c;296~;41j0:58523`19b0=z{:3o6=4={<1:`?7>=278m>4i4:p77j:0;6?85f;3l=7p}<9g83>7}:;0l1=4;4=2c0>c>52z?0e5<61<16?l=5f69~w6g62909w0=n1;3:1>;4i:0m56s|3`094?4|5:k96<7:;<1b0?`23ty8m>4?:3y>7d5=90?01>o;:g68yv5f=3:1>vP7d3=9j;0q~=na;296~;4ij0:58523`g9b6=z{:ki6=4={<1bf?7>=278mn4i5:p7db=838p1>o::8f896gb283>7p}6}:;hh1j>523dc9b6=:;k21=4;4}r1a4?6=:r78n=4>949>7g3=n=1v>l>:18185e93;2963{t;k81<74?2349i47h;;|q0f6<72;q6?o=5187896d12o>0q~=m4;296~;4j=0:58523c49b0=z{:h>6=4={<1a1?7>=278n:4i5:p7g0=838p1>l9:0;6?85e03l=7p}7}:;k=1=4;4=2`;>c352z\0`f=::?l1j>5rs2g7>5<5sW9n863=278ii4i3:p7`g=838p1>kn:0;6?85bj3l>7p}7}:;l>15i523df95<353z\0b0=:;o?1=n?4=d09b6=z{:l36=4={<1e1??c349m57?65:p7cg=838p1>h::9f89164283>7p}7}:;oh1=4;4=521>c252z?0bf<61<168=?5f49~w6`c2909w0=id;3:1>;3880m86s|3gg94?4|5:ln6<7:;<635?`13ty8jk4?:3y>7c`=90?019><:g68yv2783:1>v3;0182=0=:<991j;5rs522>5<5s4>;=7?65:?74770:?3;d6?xu38=0;6?u23g;9b6=:<9h1=4;4}r631?6=:r7?<84>949>05d=n=1v9>9:181827>3;2963;0`8e0>{t<9=1<74?234>;57h;;|q74=<72;q68=651878916>2o?0q~:?9;296~;3800:585241c9b0=z{=:j6=4={<63e?7>=27?l;<170?`43twi?8m50;597d<4lrB8?95+30g9=3=#91i1?5<5<>d4=80;6<4?:1y'74c=n;1C?8>4H217?j`62900qo=>f;291?6=8r.8=h4jf:J015=O;:>0e5j50;9j=0<722c357>5;h;g>5<N4=91C?>:4i9f94?=n1<0;66g79;29?l?c2900c:188yg4a93:197>50z&05`5<2290;w)=>e;ge?M5282B8?95f8e83>>o>=3:17d66:188m<6F<359'557=82c357>5;h;g>5<N4=91C?>:4$022>5=n000;66g6d;29?j7d93:17pl=cg83>6<729q/?i>50;194?6|,:;n6hk4H273?M54<2.:<<4?;h::>5<:188yg4c:3:1?7>50z&05`5;|`1`6<72:0;6=u+30g9a`=O;<:0D>=;;%335?6>i6k80;66sm2e694?5=83:p(>?j:dg8L6373A9886*>0083?l>>2900e4j50;9l5f7=831vn?jm:180>4<4sA9886*<1d82<`=ni=0;66gn5;29?j5703:17o=:6;297?6=8r.8=h4<4g9K706<@:9?7dh;:188mc3=831d=4;50;9~wd2=838pRl:4=275>c36=4={_c6?852>3l?7p}<0983>7}Y;9201>;9:0;6?x{e:mk1<7=51;1xL6533-9:i7?7e:kb0?6=3`k>6=44o22;>5<1<75ff483>>i61<0;66s|a583>7}Yi=16?885f49~wd3=838pRl;4=275>c252z\04==:;<<1=4;4}|`1`<<72:0:6>uG3268 67b282n7do;:188md3=831d?=650;9a700=8391<7>t$23f>62a3A9><6F<359jb1<722cm97>5;n3:1?6=3tyj87>52z\b0>;4=?0m96s|a483>7}Yi<16?885f59~w66?2909wS=?8:?013<61<1vqo1<75fa483>>i4810;66l<5783>6<729q/?52z\b1>;4=?0m86s|31:94?4|V::370=:6;3:1>{zj;n<6=4<:080M54<2.8=h4>8d9je1<722cj97>5;n13:7>53;294~"49l088k5G3428L6533`l?6=44ig794?=h90?1<75rs`694?4|Vh>01>;9:g78yvg22909wSo:;<162?`33ty8<54?:3y]75><5:?=6<7:;|a6a0=8391=7=tH217?!56m3;3i6gn4;29?lg22900c>>7:188f63129086=4?{%12a?53n2B89=5G3268mc2=831bj84?::m2=0<722wxm94?:3y]e1=:;<<1j85rs`794?4|Vh?01>;9:g68yv5703:1>vP<099>700=90?0qpl=d483>6<62:qC?>:4$23f>4>b3`k?6=44i`794?=h;921<75m34494?5=83:p(>?j:26e?M5282B8?95ff583>>oa=3:17b?65;29?xuf<3:1>vPn4:?0135<5sW9;463<5782=0=zuk9;>7>52;294~"49l0:5<52;294~"49l0:5<53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb3d6>5<4290;w)=>e;3:3>N4=91C?>:4ig694?=nn<0;66a>9483>>{e:o91<7<50;2x 67b28:97E=:0:J071=nn:0;66a>9483>>{e:mo1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo0ek:50;9jb0<722e:584?::a6`4=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<53;294~"49l09m6F<519K7621<75ff483>>i61<0;66sm2d494?5=83:p(>?j:3c8L6373A9886gi4;29?l`22900c<7::188yg4b03:1?7>50z&05`<5i2B89=5G3268mc2=831bj84?::m2=0<722wi>im50;094?6|,:;n6<>=;I164>N4;=1bj>4?::m2=0<722wi?=:50;194?6|,:;n6?o4H273?M54<2cm87>5;hd6>5<6=44}c131?6=:3:1=;;hd0>5<6=44}c132?6=;3:1N4=91C?>:4ig694?=nn<0;66a>9483>>{e;9=1<7=50;2x 67b2;k0D>;?;I100>oa<3:17dh::188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo039K706<@:9?7dh<:188k4?22900qo0ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17dhm:188k4?22900qo==1;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==3;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==4;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==5;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==6;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==7;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==8;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo==a;290ek:50;9jb0<722cm:7>5;hd4>5<>oai3:17b?65;29?xd4:;0;6>4?:1y'74c=90=0D>;?;I100>oa<3:17dh::188k4?22900qo=<1;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a764=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a765=8391<7>t$23f>4?03A9><6F<359jb1<722cm97>5;n3:1?6=3ty3o7>57z\;g>;4:j02h63<1g8:`>;4:k02h63<2d8e7>;4:00m?63<338e7>{tmm0;6iuQee9>74`=0016??m5889>6c7=0016??l5889>6fb=0016>nk5889>6f`=0016>i>5889>6a7=0016>i<5889>6a5=0016>i:5889~w4?5290>w0=:1;d2?856n33>70==c;;6?84a933>70==b;;6?xu5k=0;6?uQ2b6897`22o>0q~523339b6=z{;i=6=4:{<0`a?7d9279h84n5:?1``n950;7x97ea28i:700}::m:1=n?4=3f4>d3<5;o96k:4=3db>c5<5:8>6k=4}r0`=?6==r79h<4>c09>6a>=i<16>h:5f59>6cd=n:16??95f29~w7ef290=w0;5l00j963=e78e0>;48?0m863=fb8e7>;4:?0m?6s|2b`94?0|5;n86;<0ge?g2348n47h;;<130?`2348mh7h<;<116a2=9j;01?jm:`7896632o>01?hj:g18yv4cl3:1?v3=d78b0>;5ll0:58522d29b0=z{;nm6=4<{<0g3?g3348n<7?65:?1a7h?50;1x97b?2h>01?k=:0;6?84b<3l>7p}=e283>6}::m31m9522d695<3<5;o=6k;4}r0f1?6=;r79hl4n4:?1a3<61<16>h65f49~w7c02909w04?23ty9il4?:3y>6fc=1m16>i;531:8yv4bj3:1>v3=cg8:`>;5l?08<55rs3g`>5<5s48o<77k;<0g3?5702wx>hj50;0x97b620n01?j7:22;?xu5ml0;6?u22e09=a=::m31?=64}r0fb?6=:r79h>46d:?1`d<4811v?h?:18184c<33o70{t:o;1<7=t=3d2>4e6349;>7h<;<131?`43ty9j?4?:3y>6c7=1m16>k=51878yv4a<3:1>v3=f08;`>;5n<0:585rs3d5>5<2s499j7h;;<0e1?`2348m?7h<;<0eb?7>=278?<4i4:p6c1=838p1?h8:0;6?84an3l?7p}=f983>7}::o21=4;4=3de>c352z?1b<<61<16>kh5f79~w7`f2909w0;5no0m;6s|2g`94?4|5;li6<7:;<0eb?`?3ty9jn4?:3y>6ce=90?01?hi:g;8yv4al3:1>v3=fe82=0=::ol1jl5rs3df>5<5s48mi7?65:?1bc7?65:p755=838pR>><;<133?7>=2wx?=:50;0x9663283>70=?7;d7?xu48<0;6?u231795<3<5::=6k;4}r132?6=:r78<;4>949>751=n<1v>?i:181[56n278=k4>c09~w6472909w0=>f;:g?855:3;296s|33394?4|5:8:6<7:;<11e?`33ty8>>4?:3y>775=90?01>v3<2582=0=:;;k1j;5rs206>5<5s49997?65:?06d70==a;d:?xu4:>0;6?u233595<3<5:8j6k64}r1154>949>77g=nh1v><6:18185513;2963<238e1>{t;;k1<74?23499>7h;;|q06g<72;qU??l4=20a>4e63ty8>n4?:3y>77e=9j;01>=>:g78yv55l3:1>v3<2b8;`>;4:o0:585rs20f>5<5s499i7?65:?06c>50;0x964e21n01>=<:0;6?xu4;80;6?u232395<3<5:986k:4}r106?6=:r78??4>949>765=n<1vqo=9c;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:9;296?6=8r.8=h4>039K706<@:9?7dh<:188k4?22900qo=:a;297?6=8r.8=h4=a:J015=O;:>0ek:50;9jb0<722e:584?::a731=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73>=8381<7>t$23f>4653A9><6F<359jb6<722e:584?::a73?=8391<7>t$23f>7g<@:?;7E=<4:ke0?6=3`l>6=44o0;6>5<52;294~"49l0:5<7>53;294~"49l0:5:5G3428L6533`l?6=44ig794?=h90?1<75rb8694?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm36294?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3d494?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39394?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3ef94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm39a94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm40094?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm3`594?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm9183>7<729q/?=3:17pl62;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qok7:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn9?::181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn8m50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi9o4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th>m7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=?0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd2=3:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg3329096=4?{%12a?7782B89=5G3268mc5=831d=4;50;9~f05=8381<7>t$23f>4673A9><6F<359jb6<722e:584?::a17<72;0;6=u+30g9556<@:?;7E=<4:ke7?6=3f;297>5;|`65?6=:3:1=;;hd0>5<6=44}c73>5<5290;w)=>e;334>N4=91C?>:4ig194?=h90?1<75rb5d94?4=83:p(>?j:023?M5282B8?95ff283>>i61<0;66sm4d83>7<729q/?=3:17pl94;296?6=8r.8=h4>019K706<@:9?7dh<:188k4?22900qo8<:181>5<7s-9:i7??0:J015=O;:>0ek=50;9l5<3=831vn;<50;094?6|,:;n6<>?;I164>N4;=1bj>4?::m2=0<722wi:<4?:383>5}#;8o1==>4H273?M54<2cm?7>5;n3:1?6=3th=<7>52;294~"49l0:<=5G3428L6533`l86=44o0;6>5<9483>>{e=m0;6?4?:1y'74c=99:0D>;?;I100>oa;3:17b?65;29?xd203:1>7>50z&05`<6891C?8>4H217?l`42900c<7::188yg5?i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg2683:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5cj3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5?=3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50j3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5c<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5d;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg51l3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5b83:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>93:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5f13:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5a?3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>03:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg5>i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg50n3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44;3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg41<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42m3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4203:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg42:3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg43>3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg4383:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44i3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg44<3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yg45k3:1>7>50z&05`<61?1C?8>4H217?l`42900c<7::188yv472909w0=93;3e?851?3l87p}=1;296~;4>=0:j63<698e7>{t:>0;6?u2376962=:;?i1j>5rs2494?4|V:<019k5f29~w61=838pR>94=5d9b6=z{:21<7750;0xZ6?<5<;1j>5rs2c94?4|V:k018<5f29~w6d=838pR>l4=419b6=z{:i1<7j50;0xZ6b<55rs2g94?4|V:o01885f29~w6`=838pR>h4=459b6=z{=:1<7=n:1v9?50;0xZ17<5<31j>5rs5094?4|V=8018o5f29~w15=838pR9=4=4`9b6=z{=?1<75rs5594?4|V==018k5f29~w1>=838pR964=4d9b6=z{=31<75rs5`94?4|V=h01;<5f29~w1e=838pR9m4=719b6=z{=n1<7=2wx8k4?:2y>70b=?27?=;48;<6e>4?23ty><7>53z?01a::764=4295<3d=:<8<1m63:2;3:1>{t=:0;6>u234f9f>;39?0i70;<:0;6?xu2<3:1?v3<5e8`?826>3i018:51878yv322908w0=:d;f891712m16984>949~w00=839p1>;k:d9>040=m27>:7?65:p12<72:q6?8j5f:?7530:?6=2wx944?:2y>70b=98168<85109>1<<61<1v8o50;1x963c289019?9:01890g=90?0q~;m:181852l3;?70;m:0;6?xu2k3:1>v3<5e822>;2k3;296s|5e83>7}:<8<1=:525e82=0=z{4><55<5s4>::7?6;<7e>4?23ty=<7>52z?753<6i27=<7?65:p24<72;q68<851c9>24<61<1v;<50;0x917128i01;<51878yv042908w0=:d;3g?826>3;o708<:0;6?xu1<3:1?v3<5e82a>;39?0:i6394;3:1>{t><0;68u234f920=:<8<1:852376920=:;21<73?<5:?n6;h4}r:e>5<5sW2m707?:g18yv?72909w0=93;:b?8?7283>7p}61;296~X>9272>7h<;|q:6?6=>r789i47e:?753=2wx5>4?:3y]=6=:1=0m?6s|9583>7}:;?914o529582=0=z{l=1<7=n:1vh650;0x96042h<01h651878yv`d2909w0=:d;d`?852m3lh7p}>0283>7}:;?>1===4=240>4643ty:=?4?:3y>732=98801>8<:031?xu6900;6?u234f954?<5:3483>7}:;;4=247>4523ty:?l4?:3y>732=9:k01>8<:01b?xu6<>0;6?u23769511<5:<86<6m;|q2<5<72;q6?;:519289604282j7p}>c383>7}Y9j801?7p}>c483>7}Y9j?01?=<:0;6?xu6k?0;6?uQ1b489753283>7p}>c683>7}Y9j=01?=n:0;6?xu6k10;6?uQ1b:89727283>7p}>c883>7}Y9j301?:9:0;6?xu6kh0;6?uQ1bc8972d283>7p}>cc83>7}Y9jh01?;=:0;6?xu6kj0;6?uQ1ba8973?283>7p}>ce83>7}Y9jn01?;j:0;6?xu6kl0;6?uQ1bg89703283>7p}>d183>7}:;4=535>4b73ty9>n4?:3y>040=9j801?v3;1782g6=:::81j>5rs310>5<5s4>::7?l5:?176>:50;0x917128i=70<<4;d0?xu5;h0;6?u240495f1<5;9j6k=4}r074?6=:r7?=;4>c99>616=n:1v?:9:181826>3;h563=478e7>{t:=i1<74ef348?o7h<;|q117<72;q68<851b`897352o90q~<:8;296~;39?0:on5224:9b6=z{;?n6=4={<622?7dl2799h4i3:p632=838p19?9:0af?841<3l87p}=7183>7}:;:>4=535>7173ty9nh4?:3y>732=:hi01>;j:3`1?xu5jo0;6?u240496de<5:?n6?ok;|q1g1<72;q68<852b68963d2;i?7p}<0083>7}:<8<1?=?4=27`>6663ty8<>4?:3y>040=;9901>;l:220?xu4800;6?u234f975?<5:?26k=4}r124?6=:r789i4<119>737=n:1v>?i:181852k39:j63<638e0>{t;;h1<764e349>m7h;;|q01=<72;q6?8k56`9>70g=90?0q~=:9;296~;4=00:585234c9b0=z{:?m6=4={<16a?4e?278:?4>949~w6072909w0=91;3:1>;4>;0m96s|37794?4|V:<>70=9a;3:1>{t;?<1<74?2349=57h;;|q022<72;q6?;651878960>2o?0q~=9a;296~;4>00:585237c9b6=z{:;4>m0:585rs24g>5<5s49=o7?65:?02a;4?90:585rs252>5<5sW9<=63<7382=0=z{:=96=4={<157?51m278;?4i3:p72g=838pR>9n;<14f?7>=2wx?:l50;0x96042:=j70=8b;d0?xu4?l0;6?uQ36g8961a283>7p}<7g83>7}:;c552z\0<5=:;1;1j>5rs2:2>5<5s49=?7=8c:?0<4<61<1v>6=:181[5?:278484>949~w6>22909w0:>6;1;6>;40<0m?6s|39;94?4|V:2270=7a;3:1>{t;1k1<76>?3493m7h<;|q0c552z?026<40116?5m51878yv5>83:1>vP<919>7<7=90?0q~=61;296~;4>:085=523839b6=z{:3?6=4={_1:0>;4110:585rs2;;>5<5s49=87=64:?0==3492m7?65:p78<:2;:?85>i3l87p}7}Y;h<01>o8:g18yv5f?3:1?v3;1780e0=:;?>1?l;4=2c4>4?23ty8m54?:3y]7d><5:k26<7:;|q0e<<72;q6?;=53`7896g>2o90q~=l0;296~X4k916?n=51878yv5d;3:1>v3;1780g5=:;j91j>5rs2f2>5<5sW9o=63j<;<1g0?7>=2wx?i:50;0x91712:on70=k4;d0?xu4l<0;6?uQ3e7896be283>7p}7}:<8<1?i;4=2fa>c552z\0`f=:;mn1j>5rs2fg>5<4s49>h7=kc:?026<4lj16?ij51878yv5cm3:1>vP7`6=90?0q~=j0;296~;39?08hh523d29b6=z{:o:6=4={_1f5>;4m:0:585rs2g0>5<5s4>::7=j1:?0a6{t;l=1<7k;;<1f51878yv2683:1>v3<5d874f=:<8:1j>5rs532>5<5sW>:=63;138e7>{t<881<716d34>:>7?65:p042=838pR9?;;<621?`43ty?=84?:5y>70b=<89019?9:530?851<3>:?63;1482=0=zugoj=7>52zJ071=zflk96=4={I100>{imh91<7=;;|lfe=<728qC?>:4}ogb=?6=9rB8?95rndcb>5<6sA9886sae``94?7|@:9?7p`jab83>4}O;:>0qcknd;295~N4;=1vbhoj:182M54<2weilh50;3xL6533tdnn=4?:0yK76251zJ071=zflh96=4>{I100>{imk91<7?tH217?xhbj=0;6=;;|lff=<728qC?>:4}oga=?6=9rB8?95rnd`b>5<6sA9886saec`94?5|@:9?7p`jbb83>6}O;:>0qckmd;297~N4;=1vbhlj:180M54<2weioh50;1xL6533tdno=4?:2yK76253zJ071=zfli96=4<{I100>{imj91<7=tH217?xhbk=0;69uG3268ykcd=3:1>vF<359~j`e12908wE=<4:maf1=83>pD>=;;|lfg=<72;qC?>:4}og`=?6=:rB8?95rndab>5<5sA9886saeb`94?4|@:9?7p`jcb83>7}O;:>0qckld;296~N4;=1vbhmj:181M54<2weinh50;0xL6533tdnh=4?:2yK76253zJ071=zfln96=49{I100>{imm91<7=tH217?xhbl=0;6vF<359~j`b1290:wE=<4:maa1=83=;;|lf`=<728qC?>:4}ogg=?6=:rB8?95rndfb>5<6sA9886saee`94?4|@:9?7p`jdb83>6}O;:>0qckkd;297~N4;=1vbhjj:180M54<2weiih50;1xL6533tdni=4?:2yK76253zJ071=zflo96=4<{I100>{iml91<7=tH217?xhbm=0;6>uG3268ykcb=3:1=vF<359~j`c1290:wE=<4:ma`1=83;pD>=;;|lfa=<728qC?>:4}ogf=?6=9rB8?95rndgb>5<6sA9886saed`94?7|@:9?7p`jeb83>4}O;:>0qckjd;295~N4;=1vbhkj:182M54<2weihh50;3xL6533tdnj=4?:0yK76253zJ071=zfll96=4={I100>{imo?1<7vF<359~j``?2909wE=<4:mac?=838pD>=;;|lfbd<72;qC?>:4}ogef?6=:rB8?95rndd`>5<5sA9886saegf94?4|@:9?7p`jfd83>6}O;:>0qckif;297~N4;=1vbk>?:180M54<2wej=?50;1xL6533tdm53zJ071=zfo:?6=4={I100>{in9?1<7vF<359~jc6?2909wE=<4:mb5?=83;pD>=;;|le4d<72;qC?>:4}od3f?6=9rB8?95rng2`>5<6sA9886saf1f94?7|@:9?7p`i0d83>4}O;:>0qch?f;295~N4;=1vbk??:182M54<2wej54zJ071=zfo;?6=4>{I100>{in8?1<7vF<359~jc7?290:wE=<4:mb4?=838pD>=;;|le5d<728qC?>:4}od2f?6=:rB8?95rng3`>5<6sA9886saf0f94?4|@:9?7p`i1d83>4}O;:>0qch>f;296~N4;=1vbk?4?:0yK76252zJ071=zfo8?6=4>{I100>{in;?1<7vF<359~jc4?290:wE=<4:mb7?=839pD>=;;|le6d<728qC?>:4}od1f?6=:rB8?95rng0`>5<6sA9886saf3f94?4|@:9?7p`i2d83>4}O;:>0qch=f;296~N4;=1vbk=?:182M54<2wej>?50;0xL6533tdm??4?:0yK76252zJ071=zfo9?6=4>{I100>{in:?1<7=tH217?xha;?0;6vF<359~jc5?290:wE=<4:mb6?=838pD>=;;|le7d<728qC?>:4}od0f?6=;rB8?95rng1`>5<6sA9886saf2f94?4|@:9?7p`i3d83>4}O;:>0qch52zJ071=zfo>?6=4>{I100>{in=?1<7:tH217?xh6i=0;6=83;pD>=;;|l2e<<728qC?>:4}o3be?6=9rB8?95rn0ca>5<6sA9886sa1`a94?7|@:9?7p`>ae83>4}O;:>0qc?ne;295~N4;=1vb50;3xL6533td:n<4?:0yK7627>51zJ071=zf8h86=4>{I100>{i9k>1<7?tH217?xh6j<0;6=;;|l2fd<728qC?>:4}o3af?6=9rB8?95rn0`g>5<6sA9886sa1cg94?7|@:9?7p`>bg83>4}O;:>0qc?l0;295~N4;=1vb:182M54<2we=n<50;3xL6533td:o>4?:0yK76251zJ071=zf8i=6=4>{I100>{i9j=1<7?tH217?xh6k10;6=;;|l2ga<728qC?>:4}o3`a?6=9rB8?95rn0ae>5<6sA9886sa1e394?7|@:9?7p`>d383>4}O;:>0qc?k3;295~N4;=1vb51zJ071=zf8n36=4>{I100>{i9m31<7?tH217?xh6lh0;6=;;|l2``<728qC?>:4}o3gb?6=9rB8?95rn0g3>5<6sA9886sa1d394?7|@:9?7p`>e383>4}O;:>0qc?j3;295~N4;=1vb51zJ071=zf8o36=4>{I100>{i9l31<7?tH217?xh6mh0;6=;;|l662<728qC?>:4}o71=?6=9rB8?95rn40b>5<6sA9886sa53`94?7|@:9?7p`:2b83>4}O;:>0qc;=d;295~N4;=1vb8?=4?:0yK76251zJ071=zf<9m6=4>{I100>{i==:1<7?tH217?xh2<80;6=;;|l600<728qC?>:4}o772?6=9rB8?95rn464>5<6sA9886sa55:94?7|@:9?7p`:4883>4}O;:>0qc;;a;295~N4;=1vb8:m:182M54<2we99m50;3xL6533td>8i4?:0yK76251zJ071=zf<>m6=4>{I100>{i=<:1<7?tH217?xh2=80;6=;;|l610<728qC?>:4}o762?6=9rB8?95rn474>5<6sA9886sa54:94?7|@:9?7p`:5883>4}O;:>0qc;:a;295~N4;=1vb8;m:182M54<2we98m50;3xL6533td>9i4?:0yK762i7>51zJ071=zf{I100>{i=?:1<7?tH217?xh2>80;6=;;|l620<728qC?>:4}o752?6=9rB8?95rn444>5<6sA9886sa57:94?7|@:9?7p`:6883>4}O;:>0qc;9a;295~N4;=1vb88m:182M54<2we9;m50;3xL6533td>:i4?:0yK76251zJ071=zf<{I100>{i=>:1<7?tH217?xh2?80;6=;;|l630<728qC?>:4}o742?6=9rB8?95rn454>5<6sA9886sa56:94?7|@:9?7p`:7883>4}O;:>0qc;8a;295~N4;=1vb89m:182M54<2we9:m50;3xL6533td>;i4?:0yK76251zJ071=zf<=m6=4>{I100>{i=1:1<7?tH217?xh2080;64290:wE=<4:m1=2=83;pD>=;;|l6<0<728qC?>:4}o7;2?6=9rB8?95rn4:4>5<6sA9886sa59:94?7|@:9?7p`:8883>4}O;:>0qc;7a;295~N4;=1vb86m:182M54<2we95m50;3xL6533td>4i4?:0yK76251zJ071=zf<2m6=4>{I100>{i=0:1<7?tH217?xh2180;6:3:1=vF<359~j0?4290:wE=<4:m1<2=83;pD>=;;|l6=0<728qC?>:4}o7:2?6=9rB8?95rn4;4>5<6sA9886sa58:94?7|@:9?7p`:9883>4}O;:>0qc;6a;295~N4;=1vb87m:182M54<2we94m50;3xL6533td>5i4?:0yK76251zJ071=zf<3m6=4>{I100>{i=h:1<7?tH217?xh2i80;6=;;|l6e0<728qC?>:4}o7b2?6=9rB8?95rn4c4>5<6sA9886sa5`:94?7|@:9?7p`:a883>4}O;:>0qc;nb;295~N4;=1vb8ol:182M54<2we9lj50;3xL6533td>mh4?:0yK76251zJ071=zf{I100>{i=k;1<7?tH217?xh2j;0;6=;;|l6f3<728qC?>:4}o7a3?6=9rB8?95rn4`;>5<6sA9886sa5c;94?7|@:9?7p`:b`83>4}O;:>0qc;mb;295~N4;=1vb8ll:182M54<2we9oj50;3xL6533td>nh4?:0yK76251zJ071=zf{I100>{i=j;1<7?tH217?xh2k;0;6=;;|l6g3<728qC?>:4}o7`3?6=9rB8?95rn4a;>5<6sA9886sa5b;94?7|@:9?7p`:c`83>4}O;:>0qc;lb;295~N4;=1vb8ml:182M54<2we9nj50;3xL6533td>oh4?:0yK76251zJ071=zf{I100>{i=m;1<7?tH217?xh2l;0;6=;;|l6`3<728qC?>:4}o7g3?6=9rB8?95rn4f;>5<6sA9886sa5e;94?7|@:9?7p`:dc83>4}O;:>0qc;kf;295~N4;=1vb8k=:182M54<2we9h=50;3xL6533td>i;4?:0yK76251zJ071=zf{I100>{i=l31<7?tH217?xh2mk0;6=;;|l6b5<728qC?>:4}o7e1?6=9rB8?95rn4d5>5<6sA9886sa5g594?7|@:9?7p`:f983>4}O;:>0qc96c;295~N4;=1vb:7i:182M54<2we;l>50;3xL6533td7>51zJ071=zf>k86=4>{I100>{i?h>1<7?tH217?xh0i<0;6=;;|l4e`<728qC?>:4}o5bb?6=9rB8?95rn6`3>5<6sA9886sa7c394?7|@:9?7p`8b383>4}O;:>0qc9m3;295~N4;=1vb:l;:182M54<2we;o950;3xL6533td51zJ071=zf>hj6=4>{I100>{i?kh1<7?tH217?xh0jj0;6=;;|l4g5<728qC?>:4}o5`6?6=9rB8?95rn6a0>5<6sA9886sa7b694?7|@:9?7p`8c483>4}O;:>0qc9l6;295~N4;=1vb:m8:182M54<2we;n650;3xL6533td51zJ071=zf>ii6=4>{I100>{i?ji1<7?tH217?xh0km0;6=;;|l4`4<728qC?>:4}o5g6?6=9rB8?95rn6f0>5<6sA9886sa7e694?7|@:9?7p`8d483>4}O;:>0qc9k7;295~N4;=1vb:j7:182M54<2we;i750;3xL6533td51zJ071=zf>nh6=4>{I100>{i?mn1<7?tH217?xh0ll0;6=;;|l4a7<728qC?>:4}o5f7?6=9rB8?95rn6g7>5<6sA9886sa7d794?7|@:9?7p`8e783>4}O;:>0qc9j7;295~N4;=1vb:k7:182M54<2we;h750;3xL6533td51zJ071=zf>oh6=4>{I100>{i?ln1<7?tH217?xh0ml0;6=;;|l4b7<728qC?>:4}o5e7?6=9rB8?95rn6d7>5<6sA9886sa7g794?7|@:9?7p`8f783>4}O;:>0qc9i7;295~N4;=1vb:h7:182M54<2we;k750;3xL6533td51zJ071=zf>lh6=4>{I100>{i?on1<7?tH217?xh0no0;6783:1=vF<359~j=66290:wE=<4:m<52=83;pD>=;;|l;40<728qC?>:4}o:`4?6=9rB8?95rn9a2>5<6sA9886sa8b094?7|@:9?7p`7c583>4}O;:>0qc6l5;295~N4;=1vb5m8:182M54<2we4n650;3xL6533td3o44?:0yK76251zJ071=zf1ih6=4>{I100>{i0jn1<7?tH217?xh?kl0;6dn3:1=vF<359~j=b7290:wE=<4:m=;;|l;`1<728qC?>:4}o:g2?6=9rB8?95rn9f4>5<6sA9886sa8e:94?7|@:9?7p`7d`83>4}O;:>0qc6kb;295~N4;=1vb5jl:182M54<2we4ik50;3xL6533td3hk4?:0yK76251zJ071=zf1o:6=4>{I100>{i0l81<7?tH217?xh?m:0;6b<3:1=vF<359~j=c2290:wE=<4:m<`0=83;pD>=;;|l;a2<728qC?>:4}o:f5<6sA9886sa8dc94?7|@:9?7p`7ec83>4}O;:>0qc6jf;295~N4;=1vb5h?:182M54<2we4k<50;3xL6533tdin<4?:0yK7627>51zJ071=zfkh86=4>{I100>{ijk>1<7?tH217?xhej<0;63:1=vF<359~jgd0290:wE=<4:mfg>=83;pD>=;;|laf<<728qC?>:4}o`ag?6=9rB8?95rnc`g>5<6sA9886sabcg94?7|@:9?7p`mbg83>4}O;:>0qcll1;296~N4;=1vbom=:182M54<2wenn=50;3xL6533tdio94?:0yK76251zJ071=zfki=6=4>{I100>{ijj=1<7?tH217?xhek10;6?uG3268ykdd13:1=vF<359~jgef290:wE=<4:mffd=838pD>=;;|lagf<728qC?>:4}o```?6=9rB8?95rncaf>5<5sA9886sabbd94?7|@:9?7p`md183>4}O;:>0qclk1;296~N4;=1vboj=:182M54<2weni=50;3xL6533tdih94?:3yK76252zJ071=zfkn=6=4={I100>{ijm=1<7?tH217?xhel10;6=;;|la`f<728qC?>:4}o`g`?6=9rB8?95rncff>5<6sA9886sabed94?7|@:9?7p`me183>4}O;:>0qclj1;295~N4;=1vbok=:182M54<2wenh=50;0xL6533tdii94?:3yK76252zJ071=zfko=6=4={I100>{ijl=1<7?tH217?xhem10;6=;;|laaf<728qC?>:4}o`f`?6=9rB8?95rncgf>5<6sA9886sabdd94?7|@:9?7p`mf183>4}O;:>0qcli2;295~N4;=1vboh<:182M54<2wenk:50;3xL6533tdij84?:0yK76251zJ071=zfkl<6=4>{I100>{ijo21<7?tH217?xhen00;6=;;|laba<728qC?>:4}o`eb?6=9rB8?95rnb23>5<6sA9886sac1394?7|@:9?7p`l0583>4}O;:>0qcm?5;295~N4;=1vbn>9:182M54<2weo=950;3xL6533tdh<54?:0yK76251zJ071=zfj:j6=4>{I100>{ik9h1<7?tH217?xhd8j0;6=;;|l`55<728qC?>:4}oa25?6=9rB8?95rnb31>5<6sA9886sac0194?7|@:9?7p`l1583>4}O;:>0qcm>5;295~N4;=1vbn?9:182M54<2weo<950;3xL6533tdh=54?:0yK76251zJ071=zfj;j6=4>{I100>{ik8h1<7?tH217?xhd9j0;6=;;|l`67<728qC?>:4}oa17?6=9rB8?95rnb07>5<6sA9886sac3794?7|@:9?7p`l2783>4}O;:>0qcm=7;295~N4;=1vbn<7:182M54<2weo?o50;3xL6533tdh>o4?:0yK76251zJ071=zfj8o6=4>{I100>{ik;o1<7?tH217?xhd:o0;6=;;|l`7=<728qC?>:4}oa0=?6=9rB8?95rnb1b>5<6sA9886sac2`94?7|@:9?7p`l3b83>4}O;:>0qcm50;3xL6533tdh8<4?:0yK7627>51zJ071=zfj>86=4>{I100>{ik=>1<7?tH217?xhd<<0;63:1=vF<359~jf20290:wE=<4:mg1>=83;pD>=;;|l`0<<728qC?>:4}oa7e?6=9rB8?95rnb6a>5<6sA9886sac5g94?7|@:9?7p`l4g83>4}O;:>0qcm:0;295~N4;=1vbn;=:182M54<2weo8=50;3xL6533tdh994?:0yK76297>51zJ071=zfj?=6=4>{I100>{ik<=1<7?tH217?xhd=10;6=;;|l`1f<728qC?>:4}oa6`?6=9rB8?95rnb7f>5<6sA9886sac4d94?7|@:9?7p`l6183>4}O;:>0qcm91;295~N4;=1vbn8=:182M54<2weh5<50;3xL6533tdo494?:3yK76252zJ071=zfm2=6=4={I100>{il1=1<7vF<359~ja>f2909wE=<4:m`=d=838pD>=;;|lg:4}of;`?6=:rB8?95rne:f>5<5sA9886sad9d94?4|@:9?7p`k9183>7}O;:>0qcj61;296~N4;=1vbi7=:182M54<2weh4=50;0xL6533tdo594?:3yK76252zJ071=zfm3=6=4={I100>{il0=1<713:1>vF<359~ja?f290:wE=<4:m`=;;|lg=f<728qC?>:4}of:`?6=9rB8?95rne;f>5<6sA9886sad8d94?7|@:9?7p`ka183>4}O;:>0qcjn1;295~N4;=1vbio=:182M54<2wehl=50;3xL6533tdom94?:0yK76251zJ071=zfmk36=4>{I100>{ilh31<7?tH217?xhcih0;6=;;|lgec<728qC?>:4}ofa4?6=9rB8?95rne`2>5<6sA9886sadc094?7|@:9?7p`kb283>4}O;:>0qcjm4;295~N4;=1vbil::182M54<2weho950;3xL6533tdon54?:0yK76251zJ071=zfmhj6=4>{I100>{ilkh1<7?tH217?xhcjj0;6r@ARxyEF \ No newline at end of file diff --git a/cpld/XC95144XL/MXSE.ngd b/cpld/XC95144XL/MXSE.ngd deleted file mode 100644 index 3dfd89e..0000000 --- a/cpld/XC95144XL/MXSE.ngd +++ /dev/null @@ -1,3 +0,0 @@ -XILINX-XDB 0.1 STUB 0.1 ASCII -XILINX-XDM V1.6e -$5745=23-;B8>;4$0L7044<,[o}e~g`n;"2*73>(-20*R?F42]0<> X9G>?S9?4009257=6:3E^X][[:SC?54<768:0=;4FNQWW>D:5;325==5=1;KMTPR=I58:6<0>4:00>LHW]]0JSK]M<3195;3G;9;4>7L2>3?78E9736<1J0<;15:C?53823H6:;3;4A=3;:0=F483586O31?78E9476>1J0??51?78E9466<1J0?<17:C?66G;07>0M1714:COA53GKM9Ufyu>?01f8EIC7Wds<=>?1e9BH@6Xe|r;<=>=d:COA5Yj}q:;<==k;@NF4Zkrp9:;<9:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb7LQISC;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8_H@VBa=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD7?GGD\<1IMNZ>5:@BGQ423KKHX:4BDPQ1>DBZ[:=7OK]R1pf?GCUZ9xTSb|?012e?GCUZ9xTSb|?0122b>DBZ[:ySRa}012360=EM[X::6LJRS3qa>DBZ[;ySRa}0123b>DBZ[;ySRa}01235c=EM[X:~RQ`r12347385MESP01>DBZ[>>7OK]R4;8F@TUW@EI_i5MESP\[dhc89:;i6LJRS]\ekb789::o6LJRS]\kw6789n0NH\]_^mq45679m1II_\P_np34565l2HN^_QPos23455c3KOY^RQ`r12341bDBZ[xm56LJRSpe[K6f3KOY^hPN135?GCUZ{xn7OK]Rsp\jjr789;m7OK]Rsp\jjr789;:?6LZF028FPOKLV^J^JK[ASAK<>DR[VCEJB?4C59@53K43J2F86MNCU08G@5EKZ:1HBY74CNONMQRBL>1H^HO[EE08GV0i;Bnfew7Xign;<=>>1:Ff?ATDWZ_HOH]\FTN6?ARFKB<0HYOLK058@QGDC{l<7IZNCJpqb>BSIJAy~R``t12354669Geqg;97;0I85JS=2=1>CT484>7H]32?78AV:46<1N_1:14:GP[5b_^cm`56788o0I^Q>_^cm`5678;>0I^Q=d:GP[7YXign;<=>j;DQ\6ZYffm:;<=?j;DQ\6ZYffm:;<=<;;DQ\7a=B[V9TSl`k0123a>CTW:UTmcj?0122a>CTW:UTmcj?01210>CTW=n0I^Q;_^cm`5678l1N_R:P_`lg45679l1N_R:P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2;>0;8AVYJimnTSljk0123[Zgil9:;<?_^cm`56788;27H]PM`fg[Zgcl9:;?0032=>CTWDkohRQnde2345YXign;<=<>a:GP[HgclVUjhi>?01]\ekb7898:h6K\_P]2[jt789:n7H]PQ^3\kw6789;m7H]PQ^3\kw6789;;j6K\_P]2[jt789::=k5JS^S\5Ziu89:;=?k4ER]R[4Yhz9:;k4ER]R[4Yhz9:;<9k4ER]R[4Yhz9:;<8k4ER]R[4Yhz9:;<;k4ER]R[4Yhz9:;<:k4ER]R[4Yhz9:;<5k4ER]R[4Yhz9:;<4k4ER]\eab789:7=3k4ER]\eab789:7>3k4ER]\eab789:7?3k4ER]\eab789:783;4EUC@Oa=B\HI@Sl`k0123a>CSIJATmcj?0122a>CSIJATmcj?0121a>CSIJATmcj?0120a>CSIJATmcj?0127a>CSIJATmcj?0126a>CSIJATmcj?0125<>C_XHDOII?>;DZSEKBBLVHHHRHFLD37?@^WIGNNHRM@NRVQELHS[8;0IU^NNEGG[LUBWOCGI85J_HMAe>CXAFHTEO[I2:Gq7>Cu:k1N~RMck^KMR43?5F339J07=N=;1B:?5F759JEFU23@KH_<;4I@AP60=NIJY886GMUG08MK>l1BCLM[_lw{4567?l1BCLM[_lw{45670l1BCLM[_lw{45671?1BCLM[r79JKGCUZl1BCOK]R^llp5679o1BCOK]R^llp56798l0EBLJRS]mkq67888m7DAMESP\jjr789;886G@BL68MJDT>2CDOBC_4:KLGV3?0e9JKI6Xe|r;<=>>d:KLH5Yj}q:;<=j4INN3[hs89:;8i5FOM2\ip~789:>86G@L0a8MJJ6Wge<=>>d:KLH4Yig}:;<1BC]YLS578MJTBYm1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345668l1BC_K^_lw{4567:l1BC_K^_lw{4567;l1BC_K^_lw{4567l1BC_K^_lw{4567?l1BC_K^_lw{45670l1BC_K^_lw{45671?1BC_K^r49JKWQ7l2CD^Z>Pmtz3456b3@EY[=Qbuy23457b3@EY[=Qbuy23454b3@EY[=Qbuy23455b3@EY[=Qbuy23452c3@EY[=Qaou2344ci6G@RV3\ekb789:=;6G@Rdcg}46=c:KLWZ@TEVLMh4INQ\BVKXNOn9!D`>119JKVYA[DUMJi<"Io024>OH[VLXARHId3/Jj6eOH[VLXARHId2/Jj46??;HMP[CUJWOLo? Ga4028MJUXNZGTJKj<-Hl655=NGZUM_@QIFe1.Mk0682CD_RH\M^DE`6+Nf>;;7DA\_GQN[C@c;$Ce4<>4INQ\BVKXNOn8!D`6c:KLWZ@TEVLMh9m4INQ\BVKXNOn>o6G@S^DPIZ@Al?i0EB]PFRO\BCb0n2CD_RH\M^DE`2+Nf8:0EB]PFRO\BCb0%@d:==5FOR]EWHYANm=&Ec<>0:KLWZ@TEVLMh:#Fn2a8MJUXNZGTJKj7f:KLWZ@TEVLMh5#Fn028MJUXNZGTJKj7-Hl255=NGZUM_@QIFe:.Mk4682CD_RH\M^DE`=+Nf:;;7DA\_GQN[C@c0$Ce8;5FOU[SA1=NG\:h7DAZ0^ov|5678m1BCX>Pmtz34566l2CDY=Qbuy23454c3@E^OH]8i0EB[>_omw4566l2CDYMBMYUCEH\NRBJZ3>JNJ[KYU;5CO@FF@2=KGNCHMAo4LQO\\JT5W8?0@bok1d9Okdb6WVkeh=>?059N\VC692GS_HQ[ILGPT@EX]JLh7@oeosTfvvohfj1Feca}Vdppmjh53G:97C?8;OGWSJTL92E?7BLZF29LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=d:Mmg`YXg{:;<==k;Nl`aZYhz9:;<9j4Ooaf[Ziu89:;9i5@nbg\[jt789:=h6Aacd]\kw6789=o7B`le^]lv56781n0Ccmj_^mq4567181[o6^!21305574WE90\LJ;;QCQP61?01"sW%NNOA*><#>?0123456'-:>0\H\FOE"!GIMXAFH),: 732434567(DBq,EGHH!73*56789:;<=.*249SAWOHL3IGG?VPIN@950(1>>81AEt5HHEK>06":91[I_G@D;AOOZ@TJ3>;<=>5pR8KMBN==9/9>6^JRHMG>FJLW@EI6: 73249IM|=@@MC68>*a:RJJZDR[@NSn6^FN^@VWKGJM:1[^Hl4PR]EWHYANm;n7]]PFRO\BCb6%@dm7]]PFRO\BCb6%@d:j6^\_GQN[C@c9$Ce>o5_S^DPIZ@Al;o0\^QISL]EBa4*Agl0\^QISL]EBa4*Ag;:<6^\_GQN[C@c:$Ce==??;QQ\BVKXNOn9!D`>1028TVYA[DUMJi<"Io3155=W[VLXARHId3/Jj45a3YYTJ^CPFGf1)Lh5n2ZXSK]B_GDg6(Oi;o1[_RH\M^DE`7+Nf=l0\^QISL]EBa4*Ag?m7]]PFRO\BCb5%@d=j6^\_GQN[C@c:$Ce;k5_S^DPIZ@Al;'Bb5h4PR]EWHYANm8&Ec7m;QQ\BVKXG|~;o6^\_GQN[Jss88i0\^QISL]Lqq65l2ZXSnc_ds345663X?0^L2?>79QE977611YM1?>:1<5?WG;984>7_O31?78VD:56<1YM1=15:PB81823[K793;4R@>5:0=UI5=596\N<9<6?WG;1790^LC:;SCNGV084R@O@W10_lw{4567991YM@JFS0]nq}6789;:=6\NMEKP5Zkrp9:;<<>>1:PBIAOT9Vg~t=>?00325>TFEMCX=Rczx123444692XJAIG\1^ov|567889:=6\NMEKP5Zkrp9:;<<:>1:PBIAOT9Vg~t=>?00725>TFEMCX=Rczx123440692XJAIG\1^ov|56788=:=6\NMEKP5Zkrp9:;<<6>1:PBIAOT9Vg~t=>?00;24>TFEMCX=Rczx12347773[KFHD]>_lw{4567;8:0^LCKIR3\ip~789:?==5]ALFJW4Yj}q:;<=;>0:PBIAOT9Vg~t=>?0733?WGJL@Y:S`{w0123346TFEMCX>RQ`r1234460:PBIAOT:VUd~=>?0233?WGJL@Y9SRa}0123046?8028VDKCAZ8TSb|?012:3>TFEMCX?:5]ALFJW11>139QEHTbimsTaxv?01224442:PBIWcflpUfyu>?013057=UIDXnmiwPmtz34566<880^LC]e`fz[hs89:;=8?=;SCNV`gcqVg~t=>?00425>TFE[ojhtQbuy23454692XJA_kndx]nq}67899:=6\NMSgb`|Yj}q:;<=:>1:PBIWcflpUfyu>?01725>TFE[ojhtQbuy23450692XJA_kndx]nq}6789=:=6\NMSgb`|Yj}q:;<=6>1:PBIWcflpUfyu>?01;6?WGTMEn0^L]JL^ov|5678l1YM^KC_lw{45679o1YM^KC_lw{456799l0^L]JL^ov|56788;m7_O\EM]nq}6789;9j6\NSDN\ip~789::?k5]ARGO[hs89:;=9h4R@QFHZkrp9:;<<;i;SCPAIYj}q:;<=?9f:PBW@JXe|r;<=>>7g9QEVCKWds<=>?19d8VDUBDVg~t=>?00;f?WGTMEUfyu>?010e?WGTMEUfyu>?0103b>TF[LFTaxv?01215c=UIZOGS`{w012367`o0^L]JL^ov|56781o0^L]JL^ov|5678030^LQ?_N@VBf=UIV:Taxv?012g?WGX8Vg~t=>?00f8VDY7Wds<=>?2e9QEZ6Xe|r;<=>3[KT=RAMUGa8VDY6Wds<=>?d:PB[4Yj}q:;<=?k;SC\5Zkrp9:;Rczx1234a=UIV8Taxv?0122`>TFW;Ufyu>?010g?WGX:Vg~t=>?02f8VDY5Wds<=>?489QEZ5XGK_Mo6\N_2]nq}6789n0^LQ<_lw{45679m1YMR=Pmtz34565l2XJS>Qbuy23455c3[KT?Rczx12341?k;SC\0Zkrp9:;<i5]A^6\ip~789:8h6\N_5]nq}6789>27_OP5^MAQCeS`{w0123`>TFW?013g?WGX=Vg~t=>?03f8VDY2Wds<=>?3e9QEZ3Xe|r;<=>;9:PB[3YHJ\Lh7_OP6^ov|5678m1YMR8Pmtz34566l2XJS;Qbuy23454c3[KT:Rczx12346b?00f8VDY?Wds<=>?2e9QEZ>Xe|r;<=>TB[=1YI^?:;SGPvc30028VVYA[DUMJi?"Io3255=U[VLXARHId0/Jj44682XXSK]B_GDg5(Oi9:;;7_]PFRO\BCb6%@d:8k5]S^DPIZ@Al8'Bb?h4RR]EWHYANm;&Ec=i;SQ\BVKXNOn:!D`;f:PP[CUJWOLo= Ga5g9QWZ@TEVLMh<#Fn7d8VVYA[DUMJi?"Io5e?WUXNZGTJKj>-Hl;b>TTWOYFSKHk1,Km=g=U[VLXARHId3g8VVYA[DUMJi<"Iod8VVYA[DUMJi<"Io324>TTWOYFSKHk2,Km55773[YTJ^CPFGf1)Lh698:0^^QISL]EBa4*Ag;9==5]S^DPIZ@Al;'Bb<=>0:PP[CUJWOLo> Ga1533?WUXNZGTJKj=-Hl21469g9QWZ@TEVLMh?#Fn3d8VVYA[DUMJi<"Io1e?WUXNZGTJKj=-Hl7b>TTWOYFSKHk2,Km1c=U[VLXARHId3/Jj3`#Fn8`8VVYA[DUDyy6l;SQ\BVKXG|~3=n5]S^DPIZIr|18>7_kndx48V`gcq9=0^hoky1pe?Wcflp:ySRa}012355=Umhnr<QPos23457682Xnmiw?r^]lv5678;<0^hoky058V`gcq8xm7_kndx3q[Ziu89:;==5]e`fz5wYXg{:;<=?>0:Pfea6zVUd~=>?0348V`gcq;=0^hoky3pe?Wcflp8ySRa}012355=Umhnr>QPos23457682Xnmiw=r^]lv5678;<0^hoky248V`gcq=k0^hoky^KLFV?i5]e`fz[jt789;8:6\jf@ah=>TbnJd0=06;SgeGkr;9730^hhLnu>1:<=UmoIex1=19:PfbFhs4=427_kiCov?1;?89QacEi|5=546\jfBlw[5>8:PfbFhsW;20^hhLnu]0<>TbnJdS964Rdd@jqY202XnjN`{_7:8V``Df}U<>>5]egAmpZKflmUTmij?012\I}iuW[oxyaz31?00?WcaKg~TAljk_^cg`5678VGscQ]erwop949::1YikMat^Ob`aYXimn;<=>PMymq[Wct}e~7?3<<;SgeGkrXEhnoSRokd1234ZKg{UYi~{ct=6=66=UmoIexRCnde]\eab789:TAua}_Sgpqir;=7887_kiCov\IdbcWVkoh=>?0^O{kwYUmzgx181229QacEi|VGjhiQPaef3456XEqeyS_k|umv?3;413[omOczPM`fg[Zgcl9:;d:PfbFhsWDkohRQnde2345YXign;<=>>e:PfbFhsWDkohRQnde2345YXign;<=>>1e9QacEi|VGjhiQPaef3456XWhdo<=>>1d9QacEi|VGjhiQPaef3456XWhdo<=>>10f8V``Df}UFmijP_`fg4567WVkeh=>?20g8V``Df}UFmijP_`fg4567WVkeh=>?203g?WcaKg~TAljk_^cg`5678VUjbi>?023f?WcaKg~TAljk_^cg`5678VUjbi>?0232`>TbnJdS@okd^]b`a6789UTmcj?0162a>TbnJdS@okd^]b`a6789UTmcj?01625a=UmoIexRCnde]\eab789:TSl`k01265`=UmoIexRCnde]\eab789:TSl`k01265442:PfbFhsWVkoh=>?0=0=57=UmoIexRQnde2345:46880^hhLnu]\eab789:783?=;SgeGkrXWhno<=>?<4<26>TbnJdSRokd12349099;1YikMat^]b`a67896<2:5]egFlj`773[omHb`j_^cm`56788;0^hhKoog\[dhc89:;=k5]egFlj`YXg{:;<=??;Sge@jhbWVey<=>?1008V``CggoTo`~Pep2345743[omHb`j_bos[`w789::=>5]egFlj`YdeyUn}=>?0330?WcaLfdnSnc_ds345649:1YikJ`nd]`iuYby9:;<9?<;Sge@jhbWjg{Sh?012656=UmoNdbhQlmq]fu5678?;87_kiDnlf[fkwWl{;<=>8129QacBhflUha}Qjq1234=743[omHb`j_bos[`w789:2:6\jfSCP2>Tbn[oz;6\jfSgr5<=Umo_ykh`{a:PfbPt`mg~:m6\jfTpdakr5i2XnjX|heov0e>Tbn\xlicz;6:Pfwpjs>2YH_DM\7:Q@WLET9>1XO^GLS358WFUNKZ9<7^M\IBQ73>UD[@IX9>5\EUc8WkbUIDIX[_l4SofQEHET_[;h7^`kR@O@WRT68j1Xbi\NMBQTV47d3Zdo^LCLSVP26g=TfmXJAN]XR3`8WkbUIDIX[_=m;RlgVDKD[^X?n6]adSCNGVQU=k1Xbi\NMBQTV3d<[gnYM@M\WS5a?VhcZHGH_Z\7b:Qm`WGJKZ]Y555[ESOJJDJ?3]CFI^^JC29WJH1<\GGTBHZ;;UPVAf=S[VIGG?VPIN@b?QUXKEATJ^Ln;UQ\GIMXAFHi7Y]PTHOFWUCD>2^XSY@B4:VZT@><\`gncxzN119Wmhch}}KTSb|?01225>Rnele~xLQPos234576:2^bahazt@]\kw6789;;=<5[ilglqqGXWfx;<=>=109Wmhch}}KTSb|?012054=SadodyyOP_np34563981_e`k`uuC\[jt789:>=<5[ilglqqGXWfx;<=>9109Wmhch}}KTSb|?012454=SadodyyOP_np3456?981_e`k`uuC\[jt789:246ZfmdmvpGd<\`gncxzMPsg27>Rnele~xO^}e^]lv56788>0XdcjotvATwcXWfx;<=>>159Wmhch}}H[~hQPos23454682^bahaztC]\kw6789;:7YgbenwwFZYhz9:;<;UknajssJVUd~=>?0332?QojmfNRQ`r123465<]JLj7X]JR^COMDUd3\YN^RXFSH@OA6=QKJ30ZDKX_U[SA6=QXHi0Z]OP_`lg4567l2\[MRQnne23457e3_ZJSRa}0123g>PWIVUd~=>?00a8RUGXWfx;<=>=c:TSEZYhz9:;<>m4VQC\[jt789:?o6X_A^]lv5678Pnl[KFO^Y]65i2a>Pnl[KFO^Y]65i1a>Pnl[KFO^Y]65i0a>Pnl[KFO^Y]65i7a>Pnl[KFO^Y]65i6a>Pnl[KFO^Y]65i5a>Pnl[KFO^Y]65i4a>Pnl[KFO^Y]65i;5a=_AECET VKB!2-5%US]K*;"<.NSBKJ7>^HZ=1SC_<9;YQWHLD03QY_SJ@K7:ZPPZPDK<1SSB@J6:Z\^@TH>2RonRGkb:ZglZVuadCezn5Wdi]SvlkSqyom7Ujg_QpjiScu{`eej6Vkh^Vp`QojmZzno<>4Xeo\Idlhz_oydaa119[`hYJageyZh||inl5?]i;87;87U}{4:XFVJ>?9:]bja6789;27Road12347>?3:amp7=d{:1mol4fr`\jjr789:h7k}m_omw45679m1moQaou234576l2lxnR``t12344433`eia95focq:?kGCg|~GI=o4n@FlqqJB88h0bLJ`uuNF447e3gKOcxzCE131f>hFLf@H>>3d9mEAir|EO;SBLZF89mEAir|EO:m6`NDnwwH@76m2dJHb{{LD3\KGSA;2dJ_:5aAR]EWGehF[VCDNn5aAR]JKGYHJ\Li7cO\_omw4566k2dJ_R``t12354ehF[Vddx=>?12a8jDUXff~;<=?;c:lBWZhh|9:;=8m4n@Q\jjr789;=;6`NotvLAf=iIfCHQ@BTD6?kDBZ[<0bOK]R0;8jGCUZVLXNh5aBDPQ[CUEWFH^J45aBDPQ[LIEm2dII_\PIN@\MGSA<2dHM^j4nBCP[FjlW@D]=:5aC@Q\J5??f:lGPDELWVey<=>?1g9m@QGDCVUd~=>?0348jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345c>1028jAir|FOTbbz?013155=iLfCHQaou23445682dOcxz@E^llp5679=;;7cJ`uuMF[kis89::9<>4nEmvpJCXff~;<=?9119m@jssGLUecy>?00524>hCg|~DIR``t1235=773gNdyyAJ_omw45661=1e@I]7;oNGWZ@TJm1e@I]PFR@\MGSA02dGH^QFOCf8jIBTW@EISBLZFb9mHAUXign;<=?k;oNGWZgil9:;=n5aLEQ\jjr789;o7cBKS^llp56798h0bAJ\_np3457d3gFO_Ra}01225f=iDMYTc>?000`?kJC[Vey<=>>3b9mHAUXg{:;<<:l;oNGWZiu89::9n5aLEQ\kw6788d3gFO_Ra}0122=1=iD^O>7cBXE0a8jIQBWhdo<=>?d:lOS@Yffm:;<=?k;oNTAZgil9:;55aOD]LFP@>3gE}ibny130?kIqm{fju=QNcmp\MKP6k2dDzh|cax2\J5763gE}ibny1]bja6789;97cAyesnb}5Yffm:;<=?>2:lLr`tkip:Tmcj?012157=iGoy`lw?_`lg4567;880bBxjrmcz4Zgil9:;<9?=;oMuawjfq9Ujbi>?01726>hH~lxgmt>Paof3456112dDzh|cax320>hH~lxgmt?PCmiFjZOI^8i0bBxjrmcz5ZH7?2dYM@BXEb9mVDKK_LUDNXH8;oPBIPQBk2dYM@[XE^MAQC20b_K\9:lQAVYNJ\L=7c\@MBQ4?kTHEJY:n6`]OLAP[JDRN?1e^BCXE69mVJKPM8h0b_ABWD]LFP@33g_O_55aUEQ\BVDc3g_O_RH\B^KAQC>?00f8jPQBWhdo<=>?2e9mQRCXign;<=>hQEHUTc>?01:g?kPJIVUd~=>?08a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;55aVQC\BVDc3g\[MRH\B^MAQC>hPMVLXNn5aWD]EWGYNJ\L37cikbtko`6=uid20tn7:01zo54=k0?:89vctp334(7702rb`d`wuo3;?}jipVk~xbQ?_yfa+fus&qhhhpNOp0cfg>FGp8kj6K4;:0yPe7<4180:o84>35454g<6j>;?wc=7e;38j6>a2?1/?5753938yVg62:3:65<628qXm?4<9082g0<6;=<=b637?!?62=?87Eo7;wVe6?6=93;1?5?tS`097<7=9j?1=>:961`95g16<2.8:44>a79U7=e=:r:mn4>;t3b`?6d3|@:<<7)=;f;3be>\>:38p47l5}%3b7?5312c2h7>5;n1`0?6=3`9jm7>5;n67e?6=3`>9<7>5;n62g?6=3f>:<7>5;h1af?6=3f>;o7>5;n1a`?6=3`>:;7>5;h1`2?6=3f9jo7>5;n1a1?6=3f>;i7>5;h67g?6=3f>9>7>5;n1ef?6=3f9j<7>5;n1b2?6=3`9n=7>5;h;:>5<>i4m:0;66a;3083>>i4ko0;66a>o3>i6mj0;6)?lf;3ff>h6kl0;76a>e`83>!7dn3;nn6`>cd82?>i6n<0;6)?lf;3ff>h6kl0976a>f583>!7dn3;nn6`>cd80?>i6n:0;6)?lf;3ff>h6kl0?76a>f383>!7dn3;nn6`>cd86?>i6n80;6)?lf;3ff>h6kl0=76a>f183>!7dn3;nn6`>cd84?>i6mo0;6)?lf;3ff>h6kl0376a>ed83>!7dn3;nn6`>cd8:?>i6mm0;6)?lf;3ff>h6kl0j76a>e883>!7dn3;nn6`>cd8a?>o3l3:1(;:k7e?6=,8im69m4n0af>7=cg87g>h6kl0876g;7;29 4ea2=i0b5$0ae>1ecd84?>o3;3:1(d=cg87g>h6kl0i76g97>5$0ae>1ecd8e?>o2;3:1(42<3`>n6=4+1bd90f=i9jo1=854i5:94?"6ko0?o6`>cd822>=e;021<7?m:5`91c}O;?=0(>:i:`18^<4=9r31q)?n3;17=>\45<i6=44o212>5<!7dn3;i7c?le;68?l73290/=nh51c9m5fc==21b=>4?:%3`b?7e3g;hi784;h31>5<#9jl1=o5a1bg93>=n980;6)?lf;3a?k7dm3207d??:18'5f`=9k1e=nk59:9jb?6=,8im6d=5<#9jl1=o5a1bg9`>=n:=0;6)?lf;3a?k7dm3o07d<<:18'5f`=9k1e=nk5f:9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;1<1<7?50;2x 62a2:<37E=74:J022=#;1h1=o=4$0c7>1323f;h87>5$2:a>4d?32wi?kh50;796?0|,:>m6kk4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg2783:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;0`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e99l1<7750;2x 62a2:=0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876g>0e83>!5?j3;:?65`1c394?"40k0:n554}c324?6=13:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?l77i3:1(>6m:030?>o68k0;6)=7b;327>=n99i1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm32094?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>=;:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg54=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd66;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm15g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8>m6=4;:183!53n3997E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c630?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th?<84?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a050=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9>8:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb52;>5<3290;w)=;f;11?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7a03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl>f883>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bd<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=kl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4`d29086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj8lo6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2bc<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5110;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm28;94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;3j6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0:f?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th95n4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb3;g>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==55;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907b?m1;29 6>e28h376sm36c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>6>43A9386F<669'7=d=;180(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:k50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm36d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj:926=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>=k:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`07`<72<0;6=u+35d976=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?l7713:1(>6m:030?>i6j80;6)=7b;3a<>=zj:9m6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c174?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th88<4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a714=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>:<:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb267>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4;h0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8?o4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb21`>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?<8;291?6=8r.88k4<3:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6;j0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm12f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj89n6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn<=i:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb012>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:?>4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376sm12694?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6;4;l0:<;5232d955355`=99301>=j:024?85383;;96s|e;290~Xb34;;j7??a:?07`<68116?9?51178yv`=83>pRk5211d955d<5:926<>8;<30=?77>2wx==4?:4y]55=:99l1==m4=21f>46>349?>7??5:?27<<68>1vk;<10=?77>2wx=?4?:5y]57=:98:1==84=25:>46034;847??6:p56<72=qU=>521029551<5:=26<>9;<3046?34;847??8:p50<72=qU=852102955?<5:=26<>6;<3046134>;87??5:?1=<<68<16?:o51148945128:=7p}>9;29=~X6127:==4>0c9>765=99?019>::026?87a13;;;63=9`8240=:;>k1==94=013>46234;8n7??7:p5d<72jqU=l52102955e<5:996<>8;<37g?77=27?<>4>069>5c>=99?01k3;;:63<7c8242=:9:i1==;4=01f>46134;8j7??6:p5f<720qU=n52102955b<5:9?6<>:;<37a?77=27?<;4>049>5cd=99?01?7m:026?850k3;;963>368243=z{;91<7=t^318946a28:=70={t:=0;6>uQ259>55`=99=01>=k:024?xu1l3:1?vP9d:?74d<68<168==51148yv??2908wS77;<1eb??434>;577<;|q24`<72;qU==k4=032>4d63ty:55`=9k;01:025?xu6990;6?u210295g7<58;:6<>8;|q26c<72;qU=?h4=014>4d63ty:?=4?:3y>566=9k;01<=j:024?xu6;80;6?u212395g7<58996<>8;|q277<72;q6=><51c38945428:=7p}>3283>7}:9:91=o?4=017>4603ty:?94?:3y>562=9k;01<=::024?xu6;<0;6?u212795g7<589=6<>8;|q273<72;q6=>851c38945028:<7p}>3983>7}:9:21=o?4=017>4613ty:?44?:3y>56?=9k;01<=<:024?xu6;h0;6?u212c95g7<589m6<>8;|q27g<72;q6=>l51c38945628:=7p}>3b83>7}:9:i1=o?4=012>4603ty:?i4?:3y>56b=9k;01<=<:02;?xu6;l0;6?u212g95g7<589>6<>9;|q27c<72;q6=>h51c38945528:=7p}>4c83>7}Y9=h01<:i:0`2?xu6m6<>8;|q20a<72;q6=9j51c38942a28:=7p}>4d83>7}:9=o1=o?4=06e>46?3ty:mh4?:3y>7=3=999019>6:8d8yv7fn3:1>v3<8782g1=:;ol1m:5rs0d4>5<5sW;m;63>fg82f4=z{8l36=4={<3e069~w4`>2909w0?i9;3a5>;6nm0:<;5rs0db>5<5s4;mm7?m1:?2ba<68>1vfg8242=z{8lh6=4={<3eg?7e927:jh4>079~w4`c2909w0?id;3a5>;6nl0:<:5rs0df>5<5s4;mi7?m1:?2bc<68?1v?78:181[4>?2795h4>b09~w7??2909w0<68;3a5>;51j0:<:5rs3;:>5<5s48257?m1:?1=`<68?1v?7n:18184>i3;i=63=9e8243=z{;3i6=4={<0:f?7e92795i4>069~w7?d2909w0<6c;3a5>;51l0:<:5rs3;g>5<5s482h7?m1:?1=`<6811v>=>:181[549278?;4>b09~w6552909w0=<2;3a5>;4;?0:<;5rs210>5<5s498?7?m1:?070<68?1v>=;:181854<3;i=63<348242=z{:9>6=4={<101?7e9278?;4>069~w65?2909wS=<8:?07f<6j81v>=6:18185413;i=63<3`8243=z{:9j6=4={<10e?7e9278?n4>079~w65e2909w0=;4;j0:<:5rs21g>5<5s498h7?m1:?006<68>1v>=j:181854m3;i=63<3c8243=z{:9m6=4={<10b?7e9278894>079~w6272909w0=;0;3a5>;4<=0:<:5rs262>5<5s49?=7?m1:?001<6811v>:=:181853:3;i=63<45824<=z{:>86=4={<177?7e9278?l4>069~w6232909w0=;4;3a5>;4;k0:<:5rs25;>5<5s493<7?m1:?006<68?1v>96:18185013;i=63<818242=z{:=j6=4={<14e?7e9278;k4>079~w61e2909w0=8b;3a5>;4?l0:<;5rs25`>5<5s491v>9k:181850l3;i=63<81824==z{:=n6=4={<14a?7e9278;k4>069~w61a2909w0=8f;3a5>;4090:<;5rs2de>5<5s49mj7?j8:?74<?:0`2?xu38;0;6?u23gd9=2=:<921=o?4}r637?6=:r7?<>4>b09>05>=99=0q~:?4;296~;38=0:n<524159550;97>52z?740<6j8168=951158yv27>3:1>v3;0782f4=:<921==64}r633?6=:r7?<:4>b09>05>=99<0q~:?9;293~;3800:i5521gc9553<58lh6<>9;<0:079>56g=99?01<=m:025?xu38k0;6?u241;9=2=:<9k1=o?4}r623?6=:rT?=:524129553?i7>54z\70`=:9=n1==;4=25g>46234;8h7??5:~f135290887m7:d5xL6003-9?j7?i6:X:6?4|03k1q)?n3;17=>\45<5<5<5<5<1<75`3g694?=h9li1<7*>cg82ag=i9jo1<65`1dc94?"6ko0:io5a1bg95>=h9o?1<7*>cg82ag=i9jo1>65`1g694?"6ko0:io5a1bg97>=h9o91<7*>cg82ag=i9jo1865`1g094?"6ko0:io5a1bg91>=h9o;1<7*>cg82ag=i9jo1:65`1g294?"6ko0:io5a1bg93>=h9ll1<7*>cg82ag=i9jo1465`1dg94?"6ko0:io5a1bg9=>=h9ln1<7*>cg82ag=i9jo1m65`1d;94?"6ko0:io5a1bg9f>=n900;6)?lf;3;?k7dm3:07d?8:18'5f`=911e=nk51:9j50<72-;hj7?7;o3`a?4<3`;?6=4+1bd95==i9jo1?65f1283>!7dn3;37c?le;68?l75290/=nh5199m5fc==21b=<4?:%3`b?7?3g;hi784;h33>5<#9jl1=55a1bg93>=nn3:1(cd8b?>od290/=nh5199m5fc=j21b>94?:%3`b?7?3g;hi7m4;h00>5<#9jl1=55a1bg9`>=n:;0;6)?lf;3;?k7dm3o07d<>:18'5f`=911e=nk5f:9j65<72-;hj7?7;o3`a?7732c:j7>5$0ae>4>5<#9jl1=55a1bg957=cg82<>h6kl0:?65f1783>!7dn3;37c?le;37?>d40<0;6<4?:1y'71`=99>0D>6;;I153>"40k085?5+1`69003<3th9=;4?:481>3}#;=l1jh5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm1c;94?3=:3:i:gg8L6>33A9=;6*>1485?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f26=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg45=3:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=3683>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e:9;1<7=52;6x 62a2on0D>6;;I153>"69<0=7)?n4;661>\45<5<{8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb20;>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9ih7>53;090~"4188;4Z26f>4}>j3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi>l>50;196?2|,:>m6kj4H2:7?M51?2.:=849;%3b0?22=2P88h4>{8`9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t9c8~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5lh0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2ed94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg25i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<8k1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb301>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4k;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2e094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;n86=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>lj:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?km50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`c29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th?>n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a07b=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn95<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg25n3:197>50z&00c<4;2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>4d?32wi?k950;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6`?29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=i9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4nh0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?;::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb375>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6;7>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:6=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb37e>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9:>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=6583>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:??1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>;850;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c05=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg41i3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=6c83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`12f<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;5$2:a>47432e:n<4?:%1;f?7e021vn?9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm26794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?98:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb35;>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5?k0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9;n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a62b=8391<7>t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:>o1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&06m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c0;6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th94>4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=8583>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj;236=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0;=?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4?i3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>5j50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm29g94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;2m6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?7?:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1g2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;i26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?mn:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4dj3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=cb83>0<729q/?9h5329K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9oh4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=cg83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;i36=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl=b383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:kn1<7=50;2x 62a28h>7E=74:J022=#;1h1=o:4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd5jo0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9o=4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a6f7=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?m=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4d;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5j=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9n84?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=b783>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1f2<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;h36=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?l6:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`1fd<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;hi6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?ll:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?o7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4f13:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"40D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5ij0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2`f94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;kn6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0bb?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:h91<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi>l:50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm2`794?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i61<7>t$26e>64<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>i6j80;6)=7b;3a<>=zj;k<6=4;:183!53n3;i:6F<859K731<,:2i67:18'7=d=98907b?m1;29 6>e28h376sm1c`94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8hh6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3a`?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5g`=83?1<7>t$26e>65<@:2?7E=97:&01298m460290/?5l51018?l7703:1(>6m:030?>o6800;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm22;94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn?:l:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg43l3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=4d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e:=l1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb373>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6=7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>o50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f75e29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c00`?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg44m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=4183>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th98?4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb360>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=zj;>=6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:8:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`10=<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5<00;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?:n:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb36a>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd58:0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>j:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg47n3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=1183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`154<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi><<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>4;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`150<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>=:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f762290?6=4?{%17b?7e>2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi>=850;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm21594?2=83:p(>:i:208L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h336m:030?>i6j80;6)=7b;3a<>=zj;:26=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>n:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`14g<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj;:h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?>k:187>5<7s-9?j7?m6:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9?=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg26;3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl;1583>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg26=3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0a;290?6=8r.88k4<2:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54o0`2>5<#;1h1=o64;|`15g<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f77c29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<>e;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd59o0;684?:1y'71`=;:1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn?5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`164<72:0;6=u+35d974=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5900;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?<8:187>5<7s-9?j7==;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876g>0983>!5?j3;:?65`1c394?"40k0:n554}c01b?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4483:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=3083>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e::81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb310>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i652;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi>>850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f74?29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th9>44?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl=2`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`16g<72=0;6=u+35d95g0<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=h9k;1<7*<8c82f==54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl=2e83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i66m:030?>i6j80;6)=7b;3a<>=z{j0;6?uQc:?112<68?1vi4?:3y]`>;5=o0:<;5rsd83>7}Ym279:84>079~wc<72;qUj63=6c8243=z{8:1<71;296~X69279;:4>079~w44=838pR<<4=35g>4613ty:?7>52z\27>;50:0:<;5rs0694?4|V8>01?66:025?xu6>3:1>vP>6:?110<68>1v<950;0xZ41<5;2o6<>8;|q2`?6=:rT:h63=5e8242=z{8o1<7f;296~X6n279:44>069~w76=838pR?>4=34e>4603ty9=7>52z\15>;5?<0:<:5rs3094?4|V;801?9m:024?xu5;3:1>vP=3:?1<4<68>1v?:50;0xZ72<5;2<6<>8;|q5`?6=9;qU:i52718b3>;59?0j;63>b88b3>;5k00:<:522ba9550<5;hn6<>:;<0ab?77?279o94>049>6dd=99=01462348:<7??6:?15`<68<16>><51178yv17290>w09?:0g;?84d83;;963=518240=::8;1==;4=30;>4613ty247>5cz\:<>;59?02?63>b88:7>;0833870<=5;;0?844?338706<><;<022??a34;i577i;|q2f<<72>q6=o751d:897ef28:>70;58;<01b?77>2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894da28:37p}>be83>7}:9kn1=o?4=0`e>46>3ty:nh4?:3y>5gc=9k;019;|q2a<<72;qU=h74=37;>4d63ty:il4?:3y]5<=Y9lk0q~?jc;297~X6=2T:in5229d955052z\2aa=::?:1=o?4}r3fa?6=:rT:ih5227495g752z\2ac=::?i1=o?4}r3e4?6=:rT:j=5226095g752z\2b4=::>21=o?4}r3e6?6=:rT:j?5226g95g752z\2b6=::1>1=o?4}r3e0?6=:rT:j95229c95g752z\2b0=::0:1=o?4}r3e3?6=nrT:j:522ec9553<5;i26<>9;<0`5?77=279mo4>079>5g`=99<01?:i:026?842:3;;963=3d8243=::9l1==;4=331>46234>:=7??5:?15c<68?16>?h51158975628:>7p}=0183>1}::;>1=o?4=50e>461349mm7??6:?757<68<1v?>>:18184793;n463=228240=z{;:96=4={<035?g0348;h7?m1:p655=838p1?><:0`2?847j3;;:6s|21694?4|5;:?6;<033?7702wx>=;50;0x976228h:70{t:9<1<74d6348;57??7:p651=838p1?>8:0`2?847l3;;46s|21:94?4|5;:36;<03e?77>2wx>=750;0x976>28h:70{t:9k1<74d6348;n7??7:p65d=838p1?>m:0`2?847k3;;:6s|21a94?4|5;:h6;<03`?77?2wx>=k50;0x976b28h:70{t:9l1<74d6348;97??8:p646=838p1???:0`2?84713;;:6s|20394?4|5;;:6;<032?77>2wx><<50;0x977528h:70{t:891<74d6348;o7??7:p642=838p1??;:0`2?847l3;;:6s|20794?4|5;;>6;<033?77>2wx><850;0x977128o370<=2;331>{t:8=1<7<1<5;;26;|q15=<72;q6><651c38974628:=7p}=1`83>7}::8k1=o?4=303>4613ty9=o4?:3y>64d=9k;01??i:024?xu59j0;6?u220a95g7<5;;m6<>7;|q15a<72;q6>7}::8o1=o?4=33:>4603ty9=k4?:3y>64`=9k;01?8;|q164<72;q6>??51c38977>28:=7p}=2383>7}::;81=o?4=307>4613ty9>>4?:3y>675=9k;01?<;:024?xu5:<0;6?u223795`><5;nm6<>9;|q163<72;q6>?;5a69>67c=9k;0q~<=7;296~;5:>0:n<5223f955052z?16=<6j816>?o51148yv4513:1>v3=2882f4=::;i1==64}r01e?6=:r79>l4>b09>67d=99<0q~<=b;296~;5:k0:n<5223a955152z?16f<6j816>?j51158yv45l3:1>v3=2e82f4=::;o1==84}r01b?6=:r79>k4>b09>67d=99=0q~<<0;296~;5;90:n<5223:955152z?174<6j816>?o51158yv44:3:1>v3=3382f4=::;h1==64}r007?6=:r79?>4>b09>67e=99<0q~<<4;296~;5;=0:n<5223;955052z?170<6j816>?751158yv44>3:1>v3=3782f4=::;o1==94}r003?6=9?q6>>951d:896e528:>70<:5;332>;5=?0:<85224f9550<5;?n6<>:;<057?77>279:94>049>63?=99<01?8n:026?841n3;;:63=718240=::>?1==84=355>4623485?5114897>528:>70<77;332>;5010:<85229f9550<5;2n6<>:;|q17=<72;q6>>95a69>61d=9k;0q~<<9;296~;5;00:n<5222a955052z?17d<6j816>9651158yv44j3:1>v3=3c82f4=::=k1==94}r00g?6=:r79?n4>b09>617=99<0q~<52z?17`<6j816>9<51148yv44n3:1>v3=3g82f4=::=81==94}r074?6=:r798=4>b09>615=99=0q~<;1;296~;5<80:n<5225795517>52z?107<6j816>9851158yv43;3:1>v3=4282f4=::==1==94}r070?6=:r79894>b09>66e=99=0q~<;5;296~;5<<0:n<5225`955052z?103<6j816>9951148yv43?3:1>v3=4682f4=::=21==84}r07b09>61?=99=0q~<;9;296~;5<00:n<5225c955052z?10d<6j816>9l51158yv43k3:1>v3=4b82f4=::=;1==94}r07`?6=:r798i4>b09>66b=99=0q~<;e;296~;552z?10c<6j816>>h51148yv4283:1>v3=5182f4=:::l1==64}r065?6=:r799<4>b09>616=99<0q~<:2;296~;5=;0:n<52252955187>52z?110<6j816>8651148yv42=3:1>v3=5782f4=::<=1==94}r062?6=:r799:4>b09>60>=99=0q~<:c;296~;5=m0:n<522729550h7>52z?11`<6j816>8h51158yv42m3:1>v3=5g82f4=::?:1==94}r056?6=:r79:>4>b09>630=99<0q~<93;296~;5>=0:n<52277955152z?120<6j816>;851158yv4103:1>v3=6882f4=::?i1==84}r05=?6=:r79:l4>b09>63d=99=0q~<9a;296~;5>k0:n<5227a955152z?12c<6j816>:<51148yv41n3:1>v3=7182f4=::>;1==94}r044?6=:r79;<4>b09>624=99=0q~<84;296~;5?<0:n<5226:955052z?133<6j816>:951158yv40>3:1>v3=7682f4=::>21==94}r04e?6=:r79;o4>b09>62c=99<0q~<8b;296~;5?j0:n<5226f955152z?13a<6j816>:k51158yv4?83:1>v3=8082f4=::1>1==84}r0;5?6=:r794?4>b09>6=5=99=0q~<72;296~;50:0:n<52296955152z?1<2<6j816>5o51148yv4??3:1>v3=8982f4=::131==94}r0;b09>6=g=99=0q~<7c;296~;50m0:n<52282955052z?1<`<6j816>5h51158yv4?m3:1>v3=8g82f4=::0:1==94}r0:3?6=:rT95:5240c9553510y>6d6=9l201?j=:026?84d?3;;;63=cb824==::kn1==84=3a0>460348jm7??5:?1e0<68?16=oj51178975>28:<70<;59:0:<8522159551<5;;j6<>9;<02f?77=279>:4>079>665=99?0q~079~w7g42909w0;5i>0:<;5rs3c7>5<5s48j87?m1:?1e3<68?1v?o::18184f=3;i=63=a68242=z{;k=6=4={<0b2?7e9279m:4>099~w7g?2909w0;5io0:<:5rs3c:>5<5s48j57?m1:?1e6<68?1v?on:18184fi3;i=63=a28242=z{;ki6=4={<0bf?7e9279m;4>069~w7gd2909w0;5i=0:<;5rs3cg>5<5s48jh7?m1:?1e1<68>1v?oj:18184fm3;i=63=a7824==z{;km6=4={<0bb?7e9279m84>069~w7d7290:8v3=b182a==::m91==84=3a4>461348ho7??9:?1g7<68<16>o=5114897g528:>70;5im0:<8521ca9553<5;>h6<>:;<00e?77=279?i4>079>655=99<01??;:024?846=3;;963=1`8242=::8i1==;4=304>460348887??5:p6g7=838p1?l?:`5897dd28h:7p}=b383>7}::k81=o?4=3`0>4603ty9n>4?:3y>6g5=9k;01?ll:024?xu5j=0;6?u22c695g7<5;h26<>9;|q1f0<72;q6>o;51c3897d028:<7p}=b783>7}::k<1=o?4=3``>46?3ty9n:4?:3y>6g1=9k;01?l7:025?xu5j10;6?u22c:95g7<5;h26<>8;|q1f<<72;q6>o751c3897df28:<7p}=b`83>7}::kk1=o?4=3`a>4613ty9no4?:3y>6gd=9k;01?ll:025?xu5jm0;6?u22cf95g7<5;hj6<>9;|q1f`<72;q6>ok51c3897d328:<7p}=bg83>7}::kl1=o?4=3`6>4613ty9o=4?:3y>6f6=9k;01?l::024?xu5k80;6?u22b395g7<5;h36<>8;|q1g7<72;q6>n<51c3897de28:<7p}=c283>7}::j91=o?4=3`5>4613ty9o94?:3y>6f2=9k;01?l9:024?xu5k<0;64c?348hn7??5:?1f7<68<16>oj5115897g?28:>70;5il0:<8521c`9553<5;>o6<>:;<071?77>279<>4>069>642=99201?>;:026?846i3;;463=1e8240=::;=1==64=316>4623ty9o;4?:3y>6f3=i>16>n651c38yv4d?3:1>v3=c682f4=::jl1==84}r0`=?6=:r79o44>b09>6fc=99<0q~52z?1gg<6j816>i>51158yv4dk3:1>v3=cb82f4=::j21==94}r0``?6=:r79oi4>b09>6fc=99=0q~52z?1gc<6j816>i>51148yv4c83:1>v3=d182f4=::j21==84}r0g5?6=:r79h?4>b09>6a5=99=0q~ih51c38yv4ci3:1>v3=d`82f4=::ml1==94}r11<5=;86<>:;|q06<<724613488j7??7:?155<68>16>>>51178yv55j3:1ovP<2c9>6fe=99=01?mk:025?84d;3;;:63=b58243=::k=1==84=31:>461348??7??6:?151<68?16>=651148977?28:=70<<6;331>{t;0<1<7d1<5;n86;|q0e<<72?qU?l74=53g>460349mh7??6:?76f<68<16?k951178917328:<7p}7}Y;kn01>lk:0g;?xu4jo0;6?u23cf9=6=:;ko1=o?4}r1`5?6=:r78ni4n7:?0g7<6j81v>k?:181[5b8278j44>049~w6`32909wS=i4:?0b0<6j81v>h9:18185a=3;;963069~w6`?2909w0=i8;3a5>;4nh0:<55rs2d:>5<5s49m57?m1:?0bd<6801v>hm:181[5aj278ji4>b09~w6`d2909w0=ic;3a5>;4nm0:<:5rs533>5<5sW>:<63;1482f4=z{=;:6=4={<625?7e927?=94>079~w1752909w0:>2;3a5>;39=0:<55rs530>5<5s4>:?7?m1:?750<68>1v9?;:181826<3;i=63;148243=z{=;26=4={_62=>;39h0:n<5rs53`>5<5sW>:o63;1e82f4=z{=;m6=4={_62b>;3:l0:<85rs50:>5<5sW>9563;2`82f4=z{=8i6=4={<61e?77=27?>k4>b09~w14d2909w0:=c;3a5>;3:o0:<:5rs50g>5<5s4>9h7?m1:?76c<6811v9n6=4:{_67a>;39m0:<;523ga9553<5=8o6<>:;<1e7i:1827?022?lpD>88;%17b?75l2.:m>4<489Y71c=9r3i6pg68;29?l75?3:17d?;b;29?l7603:17d=n9;29?j162900e;j50;9l506=831b?h>50;9j57`=831d=8j50;9j01c=831b8i:50;9l7f`=831d=4950;9l60<722e9h7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg70;3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:2360D>88;%3b0?22=2P88h4>{8`9yl?02900e4h50;9j=6<722cj;7>5;n3f56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0=83.84o4>b998yv7b03:1>vP>e99>5`>=9k20q~o8:181[g034;n47?>3:p=c<72;qU5k521d:96<`;27:i5468:p552=838p15<22;0=w)=;f;df?M5?<2B8::5+10792>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c290>6?49{%17b?`b3A9386F<669'5d2=<:j:0y:f?{n1>0;66g6f;29?l?42900el950;9l5`>=831i=h650;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39:94?7=83:p(>6m:2;1?j7e03:1(>6m:0`;?>{t9l21<77}Yi>16=h651018yv?a2909wS7i;<3fn2wx5:4?:3y]=2=:9l215:5rs8194?4|V0901v3>e98072=:;121=o64}|`b0?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5d>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8`9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?e2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg4229086?4;{%17b?`c3A9386F<669'5d2=<:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6=90;6>4=:5y'71`=nm1C?5:4H244?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj88=6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3:3?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm15294?3=:3:i:gg8L6>33A9=;6*>a58710=];=o1=v7m:|k:3?6=3`3m6=44i8194?=ni>0;66a>e983>>d6m10;6;4?:1y'7=d=99:0V>:j:0y:f?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th8454?:083>5}#;1h1?4<4o0`;>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4d?3tyj;7>52z\b3>;6m10:=>5rs8d94?4|V0l01?3:1>vP67:?2a=<>?2wx5>4?:3y]=6=:9l21555rs027>5<5s4;n47=<7:?0<=<6j11vqo?:d;291?4=>r.88k4ie:J0<1=O;?=0({8`9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twi=4k50;796?0|,:>m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk;?;7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e9?h1<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg7c93:1?7<54z&00c>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl>d483>6<52=q/?9h5fe9K7=2<@:<<7)?>5;48 4g32=?>7W=;e;3x=g>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:f?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|1k0ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{ei?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:844?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5d6=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm16794?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8==6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?h50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3f1?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:i;4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0`4<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i<50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14d94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<8>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb041>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6<;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:8>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a512=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<:::180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb065>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6100;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:5l4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a5t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<7l:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6l>0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ea94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7cl3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=h>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm1d394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8o96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0f;>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i629086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:hl4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>dc83>1<729q/?9h51c48L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9l5g7=83.84o4>b998yg7c;3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm2ec94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj;nm6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c0f0?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4b=3:187>50z&00c<6j?1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn<;=:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg72<3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=8;50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm14494?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8?<6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c36N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg7213:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==m7>53;294~"48:18'7=d=98907b?m1;29 6>e28h376sm14`94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0b49K7=2<@:<<7)=7b;3a0>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn<9?:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg7093:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==7>54;294~"46F<859K731<,:2i6>>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&0:18'7=d=9k207pl>6583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`220<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=;850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f40029096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?98;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c35=?6=;3:10D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e9?k1<7:50;2x 62a2:80D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432e:n<4?:%1;f?7e021vn5:50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=3=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5850;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f=1=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5650;794?6|,:>m6>=4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65`1c394?"40k0:n554}c::>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd6jk0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm1ca94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj8ho6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c3aa?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:nk4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>c183>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`2g4<72:0;6=u+35d95g3<@:2?7E=97:&06m:030?>i6j80;6)=7b;3a<>=zj8i96=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|q11?6=:rT9963=5;3f<>{t:m0;6<>t^3f897b=9l201l851158943a28:=70?90;331>;6<;0:<;521519553<58326<>9;<3:e?77=27:hn4>069>6ag=99?01<;;:024?871n3;;963>688243=:0?0:<8521c`9553<1<5;l1=o?4}r4g>5528:=70?86;332>;6lm0:<:521479551<589;<350?77?273;7??5:?2f`<68<1v:?50;0xZ27<5>;1=h64}r:2>5<5s4=:6l94=9095g7<1<58=86494=9;95g74d634236<>8;|q;1?6=:r7397?m1:?;<3<6j816454>089~w=1=838p15951c389=?=99=0q~67:1818>?28h:7066:025?xu>03:1=?uQ999>525=1:16;<463:?2f<<>;279h77<;<5<58k364=4=379=6=:9<:15>521349=6=:90=15>521529=6=:95218g9=6=:9==15>5217`9=6=:9m;15>521e79=6=z{h>1<7<5;l1==84}rc6>5<5s4k?6l94=`495g752z\25==:9;<1m:5rs005>5<1s4;9:7?j8:?2`2<68<16=h>5115894b428:<70?:2;331>;?=3;;96s|13594?5|V88<706=:024?870=3;;96s|13d94?>|V88m70=k0;332>;6lm0:<;522ed9553<58?>6<>9;<35`?77?27::94>079>5f4=99<0q~?;0;296~X6<916=9>51d:8yv7393:1>v3>418b3>;65<5s4;?>7?m1:?203<68?1v<:<:181873;3;i=63>448243=z{8>?6=4={<370?7e927:884>069~w4222909w0?;5;3a5>;65<5s4;?;7?j8:?207<68>1v<:7:181873?3k<70?;9;3a5>{t9=h1<7=t^06a?84b<3;;:63>bg8243=z{8?;6=4={_364>;6=90:i55rs072>5<5s4;><7o8;<367?7e92wx=8<50;0x943528h:70?:8;333>{t9<>1<74d634;>m7??6:p503=838p1<;::0`2?87213;;:6s|14494?4|58?=6;<36=?77?2wx=8950;0x943028h:70?:b;333>{t9<21<74d634;>?7??6:p50?=838p1<;6:0`2?872i3;;;6s|14c94?4|58?j6;<36f?77>2wx=8l50;0x943e28h:70?:3;333>{t94d63ty:9k4?:3y>50`=9k;01<8=:025?xu6>90;6?u217295g7<58<:6<>8;|q224<72;q6=;?51c38940528:<7p}>6283>7}:952z?221<6j816=;651148yv71=3:1>v3>6482f4=:9?21==94}r352?6=:r7::;4>b09>53g=99<0q~?97;296~;6>>0:n<5217c955>52z?22=<6j816=;751158yv7113:1>v3>6882f4=:9?k1==94}r35f?6=:r7::o4>e99>50`=99=0q~?9c;296~;6>m0:n<52160955152z?22`<6j816=:?51148yv71m3:1>v3>6g82f4=:9>;1==94}r35b?6=:r7:;=4>b09>524=9920q~?80;296~;6?80:n<52160955052z?236<6m116>h;51158yv70<3:1>v3>728b3>;6??0:n<5rs056>5<5s4;<97?m1:?233<68>1v<78:181[7>?27:5:4>e99~w4??2909w0?67;c4?87>l3;i=6s|18;94?4|58326;<3:`?77>2wx=4o50;0x94?f28h:70?6c;332>{t90h1<74d634;2o7??7:p5l3;;;6s|18g94?4|583n6;6i10:i55218g9=2=:9==15:522g8242=z{8k26=4<{<3b228:870?83;;e?81620l01516=1o16=8j59g9>553d=1o1vdd8240=:9<<1==;4=053>46234;=97??5:?;2wx=oo50;0x94d>20=018;|q2ff<72;q6=om51c3894e728:=7p}>be83>7}:9kn1=o?4=0a3>4603ty:nh4?:3y>5gc=9k;019;|q2g5<72;q6=n>51c3894e628:<7p}>c083>7}:9j;1=o?4=0a1>4603ty:o?4?:3y>5f4=9k;01<58o>6<>:;<3gb?77=27:i=4>079>5a5=99<01<;8:026?871m3;;:63>668240=:9ki1==;4}r3g6?6=:r7:h<4n7:?2`1<6j81vd58242=z{8n>6=4l{<3g1?7b027:i;4>079>5ae=99<01:026?87b:3;;:63>d58243=:9<>1==84=07;>46134;=i7??7:?223<68<16494>049>5gb=99?0q~?k6;296~;6l<0j;63>dc82f4=z{8n<6=4={<3g3?7e927:i?4>069~w4b?2909w0?k8;3a5>;6lk0:<55rs0f:>5<5s4;o57?m1:?2`d<68?1vdc8243=z{8nh6=4={<3gg?7e927:h44>079~w4bc2909w0?kd;3a5>;6m:0:<;5rs0ff>5<5s4;oi7?m1:?2a6<68>1vd`8242=z{8o;6=4={<3f4?7e927:h54>079~w4c62909w0?j1;3a5>;6l10:<:5rs0g1>5<5s4;n>7?m1:?2`g<68>1vd88242=z{8o?6=4={<3f1?7e927:i;4>069~w4c0290>w0<::`5894?020=01<:?:8589d0=99<017}::mk1=o?4=3g7>4603ty9hk4?:3y>6a`=9k;01?k::02;?xu5m=0;6?u22d695g7<5;o>6<>9;|q0e<<72;qU?l74=2f2>4623ty8ok4?:3y]7f`<5:n96;|q0`5<72;q6?i>51c3896b528:=7p}7}:;m;1=o?4=2f1>4603ty8i=4?:2y]7`6<58>26<>:;<370?77=2wx816=;?51148yxd4100;6n4=b;10M51?2.88k4>039'5d5=;=30V>:j:0y:f?{h<==1<75`3b194?=n;h31<75`6e83>>oak3:17d9=:188m21=831b>i;50;9j6ag=831b>ih50;9l7g1=831b==k50;9a7=3=83;1<7>t$26e>4633A9386F<669'7=d=;080(6m:0`;?>{e;j91<7;52;4x 62a2oo0D>6;;I153>"6i=0?985U35g95~?e2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<=<7280;6=u+39`97<4<3ty:i54?:3y]5`><58o36e98256=z{0l1<7?27:i5467:p=6<72;qU5>521d:9===z{8:?6=4={<3fb99~yg4c>3:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c0f4?6==381:v*<4g8ea>N40=1C?;94$0c7>1323S9?i7?t9c8~m<1=831b5k4?::k:7?6=3`k<6=44o0g;>5<5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>67:182>5<7s-93n7=62:m2f=<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6j11vl950;0xZd1<58o36e981=c=z{0=1<7?28h37psm7283>0<52?q/?9h5fd9K7=2<@:<<7)?n4;661>\45<>of?3:17b?j8;29?g7b03:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k085?5`1c:94?"40k0:n554}r3f7}Y1o16=h6528d8yv?02909wS78;<3f52z\:7>;6m10246s|11694?4|58o36>=8;<1;m6kk4H2:7?M51?2.:m94;549Y71c=9r3i6pg67;29?l?a2900e4=50;9je2<722e:i54?::`2a=<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd4010;6<4?:1y'7=d=;080ce983>7}Y9l201vPn7:?2a=<69:1v4h50;0xZ<`<58o36?7i;|q:3?6=:rT2;63>e98:3>{t1:0;6?uQ929>5`>=111v<>;:18187b0398;63<8982f==zuk9=m7>55;092~"45;hc4>5<5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>?290:6=4?{%1;f?5>:2e:n54?:%1;f?7e021vb99~wd1=838pRl94=0g;>4743ty2j7>52z\:b>;6m1095k5rs8594?4|V0=015`>=;:=01>67:0`;?x{e;10;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm6d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e>o0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?k6:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg4bi3:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=ec83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`0g?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8o44?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7fg=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg1e29086=4?{%17b?7e=2B8495G3758 6>e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th<97>52;294~"40D>88;%1;f?7e<2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:l81<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb3g0>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd5lm0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm2eg94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg4c03:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl=d883>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn9:7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg2313:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?o650;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c54>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5g>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c5e>5<2290;w)=;f;10?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i63:9j55?=83.84o4>1298k4d6290/?5l51c:8?xd4>l0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>8i:180>5<7s-9?j7?m5:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`035<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?:?50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f615290>6=4?{%17b?543A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th8;>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rs2:94?4|5:21=h64=7d9550<5<5:31=o?4}r1a>5<5s4936l94=2a95g76`6=i>16>il5a69>6a0=i>16:k4>b09>7f?=99?01>8l:025?81f28:>709::026?84b:3;;963=de8240=::m21==;4=252>4623ty=i7>52z?5a?7e927=j7??7:p34<72:q6?o65114892`=9k;01>9=:025?xu0:3:1?vP82:?42?77>274>e99>32<68<1v::50;0x925=1>16;;4>b09~w23=838p1:;51c38920=99=0q~98:180[1034=i6<>9;<5`>4623ty<47>52z?43d<6j816;o4>069~w2e=838p1:951c3892`=99<0q~9k:18181d28h:709i:024?xu0m3:1>v38d;3a5>;0n3;;46s|7g83>7}:?l0:n<527g824<=z{oi1<77t^ga896e420901?j9:81897be20901?k?:818925=1:16;5463:?02d<>;27857??5:p55c=839pR<>j;<1`e?77>278:n4>069~w4gb2903w0=75;337>;4k:02j63=d78:b>;5lk02j63=e18:b>;0;33m7097:8d8960f20l0q~i751148960a28:=7p}=d783>7}::m<1=h64=24e>4603ty9h:4?:3y>6a0=1>16>i751c38yv4c03:1>v3=d982f4=::m31==94}r0ge?6=;rT9hl522dc9553<5;nn6<>9;|q1`g<72;q6>il51d:897c>28:>7p}=db83>7}::mh15:522eg95g752z?1`a<6j816>ik51158yv4cn3:1?vP=dg9>6`5=99<01>8j:025?xu5m90;6?u22d295`><5:8;|q1a4<72;q6>h>5969>6`5=9k;0q~52z?1ag<6j816?:>51178yv4b13:1>v3=e882f4=::lh1==84}r0fe?6=:r79il4>b09>6`d=99=0q~=9a;296~;4>h0:i55245:955352z?02db09~w60c2908w0=9a;;4?85d;33<70=83;3a5>{t;?o1<74d6349<>7??7:p73`=838p1>8i:0`2?850:3;;46s|36294?4|5:=;6;<146?7712wx?:?50;0x961628h:70=83;333>{t;>81<74d6349o6;<4f>462349h6<>:;<67=?77>278n54>069~w6d02909wS=m7:?0f=<6j81v>m<:181[5d;278o>4>e99~w6e?2909w0=l3;c4?85di3;i=6s|3b;94?4|5:i26;<1`e?77?2wx89950;0xZ12034>?57?m1:p01>=838p19:7:0`2?82313;;;6srb2;f>5<6=3k26o:tH244?!53n3;9o6*>a2800<=];=o1>v76:8f9yj75?3:17d:;7;29?l5d;3:17d?n2;29?j7603:17b=n9;29?ld62900e9?8:188m4372900c>l::188m<3=831b594?::m0a5<722c:8l4?::m75c<722c:m<4?::k0f2<722e8oo4?::m0`1<722e997>5;n604?6=3k9397>51;294~"4r.88k4ie:J0<1=O;?=0({8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:236=4>:183!5?j392>6a>b983>!5?j3;i465rs0g;>5<5sW;n463>e982f==z{h=1<7n27:i54=9g9~w<1=838pR494=0g;><10583>7}:9l21?>94=2:;>4d?3twin:4?:281>1}#;=l1ji5G3968L6003-;j87::5:X00`<6s031qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|100vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvnnl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q257sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpll3;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psmbg83>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb2f7>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`11?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a54>=8391>7:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k52z;:>:i:gd8 4g32=?>7W=;e;0x=<<>l3wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m38p5446d;j545=83.84o4>1298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpli9;297?4=0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm28694?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c:g>5<42;0?w)=;f;dg?M5?<2B8::5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`030<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:017:t$26e>cb<@:2?7E=97:&2e1<3=<1Q?9k51z;:>xo>;3:17do8:188k4c?2900n7<1s-9?j7hi;%3b0?22=2P88h4>{8;9yj7b03:17d?k0;29?lg02900e4h50;9j=6<722hmj7>56;294~"40k0:<=5U35g95~?>2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~yg26n3:1?7<54z&00c7W=;e;3x=<>i6m10;66l>e983>0<52?q/?9h5fg9'5d2=<:j:0y:=?{h9l21<75f1e294?=ni>0;66g6f;29?l?42900nkh50;494?6|,:2i6<>?;[17a?7|100ve10e4650;&0021b?>950;&010e?7i:18'7=d=:0l07b?m8;29 6>e28h376sm39594?7=83:p(>6m:0`0?j7d<3:1(>6m:0`;?>{ti>0;6?uQa69>bc<69:1v4=50;0xZ<5<5ol1555rs8d94?4|V0l01kh528d8yv7c83:1>vP>d19>bc<4;>1v=838p1kh5969>7=1=9j>0qpl>:182>5<7s-9=:7:4$26e>4633-93n7=62:&2e1<3=<1d===50;&052z\:7>;6m102?6s|2;297~;6m10:h=521d:9=c=:93;;?6*<6786?x{e;l:1<7=52;6x 62a2on0D>6;;I153>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk9j57>53;090~"4188;4Z26f>4}>13wd=h650;9j5a6=831bm:4?::k:b?6=3`386=44bgd94?0=83:p(>6m:023?_53m3;p544ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3at$2:a>4d43f;h87>5$2:a>4d?32wxm:4?:3y]e2=:no0:=>5rs8194?4|V0901kh5999~w<`=838pR4h4=gd96<`52z\2`5=:no08?:5rs0g;>5<5sW;n463if;3a<>{t;?21<75<6290;w)=96;68 62a28:?7)=7b;1:6>"6i=0?985`11194?"40k0:n554}r3f;27:i5463:p6?6=;r7:i54>d19>5`>=1o16=7??3:&023<23twi?nl50;196?2|,:>m6kj4H2:7?M51?2.:m94;549Y71c=9r326pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s031qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR7<3s-9?j7hk;I1;0>N4>>1/=l:54478^62b28q2h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00ce983>>o6l90;66gn7;29?l?a2900e4=50;9abc<72?0;6=u+39`9556n61298m<1=83.84o467:9j==<72-93n777;:k072<72-93n7=<7:9j6<`=83.84o4=9g98k4d?290/?5l51c:8?xd40>0;6<4?:1y'7=d=9k90c3:p=6<72;qU5>52fg8:<>{t1o0;6?uQ9g9>bc<51o1v=838pR4d?3ty8:54?:3y>bc<>?2784:4>c59~yg7=83;1<7>t$245>1=#;=l1==:4$2:a>6?53-;j87::5:m246<72-93n7?m8:9~w4c?2909wS?j8:?2a=<6m11vl950;0xZd1<58o36l94}r;0>5<5sW3870?j8;;0?xu52908w0?j8;3g4>;6m102j63>:020?!51>3?0qpl=9283>6<52=q/?9h5fe9K7=2<@:<<7)?n4;661>\45<5<{8;9yl76;3:1(>6m:030?>o>?3:1(>6m:858?l??290/?5l59998m650290/?5l53258?l4>n3:1(>6m:3;e?>i6j10;6)=7b;3a<>=zj:2<6=4>:183!5?j3;i?6a>c583>!5?j3;i465rs`594?4|Vh=01kh51018yv?42909wS7<;<>d183>7}Y9m:01kh53258yv7b03:1>vP>e99>bc<6j11v>87:1818`a20=01>68:0a7?x{e93:1=7>50z&023<33-9?j7??4:&0521d:9=6=z{;0;6>u21d:95a6<58o364h4=08246=#;?<196srb9c94?5=:3>p(>:i:gf8L6>33A9=;6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c140?6=;3818v*<4g8e`>N40=1C?;94$0c7>1323S9?i7?t988~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|aeg<72:0969u+35d9ba=O;1>0D>88;%3b0?22=2P88h4>{8;9yl?42900el950;9l5`>=831i=h650;796?0|,:>m6kh4$0c7>1323S9?i7?t988~k4c?2900e5$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:016?49{%17b?`b3A9386F<669'5d2=<:j:3y:=??c2tc2;7>5;h;e>5<>i6m10;66l>e983>3<729q/?5l51128^62b2;q2577k:|k256<72-93n7?>3:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5?03:1=7>50z&04h4}r;4>5<5sW3<70?j8;;4?xu>;3:1>vP63:?2a=<>02wx==:50;0x94c?2:9<70=78;3a<>{zj8?h6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}cgb>5<42;0?w)=;f;dg?M5?<2B8::5+10792>"6i=0?985U35g95~?>2tc2?7>5;hc4>5<5<22;0=w)=;f;de?!7f<3>>96T<4d825;h3g4?6=3`k<6=44i8d94?=n1:0;66lif;292?6=8r.84o4>019Y71c=9r326pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;3?6=93:11<7*<8c82f==;27mj777;|q:b?6=:rT2j63if;0:b>{t9m:1<7e983>7}Y9l201kh51c:8yv5103:1>v3if;;4?85??3;h86srb083>4<729q/?;854:&00c<68=1/?5l53808 4g32=?>7b??3;29 6>e28h376s|1d:94?4|V8o370?j8;3f<>{ti>0;6?uQa69>5`>=i>1v4=50;0xZ<5<58o364=4}r094?5|58o360=zuk;<;7>53;090~"46;5+1`69003n65;n3f55;092~"4a58710=];=o1=v76:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:0y:=?{n9891<7*<8c8256==n110;6)=7b;;;?>o4;>0;6)=7b;103>=n:0l1<7*<8c81=c=<3th84:4?:083>5}#;1h1=o=4o0a7>5<#;1h1=o64;|qb3?6=:rTj;63if;327>{t1:0;6?uQ929>bc<>02wx5k4?:3y]=c=:no095k5rs0f3>5<5sW;o<63if;103>{t9l21<77}:no02;63<8682g1=zuk;1<7?50;2x 6012=1/?9h51168 6>e2:397)?n4;661>i68:0;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:i55rs`594?4|Vh=014643-9=:7;4}|`23=<72:0969u+35d9ba=O;1>0D>88;%321?0<,8k?69;:;[17a?4|1002h7sf9283>>of?3:17b?j8;29?g7b03:197<56z&00c5;hc4>5<>dan3:1:7>50z&05$2:a>47432c2;7>5$2:a><1<3`336=4+39`9===5$2:a>7?a32e:n54?:%1;f?7e021vn>68:182>5<7s-93n7?m3:m2g1<72-93n7?m8:9~wd1=838pRl94=gd95454=gd976152z\2a==:no0:n55rs24;>5<5s4lm6494=2:4>4e33twi=7>51;294~"4>?0?7)=;f;330>"40k085?5+1`69003<3ty:i54?:3y]5`><58o36e98b3>{t1:0;6?uQ929>5`>=1:1v?4?:2y>5`>=9m:012.:m94;549Y71c=:r3264j5}h;0>5<=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;0x=<<>l3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd6010;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q257s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t988~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm19;94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=<5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s031qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj82j6=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v76:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c3;f?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>7}>133o6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<5s0315i4ro0g;>5<=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a5<6=8391>7:t$26e>cb<@:2?7E=97:&250<03-;j87::5:X00`<5s0315i4ri8194?=ni>0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b2;q2577k:|m2a=<722c:h=4?::kb3?6=3`3m6=44i8194?=eno0;6;4?:1y'7=d=99:0V>:j:3y:=??c2tc:=>4?:%1;f?76;21b5:4?:%1;f??032c247>5$2:a><><3`98;7>5$2:a>65032c95k4?:%1;f?4>n21d=o650;&0b29l5f2=83.84o4>b998yvg02909wSo8;4743ty2?7>52z\:7>;an3337p}6f;296~X>n27mj7<6f:p5a6=838pR6503ty:i54?:3y]5`><5ol1=o64}r155}#;?<186*<4g8241=#;1h1?4<4$0c7>1323f;;?7>5$2:a>4d?32wx=h650;0xZ4c?34;n47?j8:pe2<72;qUm:521d:9e2=z{091<789:49~ygb529086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srbe394?5=939pD>88;%17b?7f?2cjj7>5;h`3>5<5<4290;w)=;f;1;7>N40=1C?;94$2:a>6>53-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xufn3:1>vPnf:?0=5<68>1vo>50;0xZg6<5:3;6<>9;|q075<72;qU?>>4=2;3>4d63twih=4?:282>6}O;?=0(>:i:0c4?lga2900eo>50;9l766=831i?4>50;194?6|,:>m6>6<;I1;0>N4>>1/?5l53908 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}rce>5<5sWkm70=60;333>{tj90;6?uQb19>7<6=99<0q~=<0;296~X4;916?4>51c38yxddn3:1?7?53zJ022=#;=l1=l94i`d94?=nj90;66a<3183>>d4190;6>4?:1y'71`=;190D>6;;I153>"40k084?5+1`690035$2:a>47432e:n<4?:%1;f?7e021vlh50;0xZd`<5:3;6<>8;|qa4?6=:rTi<63<918243=z{:9;6=4={_104>;4190:n<5r}c0:6?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg1029086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zjhk1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?nk50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c1f2?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8i:4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl;2483>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<;<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wimh4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a721=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn5h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f7?129096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=j9;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd3:10;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm42694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zjjn1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rbbg94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wiol4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pll5;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xdd>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}ca1>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{el?0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3tho47>53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&05<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg>d29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo<6:186>5<7s-9?j7?m7:J0<1=O;?=0(>6m:0`7?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54i02;>5<#;1h1=<=4;h33=?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e:k0;6>4?:1y'71`=9k?0D>6;;I153>"40k0:n95+1`690035$2:a>47432e:n<4?:%1;f?7e021vn?m50;194?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c3:6?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th:5>4?:283>5}#;=l1=o;4H2:7?M51?2.84o4>b59'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb0;7>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6e28h?7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th:4i4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl>8d83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e91l1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=:h50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f4>729096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?71;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd60;0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm19194?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj82?6=4::183!53n3987E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>8483>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6129086=4?{%17b?563A9386F<669'7=d=;91/=l:54478m461290/?5l51018?l77?3:1(>6m:030?>i6j80;6)=7b;3a<>=zj82<6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl>7`83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`23g<72<0;6=u+35d95g1<@:2?7E=97:&06m:030?>o6810;6)=7b;327>=n9931<7*<8c8256=<3th:;n4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207pl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;k:1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2`2>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i67>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?o:50;494?6|,:>m6>:4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876g>0883>!5?j3;:?65f11c94?"40k0:=>54o0`2>5<#;1h1=o64;|`fg?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnh7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thnj7>52;294~"40D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3thm=7>57;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21b==650;&06:18'7=d=98907d??a;29 6>e28;876g>0c83>!5?j3;:?65`1c394?"40k0:n554}c674?6==3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e<=;1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi89<50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f12429096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo:;4;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c671?6=;3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg23>3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi?i750;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6bf29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=kb;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4lj0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3ef94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:nn6=48:183!53n39>7E=74:J022=#;1h1?=5+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0n:18'7=d=98907d??b;29 6>e28;876a>b083>!5?j3;i465rb2fe>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;?0;6:4?:1y'71`=;<1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>47432c::18'7=d=9k207pl;3683>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e<:21<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb51:>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i68m7>52;294~"482.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi8>m50;694?6|,:>m6><4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907d??8;29 6>e28;876a>b083>!5?j3;i465rb51g>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd3;l0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi?hl50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f6cd29096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=jd;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4ml0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm3dd94?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:l;6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>h>:180>5<7s-9?j7=>;I1;0>N4>>1/?5l5319'5d2=<9:18'7=d=98907d??7;29 6>e28;876a>b083>!5?j3;i465rb2d1>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4n:0;694?:1y'71`=;;1C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=5$2:a>4d?32wi=?750;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm13c94?3=83:p(>:i:0`4?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298m46>290/?5l51018?j7e93:1(>6m:0`;?>{e9;h1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihl4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a``<72=0;6=u+35d977=O;1>0D>88;%1;f?573-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298m46?290/?5l51018?j7e93:1(>6m:0`;?>{elo0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme183>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em80;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme383>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{em:0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sme583>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`f1?6=<3:1N40=1C?;94$2:a>66<,8k?69;:;h332?6=,:2i63:9j55>=83.84o4>1298k4d6290/?5l51c:8?xdcj3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wihn4?:583>5}#;=l1??5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&07:18'7=d=98907b?m1;29 6>e28h376smde83>6<729q/?9h51c78L6>33A9=;6*<8c82f1=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i6t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vnoo50;794?6|,:>m6N4>>1/?5l51c68 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65f11:94?"40k0:=>54i02:>5<#;1h1=<=4;n3a5?6=,:2i682.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32winn4?:283>5}#;=l1?<5G3968L6003-93n7=?;%3b0?22=2c:<;4?:%1;f?76;21b==950;&0:18'7=d=9k207plmd;297?6=8r.88k4<1:J0<1=O;?=0(>6m:228 4g32=?>7d??6;29 6>e28;876g>0683>!5?j3;:?65`1c394?"40k0:n554}c`f>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd69h0;684?:1y'71`=9k=0D>6;;I153>"40k0:n95+1`690035$2:a>47432c:<54?:%1;f?76;21b==750;&0:18'7=d=9k207pl>1d83>6<729q/?9h5309K7=2<@:<<7)=7b;13?!7f<3>>96g>0783>!5?j3;:?65f11594?"40k0:=>54o0`2>5<#;1h1=o64;|`25c<72;0;6=u+35d9540<@:2?7E=97:&082.:m94;549j553=83.84o4>1298k4d6290/?5l51c:8L6>f32wi=?>50;094?6|,:>m6N4>>1/?5l5919'5d2=<::18'7=d=98907b?m1;29 6>e28h37E=7a:9~f44629096=4?{%17b?76>2B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo?=2;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd6::0;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm13694?3=83:p(>:i:218L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;h333:9l5g7=83.84o4>b998yg75=3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi=m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm10a94?5=83:p(>:i:0`6?M5?<2B8::5+39`95g2<,8k?69;:;h332?6=,:2i63:9l5g7=83.84o4>b998yg76l3:1?7>50z&00c<6j<1C?5:4H244?!5?j3;i86*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wx>84?:3y]60=::<0:i55rs3:94?4|5;?1m:522b82f4=z{;31<75<5s48j6;<0a>4603ty9n7>52z?1f?7e9279o7??7:p34<72b09>52`=99?01<9m:025?875i3;;:63>1g8240=z{1k1<7<5>=1==94}r:a>5<5<5:o;64=4=2aa><5<5:k264=4=257><5<5;3864=4=07`><5<5hh15>528b82f4=z{1n1<7<5>=1==84}r:f>5<3s42o6l94=9c9e2=:9;=1m:528g82f4=z{0>1<7?n{_;7?824833870l8:8189fd=1:16o:463:?`7??434hm64=4=2f7><5<5;?15>5210:9=6=:n002?63=958:7>;?l33870=85;;0?8gd20901<<8:8189`g=1:16=:95929>52>=1:16=:j5929>5=>=1:16=575929>5=g=1:16=5l5929>5<6=1:164n4>049~w<3=832pR4;4=gc9553<58386<>9;<3;a?77=27:4>4>049>52g=99=01<<6:024?875;3;;96s|a883>0}:ih0:n<521929553<58=i6<>8;<31e?77?27:>=4>049~wdd=838p1ll51d:89dg=99=0q~ol:1818gd28o370on:025?xufl3:1?v3nc;c4?8ge2h=01lk51c38yvd62909wSl>;d14c?34im6lh4=e69551<5li1==;4=563>46>34>8:7??6:?77=<68<16hh4>079>a5<68<16no4>049~wg>=838p1o95a69>f`<6j81vo750;0x9g?=9k;01om51158yvdf2909w0ln:0`2?8dc28:=7p}mb;296~;ej3;i=63md;333>{tjj0;6?u2bb82f4=:jl0:<;5rscf94?4|5kn1=o?4=cg95514c?34im6o>4=e69550<5lo1==;4=562>46134>8:7??7:?772<68<16hh4>069>`c<68<16nl4>079~wf6=838p1oh5a69>g7<6j81vn?50;0x9f7=9k;01n<51158yvd32902w0m<:0g;?8b72k:01i8511489c6=99?019:>:024?824>3;;463;388240=:m:0:<852b`8242=z{j>1<76=47{4c?34n:6o>4=e:9550<5ll1==;4=561>46234>8n7??5:?f6?77=27im7??8:pg=<72;q6o:4n7:?`e?7e92wxo44?:3y>g<<6j816ol4>069~wg0=832p1nl51d:89a4=j916j<4>069>015=99?019=n:026?8bb28:370k>:026?8df28:27p}lc;296~;dj3k<70mj:0`2?xudl3:1>v3ld;3a5>;dm3;;;6s|d283>6}:l90jj63k4;3a5>;c>3;;;6s|d483>6}:l80jj63k6;3a5>;c03;;;6s|d683>7}:l;0jj63k8;3a5>{tl00;68u2ce8240=:k00:<852c48240=:k80:<852de82f4=z{mk1<71==94}rfa>5<5s4ni6;4603tyoo7>52z?gg?7e927oh7??7:p``<72;q6hh4>b09>`g<68?1vih50;0x9a`=9k;01h;51158yvc72909w0k?:0`2?8c228:=7p}j1;296~;b93;i=63j5;33<>{tm;0;6?u2e382f4=:lj0:<;5rsd194?4|5l91=o?4=ea955>4d634no6<>9;|qf1?6=:r7n97?m1:?gf?77?2wxi;4?:3y>gc<4;916o?4>079~w`1=838p1i>532289f0=99<0q~k7:1818b62:9;70mn:025?xub13:1>v3k2;104>;dm3;;:6s|e`83>0}:mh0:i5521939553<58=i6<>7;<31e?77027:><4>049~w`d=838p1ho5a69>b4<6j81vhm50;0x9`e=9k;01k?51148yvcc2909w0kk:0`2?8`628:37p}je;296~;bm3;i=63i1;33e>{tmo0;6?u2eg82f4=:n80:<45rsg294?4|5o:1=o?4=g3955dd1<5o21=h64=ec9553<5k31==;4}rd:>5<4s4l2646134hh6<>9;|qef?6=:r7m477<;4d63ty:=54?:5y]54><58;3627??;4>089~w47>2909w0?>8;c4?876l3;i=6s|10c94?4|58;j6;<32`?77>2wx=c;333>{t98i1<74d634;:h7??7:p54c=838p1;<310?77>2wx=?>50;0x944728h:70?=4;333>{t9;;1<74d634;987??8:p574=838p1<<=:0`2?875<3;;56s|13194?4|58886;<311?77?2wx=?:50;0x944328h:70?=5;332>{t9;?1<74d634;:n7??7:p571=838pR<<8;<313?7b02wx=?650;0x944020=01<9;|q26d<72;q6=?o51c38944e28:<7p}>4`83>7}Y9=k01>k8:025?xu6=90;6?uQ1428943d2h=0q~?:c;291~;6=j0:i5522`8242=:9081==;4=0:g>46134;:i7??6:p521=838p1<98:0g;?87>=3;;:6s|16:94?5|58=<6l94=05;>4c?349on7??5:p52?=838p1<97:`58941d28h:7p}>7`83>7}:9>k1=o?4=05`>4613ty:;o4?:3y>52d=9k;01<9l:024?xu6?m0;6lu216f95`><5:in6<>9;<0:>46>34;3:7??6:?23d<68?16?o<5117896b>28:>70=jf;331>;6:00:<;5210`955052z?23ab09~w41a2909w0?8f;3a5>;60=0:<;5rs0:3>5<5s4;3<7?m1:?2<1<68>1v<6>:18187?93;i=63>85824==z{8296=4={<3;6?7e927:494>089~w4>42909w0?73;3a5>;60<0:<:5rs0:7>5<5s4;387?m1:?2<0<68?1v<6::18187?=3;i=63>878242=z{82=6=4={<3;2?7e927:4:4>069~w4>?2903w0?78;3f<>;4kl0:<:522c8243=:91=1==84=2`2>462349o;7??5:?0a`<68<16=898b3>;6000:i55228824==:;hl1==;4=2f;>462349nh7??5:?25d<6811v<6n:18487?13k<70?7a;3f<>;513;;:6346234;:m7??6:p5=d=83=p1<6n:`5894>e28o370<6:024?85e;3;;9634603ty:4n4?:3y>5=d=i>16=5h51c38yv7?l3:1>v3>8e82f4=:91l1==84}r3;a?6=:r7:4h4>b09>5=`=99=0q~?60;291~;6190:i5522`8243=:90>1==84=0:g>46034;:i7??7:p5<7=838p1<7?:`5894?228h:7p}>9383>7}:9081=o?4=0;0>4603ty:5>4?:3y>5<5=9k;01<7;:024?xu61=0;6?u218695g7<583>6<>8;|q2e4<72;qU=l?4=505>4613ty:m?4?:2y]5d4<5:no6<>:;<1e6?77>2wx>4?50;7x97?528h:70?72;331>;6?k0:<45213c955?<58896<>:;|q1=6<72;q6>4=51d:897?528:<7p}=9583>7}::0>1=h64=3;1>4613ty9584?:2y>6<2=i>16>4=5a69>6<0=9k;0q~=84;297~;4?=0:i552452955><5=9=6<>n;|q030<72:q6?:;51d:8912728:<70:<6;33f>{t;><1<7=t=256>d1<5:=?6l94=254>4d63ty8m44?:3y]7d?<5:k267g2=9k;0q~=nf;296~;4io0:n<523c6955152z?0f5<6j816?o:51148yv5e93:1>v31==64}r1a6?6=:r78n?4>b09>7g2=9930q~=m3;296~;4j:0:n<523c6955g54z\0f0=:;1?1===4=513><`<588<64h4}r1a3?6=:rT8n:528g8240=z{:i86=4={_1`7>;fm3;;96s|3b`94?4|V:ii70=lb;3f<>{t;jn1<7d1<5:in6;|q0`1<72;qU?i:4=2f7>4c?3ty8h;4?:3y>7a2=i>16?ih51c38yv5c?3:1>v3b09>7ac=99<0q~=k9;296~;4l00:n<523eg955>52z?0`d<6j816?ik511c8yv5cj3:1>v3b09>7ac=99h0q~=kd;296~;4lm0:n<523ed955152z?0``<6j816?ih51148yv5b83:1>vP7`6=9l20q~=j5;296~;4m>0:n<523d;955352z?0a3<6j816?h951158yv5b03:1>v3;4m00:n<5rs2gb>5<4s49n:7??5:?760<68<16?k=51c38yv5bj3:1>v3b09>7c6=99=0q~=jd;296~;4mm0:n<523g1955052z?0a`<6j816?k?51148yv5bn3:1>v3b09>7c4=99=0q~=i1;296~;4n80:n<523g1955>7>52z?0b7<6j816?k=51158yv26?3:1>vP;169>6<0=99?0q~:>f;296~X39o168v3;2782f4=:<;21==;4}r611?6=:r7?>84>b09>070=99=0q~:=7;296~;39o0j;63;2982f4=z{=9;6=4<{_604>;3;90:i552ee8240=z{=986=4={<604?g034>887?m1:p063=838p19=?:858915b28h:7p};3783>7}:<:<1=o?4=51g>4613ty??:4?:3y>061=9k;019=l:024?xu3;10;6?u242:95g7<5=9h6<>9;|q77<<72;q68>751c38915d28:37p};3`83>7}:<:k1=o?4=51f>4613ty??o4?:3y>06d=9k;019=j:02;?xu3;j0;6?u242a95g7<5=9o6<>8;|q77a<72;q68>j51c38915b28:<7p};3g83>7}:<:>1==;4=565>4d63ty?8=4?:3y>016=9k;019:9:025?xu3<80;6?u245395g7<5=>>6<>9;|q707<72;q689<51c38912328:=7p};4283>7}:<=91=o?4=567>4603ty?894?:3y>012=9k;019:::024?xu3<<0;6?u245795g7<5=>=6<>8;|q702<72;qU8994=254>4623twi?4950;597d<4lrB8::5+35d9e5=#9h91?974Z26f>4}>j3wd??l50;9j6`e=831d??750;9jbf<722e89=4?::m01f<722c2:7>5;c1;1?6=93:10D>88;%1;f?5>:2.:m94;549l555=83.84o4>b998yg5283:197<56z&00c7W=;e;3x=g>o>;3:17do8:188k4c?2900n5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:;>5<6290;w)=7b;1:6>i6j10;6)=7b;3a<>=z{8o36=4={_3f<>;6m10:n55rs`594?4|Vh=01n3:1>vP6f:?2a=<51o1v4950;0xZ<1<58o36494}r;0>5<5sW3870?j8;;;?xu68=0;6?u21d:9761<5:23678t$26e>cc<@:2?7E=97:&2e1<3=<1Q?9k51z;a>xo>?3:17d7i:188m<5=831bm:4?::m2a=<722h:i54?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l53808k4d?290/?5l51c:8?xu6m10;6?uQ1d:894c?28h37p}n7;296~Xf?27:i54>129~w<`=838pR4h4=0g;>7?a3ty2;7>52z\:3>;6m102;6s|9283>7}Y1:16=h65999~w4632909w0?j8;103>;4010:n55r}c12=?6==381:v*<4g8ea>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5:4?::k:b?6=3`386=44i`594?=h9l21<75m1d:94?0=83:p(>6m:023?_53m3;p5o4ri030>5<#;1h1=<=4;h;4>5<#;1h15:54i8:94?"40k02465f32594?"40k08?:54i3;e>5<#;1h1>4h4;n3a=83;1<7>t$2:a>6?53f;i47>5$2:a>4d?32wx=h650;0xZ4c?34;n47?m8:pe2<72;qUm:521d:95457}Y1>16=h65969~w<5=838pR4=4=0g;><>52z?2a=<4;>16?5651c:8yxd4=j0;684=:7y'71`=nl1C?5:4H244?!7f<3>>96T<4d82019Y71c=9r3i6pg>1283>!5?j3;:?65f9683>!5?j33<76g68;29 6>e20207d=<7;29 6>e2:9<76g=9g83>!5?j382j65`1c:94?"40k0:n554}c1;52z\2a==:9l21=o64}rc4>5<5sWk<70?j8;327>{t1o0;6?uQ9g9>5`>=:0l0q~78:181[?034;n4778;|q:7?6=:rT2?63>e98:<>{t99>1<7650349347?m8:~f7`229086?4;{%17b?`c3A9386F<669'543=>2.:m94;549Y71c=9r3i6pg63;29?lg02900c6?49{%17b?`a3-;j87::5:X00`<6s0h1qb?j8;29?l7c83:17do8:188m<`=831b5>4?::`eb?6=>3:13:9j=2<72-93n778;:k:=8;:k1=c<72-93n7<6f:9l5g>=83.84o4>b998yg5??3:1=7>50z&0n3:1>vP6f:?eb?4>n2wx=i>50;0xZ4b734lm6>=8;|q2a=<72;qU=h64=gd95g>52z?eb??03493;7?l4:~f4<7280;6=u+37490>"4=838pR:j:0y:f?{n1:0;66gn7;29?j7b03:17o?j8;291?4=>r.88k4if:&2e1<3=<1Q?9k51z;a>xi6m10;66g>d183>>of?3:17d7i:188m<5=831ijk4?:783>5}#;1h1==>4Z26f>4}>j3wb=<=50;&0?21b554?:%1;f???32c8?:4?:%1;f?54?21b>4h50;&04<729q/?5l51c18k4e3290/?5l51c:8?xuf?3:1>vPn7:?eb?76;2wx5>4?:3y]=6=:no0246s|9g83>7}Y1o16jk4=9g9~w4b72909wS?k0:?eb?54?2wx=h650;0xZ4c?34lm67=;%3b0?22=2e:<>4?:%1;f?7e021ve99~wd1=838pRl94=0g;>d1e98:b>;628:87)=96;78yxd5n>0;6>4=:5y'71`=nm1C?5:4H244?!76=3<0(0;66a>e983>>d6m10;684=:7y'71`=no1/=l:54478^62b28q2n7s`1d:94?=n9m:1<75fa683>>o>n3:17d7<:188fc`=83<1<7>t$2:a>4673S9?i7?t9c8~m474290/?5l51018?l?0290/?5l59698m<>=83.84o468:9j761=83.84o4<3698m7?a290/?5l528d8?j7e03:1(>6m:0`;?>{e;1=1<7?50;2x 6>e28h87b?l4;29 6>e28h376s|a683>7}Yi>16jk4>129~w<5=838pR4=4=gd9===z{0l1<716?5951b68yxd6290:6=4?{%152?2<,:>m6<>;;%1;f?5>:2.:m94;549l555=83.84o4>b998yv7b03:1>vP>e99>5`>=9l20q~o8:181[g034;n47o8;|q:7?6=:rT2?63>e98:7>{t:3:1?v3>e982`5=:9l215k521;337>"4>?0>7psm2g:94?5=:3>p(>:i:gf8L6>33A9=;6*>1485?!7f<3>>96T<4d82:i:gd8 4g32=?>7W=;e;3x=g5<>o>;3:17ohi:185>5<7s-93n7??0:X00`<6s0h1qd?>3;29 6>e28;876g67;29 6>e20=07d77:18'7=d=1110e>=8:18'7=d=;:=07d<6f;29 6>e2;3m76a>b983>!5?j3;i465rb2:4>5<6290;w)=7b;3a7>i6k=0;6)=7b;3a<>=z{h=1<75<5sW3m70hi:3;e?xu6l90;6?uQ1e289c`=;:=0q~?j8;296~X6m116jk4>b99~w60?2909w0hi:85896>028i?7psm1;295?6=8r.8:;4;;%17b?77<2.84o4<939'5d2=<<:18'7=d=9k207p}>e983>7}Y9l201vPn7:?2a=4?:3y]=6=:9l215>5rs383>6}:9l21=i>4=0g;><`<580:<>5+37491>{zj;l26=4<:387!53n3lo7E=74:J022=#98?1:6*>a58710=];=o1=v7m:|k:7?6=3`k<6=44o0g;>5<\45;hc4>5<>dan3:1:7>50z&0xo69:0;6)=7b;327>=n1>0;6)=7b;;4?>o>03:1(>6m:8:8?l54?3:1(>6m:214?>o51o0;6)=7b;0:b>=h9k21<7*<8c82f==51;294~"40k0:n>5`1b694?"40k0:n554}rc4>5<5sWk<70hi:030?xu>;3:1>vP63:?eb???3ty2j7>52z\:b>;an382j6s|1e294?4|V8n;70hi:214?xu6m10;6?uQ1d:89c`=9k20q~=98;296~;an33<70=77;3`0>{zj80;6<4?:1y'730=<2.88k4>059'7=d=;080(6m:0`;?>{t9l21<77}Yi>16=h65a69~w<5=838pR4=4=0g;><54b734;n477i;<39555<,:<=685r}c0ee?6=;3818v*<4g8e`>N40=1C?;94$036>3=#9h>188;4Z26f>4}>j3wb5>4?::kb3?6=3f;n47>5;c3f"6i=0?985U35g95~?e2te:i54?::k2`5<722cj;7>5;h;e>5<3<729q/?5l51128^62b28q2n7sf10194?"40k0:=>54i8594?"40k02;65f9983>!5?j33376g<3683>!5?j398;65f28d94?"40k095k54o0`;>5<#;1h1=o64;|`0<2<7280;6=u+39`95g5<3tyj;7>52z\b3>;an3;:?6s|9283>7}Y1:16jk468:p=c<72;qU5k52fg81=c=z{8n;6=4={_3g4>;an398;6s|1d:94?4|V8o370hi:0`;?xu4>10;6?u2fg8:3>;40>0:o95r}c394?7=83:p(>89:59'71`=99>0(>6m:2;1?!7f<3>>96a>0283>!5?j3;i465rs0g;>5<5sW;n463>e982a==z{h=1<75<4s4;n47?k0:?2a=<>n27:6<><;%152?31}#;=l1ji5G3968L6003-;:9784$0c7>1323S9?i7?t9c8~m<5=831bm:4?::m2a=<722h:i54?:481>3}#;=l1jk5+1`69003n6=831b=i>50;9je2<722c2j7>5;h;0>5<e28:;7W=;e;3x=g=n;:=1<7*<8c8072=5$2:a>4d?32wi?5950;394?6|,:2i64h4}r3g4?6=:rT:h=52fg8072=z{8o36=4={_3f<>;an3;i46s|37:94?4|5ol15:5239595f2:183!51>3>0(>:i:027?!5?j392>6*>a58710=h9991<7*<8c82f==52z\2a==:9l21=h64}rc4>5<5sWk<70?j8;c4?xu>;3:1>vP63:?2a=<>;2wx>7>53z?2a=<6l916=h659g9>5?77;2.8:;4:;|a6ce=8391>7:t$26e>cb<@:2?7E=97:&250<13-;j87::5:X00`<6s0h1qd7<:188md1=831d=h650;9a5`>=83?1>78t$26e>c`<,8k?69;:;[17a?7|1k0vc5;cde>5<1290;w)=7b;334>\45<#;1h15554i214>5<#;1h1?>94;h0:b?6=,:2i6?7i;:m2f=<72-93n7?m8:9~f6>0290:6=4?{%1;f?7e;2e:o94?:%1;f?7e021vl950;0xZd1<5ol1=<=4}r;0>5<5sW3870hi:8:8yv?a2909wS7i;7?a3ty:h=4?:3y]5a6<5ol1?>94}r3f<1<5:2<6188;4o020>5<#;1h1=o64;|q2a=<72;qU=h64=0g;>4c?3tyj;7>52z\b3>;6m10j;6s|9283>7}Y1:16=h65929~w7<72:q6=h651e2894c?20l01<4>029'730==2wvn>><:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj::96=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f66629086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb223>5<42808wE=97:&00c<6i>1bmk4?::ka4?6=3f98<7>5;c1:4?6=;3:10D>88;%1;f?5?:2.:m94;549j550=83.84o4>1298m460290/?5l51018?j7e93:1(>6m:0`;?>{tio0;6?uQag9>7<6=99=0q~l?:181[d73492<7??6:p766=838pR>=?;<1:4?7e92wvn?hi:180>4<4sA9=;6*<4g82e2=nio0;66gm0;29?j5483:17o=60;297?6=8r.88k4<829K7=2<@:<<7)=7b;1;6>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i683;;:6s|32294?4|V:9;70=60;3a5>{zj;ln6=4<:080M51?2.88k4>a69jec<722ci<7>5;n104?6=3k92<7>53;294~"45G3968L6003-93n7=72:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376s|ag83>7}Yio16?4>51158yvd72909wSl?;<1:4?77>2wx?>>50;0xZ6573492<7?m1:~f7`c29086<4<{I153>"4>oe83:17b=<0;29?g5>83:1?7>50z&00c<40:1C?5:4H244?!5?j393>6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wxmk4?:3y]ec=:;0:1==94}r`3>5<5sWh;70=60;332>{t;::1<783;i=6srb20b>5<5290;w)=;f;322>N40=1C?;94$2:a><6<,8k?69;:;h331?6=,:2i6j7>52;294~"46m:030?>i6j80;6)=7b;3a<>=zj:;o6=4<:183!53n3;i96F<859K731<,:2i6:18'7=d=9k207pl<1c83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;9<1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?=650;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31c94?5=83:p(>:i:238L6>33A9=;6*<8c804>"6i=0?985f11494?"40k0:=>54i024>5<#;1h1=<=4;n3a5?6=,:2i6t$26e>67<@:2?7E=97:&01298m460290/?5l51018?j7e93:1(>6m:0`;?>{e;9o1<7=50;2x 62a2:;0D>6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>4d?32wi?<>50;194?6|,:>m6>?4H2:7?M51?2.84o4<0:&2e1<3=<1b==850;&08:18'7=d=98907b?m1;29 6>e28h376sm31694?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:8h6=4<:183!53n39:7E=74:J022=#;1h1?=5+1`690035$2:a>47432e:n<4?:%1;f?7e021vn>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55m3:1?7>50z&00c<492B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==53;294~"4>4$0c7>1323`;;:7>5$2:a>47432c:<:4?:%1;f?76;21d=o?50;&0f;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4:90;6?4?:1y'71`=98<0D>6;;I153>"40k02<6*>a58710=n99?1<7*<8c8256=<@:2j76sm33394?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:896=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c117?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th8>94?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a773=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn><9:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg55?3:157>50z&00c<4?2B8495G3758 6>e2::0(3:1(>6m:030?>o68>0;6)=7b;327>=n9921<7*<8c8256=5$2:a>47432c:k:18'7=d=98907b?m1;29 6>e28h376sm34094?4=83:p(>:i:035?M5?<2B8::5+39`9=5=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i6=zj:??6=4=:183!53n3;::6F<859K731<,:2i64>4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e02B84l54}c161?6=:3:10D>88;%1;f??73-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b99K7=g<3th89;4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a701=8381<7>t$26e>4713A9386F<669'7=d=191/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?M5?i21vn>;7:181>5<7s-9?j7?>6:J0<1=O;?=0(>6m:828 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i46F<8`98yg5213:1>7>50z&00c<69?1C?5:4H244?!5?j33;7)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==O;1k07pl<5`83>7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;6;;I153>"40k08<6*>a58710=n99<1<7*<8c8256=5$2:a>47432c:<44?:%1;f?76;21b==o50;&0m:18'7=d=98907d??c;29 6>e28;876a>b083>!5?j3;i465rb270>5<4290;w)=;f;3a1>N40=1C?;94$2:a>4d33-;j87::5:k243<72-93n7?>3:9j551=83.84o4>1298k4d6290/?5l51c:8?xd4>;0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th8:>4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a732=8391<7>t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==;4=h0:<8523719553<`<5:;264h4=27`><`52z\1af=:;8n1==84}r0f`?6=>r79j84>e99>6cb=io16?=851158966328:>70=>f;331>;4=;0:<85rs3gf>5<2s48m:7?j8:?1ba079>776=99?01>;;:026?xu5mo0;68u22g595`><5;ln6o>4=22;>4613499=7??5:?010<68<1v?h?:18684a03;n463=fg8a4>;48h0:<;523309553<5:?=6<>:;|q1b4<72k751d:896672k:01>>l:025?855;3;;963<598240=z{;l96=49{<0ee?7b0278<<4m0:?04`<68?16??k51148964328:>70=:7;331>{t:o91<78t=3da>4c?349;>7l?;<124?77>278>n4>069>773=99?01>;6:026?xu5n=0;69u22ga95`><5::86o>4=20`>4613499:7??5:p753=839p1?hj:`d8966128h:70=?8;333>{t;9=1<7=t=3de>d`<5::36;<13e?77?2wx?=750;1x96672hl01>>n:0`2?857k3;;;6s|31`94?5|5:::6lh4=22`>4d6349;i7??7:p75b=839p1>>=:`d8966b28h:70=>0;333>{t;9l1<7d`<5:;;6;|q054<72;q6>k;5a69>752=9k;0q~=>2;296~;5n?0j;63=fe8075=z{:;86=4={<0e3?g0348mi7=<0:p742=838p1?h7:`5897`a2:9;7p}<1483>7}::o31m:52312976652z?1bd6573ty8=44?:2y>74?=9l201>4d63ty8=n4?:3y>74?=1>16?4623499;7?m1:?027<68?1v>?i:181856n3;i=63<268243=z{:8;6=4={<114?7e9278>:4>069~w6462909w0==1;3a5>;4:>0:<55rs201>5<5s499>7?m1:?062<6801v><<:181855;3;i=63<26824d=z{:8?6=4={<110?7e9278>:4>0c9~w6422909w0==5;3a5>;4:>0:5<5s499:7?m1:?062<68m1v><6:181[551278>l4>b09~w64e2909wS==b:?06c<6j81v>h4>069~w64b2909w0==e;3a5>;4:o0:<:5rs273>5<5sW9><63<5182a==z{:?:6=4={<164??0349>?7?m1:p704=838p1>;=:0`2?852j3;;:6s|34694?4|5:??6;<16f?77?2wx?8;50;0x963228h:70=:b;33<>{t;<<1<74d6349>n7??9:p701=838p1>;8:0`2?852j3;;n6s|34:94?4|5:?36;<16f?77i2wx?8750;0x963>28h:70=:b;33g>{t;4d6349>?7??7:p70d=838p1>;m:0`2?852;3;;:6s|34a94?4|V:?h70=:c;3f<>{t;4c?349=>7??7:p70c=838p1>;k:858960728h:7p}<5g83>7}:;4603ty8:<4?:3y>70e=1>16?;:51c38yv51:3:1>v3<6382f4=:;?>1==84}r157?6=:r78:>4>b09>732=99=0qpl7<729q/?9h51048L6>33A9=;6*<8c8:4>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64H2:b?>{e;0>1<7<50;2x 62a28;=7E=74:J022=#;1h15=5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?3A93m65rb2;6>5<4290;w)=;f;12?M5?<2B8::5+39`975=#9h>188;4i025>5<#;1h1=<=4;h333?6=,:2i62B8495G3758 6>e20:0(6m:030?>i6j80;6)=7b;3a<>N40h10qo=n3;296?6=8r.88k4>179K7=2<@:<<7)=7b;;3?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n55G39c8?xd4i=0;6>4?:1y'71`=;81C?5:4H244?!5?j39;7)?n4;661>o68?0;6)=7b;327>=n99=1<7*<8c8256=<3th85n4?:383>5}#;=l1=<84H2:7?M51?2.84o460:&2e1<3=<1b==;50;&0:18'7=d=9k20D>6n;:a7t$26e>4d23A9386F<669'7=d=9k>0(3:1(>6m:030?>o68>0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th8mo4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9<>:181>5<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb53;>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0g2<72;0;6=u+35d9544<@:2?7E=97:&082B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4m;0;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj0k1<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3thm;7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi88>50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl93;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6383>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7394?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c7e>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`6a?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6t$26e>4753A9386F<669'7=d=191C=?k4$0;5>4743-;j87::5:k240<72-93n7?>3:9l5g7=83.84o4>b998yg3e29096=4?{%17b?76:2B8495G3758 6>e20:0D<1298k4d6290/?5l51c:8?xd2i3:1>7>50z&00c<69;1C?5:4H244?!5?j33;7E?=e:&2=3<69:1/=l:54478m462290/?5l51018?j7e93:1(>6m:0`;?>{e=00;6?4?:1y'71`=9880D>6;;I153>"40k02<6F>2d9'5<0=9890(6m:030?>i6j80;6)=7b;3a<>=zj<21<7<50;2x 62a28;97E=74:J022=#;1h15=5G13g8 4?128;87)?n4;661>o68<0;6)=7b;327>=h9k;1<7*<8c82f==6F<859K731<,:2i64>4H00f?!7>>3;:?6*>a58710=n99?1<7*<8c8256=<3th>:7>52;294~"4"61?0:=>5+1`690036=4+39`9545<3f;i=7>5$2:a>4d?32wi:n4?:383>5}#;=l1=<<4H2:7?M51?2.84o460:J26`=#90<1=<=4$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn;l50;094?6|,:>m6N4>>1/?5l5919K57c<,83=65<7s-9?j7?>2:J0<1=O;?=0(>6m:828L44b3-;2:7?>3:&2e1<3=<1b==;50;&0:18'7=d=9k207pl99;296?6=8r.88k4>139K7=2<@:<<7)=7b;;3?M75m2.:5;4>129'5d2=<::18'7=d=98907b?m1;29 6>e28h376sm6983>7<729q/?9h51008L6>33A9=;6*<8c8:4>N6:l1/=4851018 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb7594?4=83:p(>:i:031?M5?<2B8::5+39`9=5=O9;o0(<79:030?!7f<3>>96g>0483>!5?j3;:?65`1c394?"40k0:n554}c45>5<5290;w)=;f;326>N40=1C?;94$2:a><6<@88n7)?66;327>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`51?6=:3:10D>88;%1;f??73A;9i6*>978256=#9h>188;4i026>5<#;1h1=<=4;n3a5?6=,:2i682B:>h5+1849545<,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd36;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj=;n6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th?=;4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn9>k:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2cg>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0f3<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd3::0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:ln6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8m84?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn>o7:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl;1c83>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb2a`>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`0a1<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd4l:0;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj:n>6=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th8nl4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?;n:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=5883>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb3:a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`1<0<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6e20:0D<1298k4d6290/?5l51c:8?xd5?00;6?4?:1y'71`=9k80D>6;;I153>"40k02<6F>2d9'5<0=9k20(6m:030?>i6j80;6)=7b;3a<>=zj;=86=4=:183!53n3;i>6F<859K731<,:2i64>4H00f?!7>>3;i46*>a58710=n99?1<7*<8c8256=<3th9:i4?:383>5}#;=l1=o<4H2:7?M51?2.84o460:J26`=#90<1=o64$0c7>1323`;;97>5$2:a>47432e:n<4?:%1;f?7e021vn?88:181>5<7s-9?j7?m2:J0<1=O;?=0(>6m:828L44b3-;2:7?m8:&2e1<3=<1b==;50;&0:18'7=d=9k207pl=6083>7<729q/?9h51c08L6>33A9=;6*<8c8:4>N6:l1/=4851c:8 4g32=?>7d??5;29 6>e28;876a>b083>!5?j3;i465rb37a>5<5290;w)=;f;3a6>N40=1C?;94$2:a><6<@88n7)?66;3a<>"6i=0?985f11794?"40k0:=>54o0`2>5<#;1h1=o64;|`116<72;0;6=u+35d95g4<@:2?7E=97:&082B:>h5+18495g><,8k?69;:;h331?6=,:2i6t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb2d94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9>50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=;1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f14=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5194?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9:50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=?1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f10=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5594?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9650;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=31<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1g=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb5`94?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn9j50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj=o1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f1`=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4294?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8?50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj<81<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4Z264>4}4l3wQ==?51z173?{];=o1=v=k:|k2g3<72-93n7?l6:9~f05=83;1<7>t$2:a>4e13A9=;6F>159'5<0=9890V>:8:0y0`?{]99;1=v=;7;Y71c=9r9o6pg>c783>!5?j3;h:65rb4694?7=83:p(>6m:0a5?M51?2B:=95+1849545<621vn8;50;394?6|,:2i6N69=1/=4851018^62028q8h7sU11395~53?3wQ?9k51z1g>xo6k?0;6)=7b;3`2>=zj031<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`:f?6=93:121vn4j50;394?6|,:2i6N69=1/=4851018m4e1290/?5l51b48?xda>3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb0g:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi=ho50;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd6mj0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:n55`1b494?"40k0:o;54}c3f`?6=93:121vn5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e9ll1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`2b5<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj8l96=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9k20cf583>4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f6g7290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm3`494?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>129j5f0=83.84o4>c798yg5fk3:1=7>50z&0>3;i46a>c783>!5?j3;h:65rb2`6>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi?o750;394?6|,:2i6N69=1/=4851c:8k4e1290/?5l51b48?xd4jk0;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c1a`?6=93:121vn>m;:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e;j<1<7?50;2x 6>e28i=7E=97:J251=#90<1=<=4i0a5>5<#;1h1=n84;|`0gg<7280;6=u+39`95f0<@:<<7E?>4:&2=3<6j11d=n850;&0c79K731<@8;?7)?66;3a<>i6k?0;6)=7b;3`2>=zj:n?6=4>:183!5?j3;h:6F<669K542<,83=6t$2:a>4e13A9=;6F>159'5<0=9890e4<729q/?5l51b48L6003A;:86*>9782f==h9j<1<7*<8c82g3=51;294~"40k0:o;5G3758L4733-;2:7?m8:m2g3<72-93n7?l6:9~f16d290:6=4?{%1;f?7d>2B8::5G1068 4?128h37b?l6;29 6>e28i=76sm41g94?7=83:p(>6m:0a5?M51?2B:=95+18495g>5}#;1h1=n84H244?M76<2.:5;4>b99l5f0=83.84o4>c798yg26?3:1=7>50z&0>3;:?6g>c783>!5?j3;h:65rb53:>5<6290;w)=7b;3`2>N4>>1C=<:4$0;5>4d?3f;h:7>5$2:a>4e132wi8N69=1/=4851c:8k4e1290/?5l51b48?xd3:90;6<4?:1y'7=d=9j<0D>88;I320>"61?0:=>5f1b494?"40k0:o;54}c616?6=93:121vn9=>:182>5<7s-93n7?l6:J022=O98>0(<79:0`;?j7d>3:1(>6m:0a5?>{e<=k1<7?50;2x 6>e28i=7E=97:J251=#90<1=o64o0a5>5<#;1h1=n84;|`70f<7280;6=u+39`95f0<@:<<7E?>4:&2=3<69:1b=n850;&0c79K731<@8;?7)?66;327>o6k?0;6)=7b;3`2>=zj8ki6=4?:183!53n39?:6F<649K713=6>u+35`95f?<,:>j6:k:183>5<7s-9?j7=;d:&2e1<3=<1C?9;4L2:g>6}#;=h1=nm4$26b>4ee3-9?o7?ld:~w70=838p1>7j:37896g528:>7p}=7;296~;41o099637b<5:k<6<>:;|q0a?6=;rT8i63:6;331>;4m3;h:6s|3g83>6}Y;o169:4>049>7c<6k?1v9>50;1xZ16<5<21==;4=5295f0:6=4<{_62?83>28:>70:>:0a5?xu3:3:1?vP;2:?6e?77=27?>7?l6:p06<72:qU8>525c8240=:<:0:o;5rs5694?5|V=>018m51178912=9j<0q~:::180[2234?o6<>:;<66>4e13ty?:7>53z\72>;2m3;;963;6;3`2>{t<>0;6>uQ469>1c<68<168:4>c79~w1>=839pR964=729553<5=21=n84}r6:>5<4sW>2708>:026?82>28i=7p};a;297~X3i27=>7??5:?7e?7d>2wx8o4?:2y]0g=:>:0:<8524c82g3=z{=n1<7=t^5f8932=99?019j51b48yv2b2908wS:j;<46>46234>n6;3n3;h:6s|5183>6}Y=916::4>049>15<6k?1v8?50;1xZ07<5?21==;4=4395f028:>70;=:0a5?xu2;3:1?vP:3:?5e?77=27>?7?l6:p11<72:qU99526c8240=:==0:o;5rs4794?5|V03i019;=:b9>13<6j81v8950;1x96??2m1688<5d:?63?7e92wx954?:2y>7<>=m27?9?4j;<7;>4d63ty>57>53z?0==>>7h4=4;95g7>>7??;<7b>4d63ty>n7>53z?0==<6927?9?4>1:?6f?7e92wx9n4?:2y>7<>=9;1688<5139>1f<6j81v8j50;1x96??289019;=:01890b=9k;0q~;j:18085>03;?70::2;37?83b28h:7p}:f;297~;4110:963;53821>;2n3;i=6s|6183>7}:<<81=;526182f4=z{?;1<7=t=2;;>41<5=?96<94=7395g734>>>7?6;<41>4d63ty=?7>52z?0==<6i27=?7?m1:p21<72;q6?4651b9>21<6j81v;;50;0x913528n01;;51c38yv012909w0::2;3f?80128h:7p}97;296~;3=;0:j6397;3a5>{t>10;6?u2440965=:>10:n<5rs7;94?4|5=?96??4=7;95g7;|q5f?6=;r78554=3:?717<5;27=n7?m1:p2f<72:q6?465259>004=:=16:n4>b09~w3b=83?p1>77:7f891352?n01>7i:7f896?>2?n01>78:848yv>72909w0=6f;52?85>13=<7p}69;297~X>1272m7??5:?:=?7d>2wx5l4?:3y>7b09~w5<1s4924777;<666???3492j777;<1:=?`d3492;7hl;<;`>4d63ty2h7>53z\:`>;>m3;;9636d;3`2>{t1l0;6?u238g9=0=:1l0:n<5rsg494?5|Vo<01k9511789c0=9j<0q~h8:18185>m3h:70h8:0`2?xu68l0;6?u238:955c<5:326<>j;|q25=<72;q6?4h510:896?b28;37p}>2683>7}:;0l1=?94=2;f>4403ty:>k4?:3y>7<>=9;l01>7i:00e?xu6<90;6?u238d9516<5:3n6<:n;|q20g<72;q6?46515`896?a28>i7p}>5183>7}:;0l1=8>4=2;f>4373ty:9i4?:3y>7<`=97j:0c1?xu61>0;6?u238d95<1<5:3n6;|q2a<<72:qU=h74=370>4d634;n57?l6:p5`g=839pRc79~w4cd2908wS?jc:?11d<6j816=hm51b48yv7bl3:1?vP>ee9>60d=9k;01uQ1dg8970628h:70?je;3`2>{t9ll1<7=t^0ge?841?3;i=63>eg82g3=z{8l;6=4<{_3e4>;5>m0:n<521g295f053z\2b4=::>91=o?4=0d2>4e13ty:j?4?:2y]5c4<5;=26;<3e6?7d>2wx=k=50;1xZ4`4348b09>5c2=9j<0q~?i5;297~X6n<16>5l51c3894`228i=7p}>f683>7}:;021=k94=571>4`03ty99>4?:3y>004=9l301?;<:026?xu5=00;6?u244095`g<5;?26<>:;|q11d<72;q688<51da8973f28:>7p}=5c83>7}:<<81=hj4=37a>4623ty9:<4?:3y>004=9lo01?8>:026?xu5>>0;6?u244095``<5;<<6<>:;|q12a<72;q688<51g28970c28:>7p}=7283>7}:<<81=k?4=350>4623ty9;44?:3y>004=9o801?96:026?xu5?o0;6?u244095c5<5;=m6<>:;|q1<0<72;q688<51g6897>228:>7p}=8c83>7}:<<81=k;4=3:a>4623ty95:4?:3y>7<>=:0=019;=:3;4?xu5m?0;6?u238d96a2<5:326?jn;|q1a2<72;q688<52e6896?>2;n>7p}=eb83>7}:<<81>hm4=2;4>7cd3ty8>44?:3y>004=;;301>78:20:?xu4:k0;6?u2440977d<5:3<6>7p}<3983>7}:;021?>64=2;`>4623ty89=4?:3y>7<1=;<:01>7k:025?xu4=j0;6?u2385970e<5:3>6<>9;|q0=6<72;q6?475739>7<3=9k;0q~=64;296~;41=0:n<52387955152z?0=<<5lo16?4j51c38yv5>j3:1>v3<9b82f4=:;0n1==94}r1b4?6=;rT8m=523`795g7<5:k;67}:;h91=o?4=2c7>4603ty8m84?:3y>7d2=9k;01>o::026?xu4i?0;6>uQ3`4896g?28h:70=n6;3`2>{t;h21<74d6349j47??5:p7dg=839pR>on;<1bf?77=278ml4>c79~w6ge290?w0::2;1b=>;41o08m45238;97d?<5:ki6;|q0ef<72:qU?lm4=2cg>4d6349jo7?l6:p7db=838p1>7j:2c:?85fl3;;96s|3c794?5|V:h>70=m6;3a5>;4j<0:o;5rs2`5>5<5s492i7=m5:?0f3<68<1v>l6:180[5e1278nl4>b09>7g?=9j<0q~=ma;296~;41008n:523cc955353z\0fg=:;ki1==;4=2`a>4e13ty8nn4?:3y>7ll:0`2?xu4jm0;6>uQ3cf896e728h:70=md;3`2>{t;j:1<76dc349h<7??5:p7f2=839pR>m;;<1`1?7e9278o94>c79~w6e22909w0=69;1`7>;4k<0:<85rs2a5>5<4sW9h:637f1=9k;0q~=lb;297~X4kk16?nm51c3896ee28i=7p}7}:;0o1?nl4=2a`>4623ty8ok4?:2y]7f`<5:n86;<1`b?7d>2wx?i=50;0x96?a2:im70=k3;331>{t;m>1<7=t^2f7?85c=3;i=636=4={<1:a?5c<278h84>049~w6c62908wS=j1:?0a7<68<16?h?51b48yv5b:3:1?v3;5380a5=:;0l1?h>4=2g1>4d63ty8i>4?:2y]7`5<5:o?6;<1f7?7d>2wx?h:50;0x96?b2:o;70=j4;331>{t;oh1<7=t^2da?85am3;i=63049~w16d2908wS:?c:?74a<6j8168=m51b48yv27l3:1>v3;5380b1=:<9n1==;4}r63a?6=;rT?7p};1183>6}Y<8:019?9:0`2?82683;h:6s|40494?4|5=?969??;<622?77=2wx8<950;1xZ17034>:47??5:?752<6k?1v9?7:18085>03>:;63<9d8752=:<821=o?4}r62=?6=;rT?=45240`95g7<5=;267p};1b83>6}Y<8i019?j:0`2?826k3;h:6s|40g94?4|5=?969?l;<62a?77=2wx8?>50;1xZ14734>9=7??5:?765<6k?1v9<>:180822:3>:j63<9g875c=:<;;1=o?4}r616?6=;rT?>?5243195g7<5=8967p};3083>6}Y<:;019==:0`2?82493;h:6s|42094?4|5:3n69=?;<606?77=2wx89o50;1xZ12f34>?n7?m1:?70d<6k?1v9:m:18185>13>?;63;4c8240=z{=>h6=4<{_67g>;3?h7>52z?0=`<3<>1689j51c38yv23n3:1?vP;4g9>006=99?019:i:0a5?xu3=90;69u238:901c<5=?969:j;<1:b?23m27?9=4>b09~yk71k?0;69uG3758yk71k>0;69uG3758yk71k10;6>uG3758yk71k00;65<6sA9=;6G>c7824:|m53ed290:wE=97:K2g3<6s80vqc?9ce83>4}O;?=0Ex{i9?in6=4>{I153>O6k?0:w<4r}o35gc<728qC?;94I0a5>4}62twe=;j?:182M51?2C:o;4>{08~yk71l80;65<6sA9=;6G>c78244?:0yK731:|m53b3290:wE=97:K2g3<6s80vqc?9d483>4}O;?=0Ex{i9?n=6=4>{I153>O6k?0:w<4r}o35`2<728qC?;94I0a5>4}62twe=;j7:182M51?2C:o;4>{08~yk71l00;65<6sA9=;6G>c7824:|m53bd290:wE=97:K2g3<6s80vqc?9de83>4}O;?=0Ex{i9?nn6=4>{I153>O6k?0:w<4r}o35`c<728qC?;94I0a5>4}62twe=;k?:180M51?2we=;k>:180M51?2we=;k=:180M51?2we=;k<:180M51?2we=;k;:180M51?2we=;k::180M51?2we=;k9:180M51?2we=;k8:180M51?2we=;k7:180M51?2we=;k6:187M51?2we=;kn:181M51?2we=;km:180M51?2we=;kl:187M51?2we=;kk:181M51?2we=;kj:181M51?2we=;ki:181M51?2we=;h?:181M51?2we=;h>:181M51?2we=;h=:181M51?2we=;h<:181M51?2we=;h;:181M51?2we=;h::180M51?2we=;h9:180M51?2we=;h8:1827~N4>>1vb<8i8;290~N4>>1vb<8i9;295~N4>>1B=n851z39y_5303;p544rZ022>4}4<10vqc?9f`83>66|@:<<7p`>6g`94?7|@:<<7D?l6;3x5?{];=21=v7m:|X244<6s:>36psa17d`>5<3lrB8::5rn04e`?6=9rB8::5F1b495~7=uS9?47?t9e8~^46628q8854r}o35b`<72;qC?;94}o35bc<728qC?;94I0a5>4}62twe=:>?:187M51?2we=:>>:180M51?2we=:>=:184M51?2we=:><:187M51?2we=:>;:180M51?2we=:>::187M51?2we=:>9:180M51?2we=:>8:184M51?2we=:>7:187M51?2we=:>6:187M51?2we=:>n:182M51?2C:o;4>{38~yk708k0;65<6sA9=;6G>c78274}O;?=0Ex{i9>;;6=4>{I153>O6k?0:w?4r}o3454<728qC?;94I0a5>4}52twe=:?=:182M51?2C:o;4>{38~yk709:0;65<6sA9=;6G>c7827wE=97:m52402908wE=97:m524?2908wE=97:m524>2909wE=97:m524f2909wE=97:m524e2908wE=97:m524d2908wE=97:m524c2909wE=97:m524b290:wE=97:m524a2909wE=97:m5257290:wE=97:m5256290:wE=97:K2g3<6s;0vqc?83383>4}O;?=0qc?83283>4}O;?=0qc?83583>4}O;?=0qc?83483>4}O;?=0Ex{i9>9=6=4>{I153>{i9>9<6=4>{I153>O6k?0:w<4r}o347=<72=qC?;94}o347<<728qC?;94I0a5>4}52twe=:=n:187M51?2we=:=m:182M51?2C:o;4>{38~yk70;j0;69uG3758yk70;m0;65<5sA9=;6sa161e>5<6sA9=;6G>c78246}O;?=0qc?84483>4}O;?=0Ex{i9>>=6=4={I153>{i9>><6=4>{I153>O6k?0:w?4r}o340=<72=qC?;94}o340<<728qC?;94I0a5>4}52twe=::n:181M51?2we=::m:182M51?2C:o;4>{38~yk705<4sA9=;6sa166e>5<6sA9=;6G>c78277}O;?=0qc?85483>4}O;?=0Ex{i9>?=6=4={I153>{i9>?<6=4>{I153>O6k?0:w?4r}o341=<72;qC?;94}o341<<728qC?;94I0a5>4}62twe=:;n:180M51?2we=:;m:182M51?2C:o;4>{38~yk70=j0;6?uG3758yk70=m0;65<5sA9=;6sa167e>5<6sA9=;6G>c78246}O;?=0qc?86483>4}O;?=0Ex{i9><=6=4={I153>{i9><<6=4>{I153>O6k?0:w<4r}o342=<72;qC?;94}o342<<728qC?;94I0a5>4}62twe=:8n:186M51?2we=n;50;3xL6003td:o;4?:0yK73151zJ022=zf8i26=4>{I153>{i9jk1<7?tH244?xh6kk0;688;|l2gc<728qC?;94}o3g4?6=9rB8::5rn0f2>5<6sA9=;6sa1e094?7|@:<<7p`>d283>4}O;?=0qc?k4;295~N4>>1vb51zJ022=zf8nj6=4>{I153>{i9mh1<788;|l2a4<728qC?;94}o3f6?6=9rB8::5rn0g0>5<6sA9=;6sa1d694?7|@:<<7p`>e783>4}O;?=0qc?j7;295~N4>>1vb51zJ022=zf8oo6=4>{I153>{i9lo1<7?tH244?xh6mo0;688;|l2b1<728qC?;94}o3e1?6=9rB8::5rn0d5>5<6sA9=;6sa1g594?7|@:<<7p`>f983>4}O;?=0qc?i9;295~N4>>1vb51zJ022=zf8ln6=4>{I153>{i9ol1<7?tH244?xh5890;688;|l141<728qC?;94}o031?6=;rB8::5rn325>5<5sA9=;6sa21594?4|@:<<7p`=0983>4}O;?=0qc>1vb?>n:182M51?2we>=l50;3xL6003td952zJ022=zf;:n6=4={I153>{i><31<7=tH244?xh1=k0;6>uG3758yk02k3:1>vF<669~j33c2909wE=97:m20c=83;pD>88;|l51c<728qC?;94}o454?6=9rB8::5rn742>5<6sA9=;6sa67094?7|@:<<7p`97183>4}O;?=0qc881;297~N4>>1vb;9=:180M51?2we::=50;3xL6003td=;94?:0yK73151zJ022=zf?==6=4>{I153>{i>>=1<7?tH244?xh1?10;688;|l53f<728qC?;94}o44`?6=9rB8::5rn75f>5<6sA9=;6sa66d94?7|@:<<7p`98183>4}O;?=0qc871;295~N4>>1vb;6=:182M51?2we:5=50;3xL6003td=494?:0yK73152zJ022=zf?2=6=4={I153>{i>1=1<7?tH244?xh1010;6f290:wE=97:m2=d=83;pD>88;|l55<6sA9=;6sa69d94?7|@:<<7p`99183>4}O;?=0qc861;295~N4>>1vb;7=:180M51?2we:4=50;1xL6003td=594?:0yK73151zJ022=zf?3=6=4>{I153>{i>0=1<7?tH244?xh1110;613:1=vF<669~j3?f290:wE=97:m288;|l5=f<728qC?;94}o4:`?6=9rB8::5rn7;f>5<6sA9=;6sa68d94?7|@:<<7p`9a183>4}O;?=0qc8n1;295~N4>>1vb;o=:182M51?2we:l=50;1xL6003td=m94?:2yK73151zJ022=zf?k=6=4>{I153>{i>h=1<7?tH244?xh1i10;688;|l5ef<728qC?;94}o4b`?6=9rB8::5rn7cf>5<6sA9=;6sa6`d94?7|@:<<7p`9b183>4}O;?=0qc8m1;295~N4>>1vb;l=:182M51?2we:o=50;3xL6003td=n94?:0yK73151zJ022=zf?h=6=4>{I153>{i>k=1<7?tH244?xh1j10;688;|l5ff<728qC?;94}o4a`?6=9rB8::5rn7`f>5<6sA9=;6sa6cd94?7|@:<<7p`9c183>4}O;?=0qc8l1;295~N4>>1vb;m=:182M51?2we:n=50;3xL6003td=o94?:0yK73151zJ022=zf?i=6=4>{I153>{i>j=1<7?tH244?xh1k10;688;|l5gf<728qC?;94}o4``?6=9rB8::5rn7af>5<6sA9=;6sa6bd94?7|@:<<7p`9d183>4}O;?=0qc8k1;295~N4>>1vb;j=:182M51?2we:i=50;3xL6003td=h94?:0yK73151zJ022=zf?n=6=4>{I153>{i>m=1<7?tH244?xh1l10;688;|l5`a<72:qC?;94}o4ga?6=;rB8::5rn7fe>5<6sA9=;6sa6d294?7|@:<<7p`9e083>4}O;?=0qc8j2;295~N4>>1vb;k<:182M51?2we:h:50;3xL6003td=i84?:0yK73151zJ022=zf?o<6=4>{I153>{i>l21<7?tH244?xh1m00;688;|l5aa<72:qC?;94}o4fa?6=9rB8::5rn7ge>5<6sA9=;6sa6g294?7|@:<<7p`9f083>4}O;?=0qc8i2;295~N4>>1vb;h<:182M51?2we:k:50;3xL6003td=j84?:0yK73151zJ022=zf?l<6=4>{I153>{i>o21<7?tH244?xh1n00;688;|l5ba<728qC?;94}o4ea?6=9rB8::5rn7de>5<6sA9=;6sa71294?5|@:<<7p`80083>6}O;?=0qc9?2;295~N4>>1vb:><:182M51?2we;=:50;3xL6003td<<84?:0yK73151zJ022=zf>:<6=4>{I153>{i?921<7?tH244?xh0800;688;|l454<72:qC?;94}o520?6=;rB8::5rn636>5<4sA9=;6sa70:94?7|@:<<7p`81883>4}O;?=0qc9>a;295~N4>>1vb:?m:182M51?2we;51zJ022=zf>8:6=4>{I153>{i?;81<7?tH244?xh0:>0;6290:wE=97:m37g=83;pD>88;|lb64<72;qC?;94}oc10?6=:rB8::5rn`06>5<4sA9=;6saa3494?7|@:<<7p`n2683>4}O;?=0qco=8;295~N4>>1vbl<6:182M51?2wem?o50;3xL6003tdj>n4?:2yK73153zJ022=zfh8m6=4<{I153>{ii:91<788;|lb7=<72;qC?;94}oc0=?6=:rB8::5rn`1`>5<4sA9=;6saa2f94?7|@:<<7p`n3d83>4}O;?=0qco>1vbl:?:182M51?2wem9?50;3xL6003tdj8?4?:0yK73151zJ022=zfh>?6=4>{I153>{ii=?1<7?tH244?xhf<>0;6?uG3758ykg303:1=vF<669~jd2>290:wE=97:me1g=83;pD>88;|lb0g<72;qC?;94}oc7g?6=9rB8::5rn`6g>5<6sA9=;6saa5g94?7|@:<<7p`n4g83>4}O;?=0qco:0;295~N4>>1vbl;>:182M51?2wem8<50;0xL6003tdj9>4?:0yK73187>51zJ022=zfh?>6=4>{I153>{ii<<1<7?tH244?xhf=>0;6vF<669~jd3>2909wE=97:me0g=83;pD>88;|lb1f<72;qC?;94}oc6`?6=9rB8::5rn`7f>5<6sA9=;6saa4d94?7|@:<<7p`n6183>4}O;?=0qco91;296~N4>>1vbl8=:181M51?2wem;=50;4xL6003tdj:94?:5yK7315bzJ022=zfh<=6=4={I153>{ii?=1<710;688;|lb2f<728qC?;94}oc5`?6=9rB8::5rn`4f>5<6sA9=;6saa7d94?7|@:<<7p`n7183>6}O;?=0qco81;297~N4>>1vbl9=:182M51?2wem:=50;1xL6003tdj;94?:2yK73151zJ022=zfh==6=4>{I153>{ii>=1<7?tH244?xhf?10;688;|lb3f<728qC?;94}oc4`?6=9rB8::5rn`5f>5<6sA9=;6saa6d94?7|@:<<7p`n8183>4}O;?=0qco71;295~N4>>1vbl6=:186M51?2wem5:50;3xL6003tdj484?:0yK73151zJ022=zfh226=4>{I153>{ii1k1<7?tH244?xhek00;6>uG3758ykddi3:1?vF<669~jgee2908wE=97:mffb=83;pD>88;|lag`<728qC?;94}o`g4?6=:rB8::5rncf2>5<5sA9=;6sabe094?7|@:<<7p`md583>7}O;?=0qclk5;296~N4>>1vboj9:182M51?2weni950;3xL6003tdih54?:0yK73151zJ022=zfknj6=4>{I153>{ijmn1<76tH244?xhelo0;6?uG3758ykdb83:1>vF<669~jgc6290:wE=97:mf`5=838pD>88;|laa1<72;qC?;94}o`f1?6=9rB8::5rncg4>5<5sA9=;6sabd:94?4|@:<<7p`me883>4}O;?=0qclja;295~N4>>1vbokm:182M51?2wenhm50;3xL6003tdiii4?:3yK73152zJ022=zfkom6=4<{I153>{ijo:1<7?tH244?xhen80;688;|lab=<72;qC?;94}o`e=?6=9rB8::5rncda>5<6sA9=;6sa131;>5<4sA9=;6sa131:>5<6sA9=;6sa131b>5<6sA9=;6sa131a>5<6sA9=;6sa131`>5<6sA9=;6sa131g>5<4sA9=;6sa131f>5<6;rB8::5rn000b?6=;rB8::5rn0074?6=>rB8::5rn0077?6=9rB8::5rn0070?6=;rB8::5rn0071?6=;rB8::5rn0072?6==rB8::5rn007{|l11f<728qvb?9>:182xh5190;6>urn3:b>5<6std9mk4?:2y~j7g>290:wp`=cd83>6}zf;i36=4>{|l1aa<72:qvb?k8:182xh48j0;6>urn225>5<6std8>o4?:2y~j642290:wp`<4`83>6}zf:>?6=4>{|l02<<72:qvb>8<:182xh4010;6>urn2:1>5<6std<9;4?:0y~j20e290:wp`88183>4}zf>3>6=4>{|l4f1<72:qvb:oj:182xh0l:0;6>urn6ag>5<6std6}zf1:i6=4>{|l;75<72:qvb55<6std3:k4?:0y~j=>3290:wp`79883>4}zf1kn6=4>{|l;ga<72:qvb5m8:182xh?mj0;6>urn9g5>5<6stdjn<4?:0y~jde1290:wp`ndc83>4}zfhl;6=4>{|la40<728qvbo?n:182xhe:o0;65<4stdi854?:0y~jf4?290:wp`l4683>6}zfj>:6=4>{|l`23<72:qvbn8?:182xhd0<0;6>urnb5e>5<6stdhm94?:2y~jf?b290:wp`lc283>6}zfjho6=4>{|l`a7<72:qvbnjl:182xhc880;6>urnbda>5<6stdo>=4?:2y~ja7f290:wp`k3g83>6}zfm926=4>{|lg1`<72:qvbi;7:182xhc?m0;6>urne54>5<6stdo5n4?:2y~ja?1290:wp`kbc83>6}zfmh>6=4>{|lg`d<72:qvbij;:182xhcn00;6>urned0>5<6stdn=54?:2y~j`75290:wp`j3683>6}zfl9:6=4>{|lf13<72:qvbh;?:182xhb?<0;6>urnd4e>5<6stdn594?:2y~j`>b290:wp`jb283>6}zflko6=4>{|lf`7<72:qvbhml:182xhbm>0;65<4stdm<=4?:0y~jc422908wp`i1g83>4}zfo>?6=4<{|le7`<728qvbk8<:180xha=m0;65<4stdm;n4?:0y~jcg62908wp`i9c83>4}zfoi;6=4<{|lefd<728qvbkji:180xhal00;65<4stdmj54?:0y~j466l3:1?vsa1134>5<6std:?=750;3xyk749l0;6:7:182xh6;?=1<7=t}o3024<728qvb<=76;297~{i9:2;6=4>{|l27d3=839pqc?<9g83>4}zf89h87>53zm56db290:wp`>3d194?5|ug;8hi4?:0y~j427:3:1?vsa12d`>5<6std:8??50;1xyk739k0;6752F__\XZ5R@>25?69j2;96_O31083:d=6>3K7>>47>028534f3;;1M1<>:0<24>462@D[YY4N<3395;c<::0JSK]M<3195;733;91EC^ZT;C\BVD;::0:2<5<1:62?0><=3N787>1c:79@drfI5>1<3745;GP81<76l1>6D@_UU8G81<7688097GAPTV9@drfI5>1<3h45;MVPUSS2X6?6=0>4:79KPRW]]0Yi~{ct=694;><=3[787>1d:79V`urd}6?6=0i;48\eab789:787>11:42?2><03N7;7>1c::9@drfI5=1<3k48;KMTPR=L5=1<3?=;98JJUSS2MkmL28:1>=Zly~`y28:137;2=1>G;994>7L2>1?78E9756<1J0<=15:C?51823H6:93;4A=35:0=F48=596O319<6?D:617>0M1?15:C?65803H69=7?15:C?64823H69>394A=00>=823H69?3:4A=0=0>G;;7>0M1:14:C?1;29<2K753:4AMG31>GKM9G>7LBJ0Ra8EIC7Wds<=>?d:COA5Yj}q:;<=?j;@NF4Zkrp9:;<;5NNE0A53=FFM8I>95NNE15?DHC;K;=7L@K3C05?DHC;K9?7L@K479BJA2E9?1JBI:M279BJA2E;?1JBI:M479BJA3E:?1JBI;M379BJA3E33HYyjn5NSsd\jjr789;:i6O\rg]mkq6788Uba}QNSsd\jjr789;:56OPFR@?558>3HUM_O2>1?;8EZ@TJ5;9245N_GQA845912KTJ^L315<:?DYA[K6:9374A^DPF971601JSK]M<05==>GXNZH7=506;@]EWG:61720MRH\B=3==>GXNZH7>=06;@]EWG:59730MRH\B=01:g=FWOYI0?=51?;8EZ@TJ588255N_GQA878?3HUM_O2<>99B[CUE4=437LQISC>6:==FWOYI0;07;@]EWG:0611JSK]M<9<;?DYA[K62295Ncmp22>Gdd{UBB[Qfmq]BgitXAG\:86LNCUg8FDESW`g{SOOLT078FDESz=1II_\:;CGQV502HN^_|i6:@FVWtum2HN^_|}_omw4566:;1II_\}r^llp5679Vcf|RLJRSpq[kis89::=55MUR]JJCI63J>0O<8B3:A;I1=DIJ^97NK<;BNH=>EKC;RTEBL8;BNH[CUE?2IGGRG@B29@HW56Mce`p2[dhc89:;Sdc_Bnfew7Xign;<=>>3:Aoo0=DdbOe=55LljGm[LHQW`g{SNbdEo]JJS703Jf`SK]B159@hnYNF_Uba}QLlj]JJS763M>0H1>14:F?5;20H1:14:F?1;2B;?7?0HYOLK028@QGDCVcf|RJ[ABI23>BSIJAyj:5KT@AHvw`99GeqgF48437Io{a@>1:==Ci}kJ0>0n;EcweD:329437Io{a@>7:==Ci}kJ0807;EcweD:16h1OmyoN<683:==Ci}kJ0:0>;D08AV3CT4;4>7H]33?58AV:3294>7H]34?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@Oa=B\HI@Sl`k012365=B\HI@Sl`k0123[lkwWL^JOFQnne23457682O_MNEPclr\at67898=7HZNCJ]`iuYby9:;?0136?@YNGK80I=4Es07?CEKC;1MH95IDBG1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6<=ANm;&Ec<>8:DE`4+Nf:30JKj>-Hl05<=ANm;&Ec:>8:DE`4+Nf-Hl\mhv33OLo>:5IFe0.Mk>9:DE`7+Nf8;37KHk2,Km6<=ANm8&Ec<>8:DE`7+Nf:30JKj=-Hl05==ANm8&Ec:6;GDg6(Oi<820JKj=-Hl6=>@Al;'Bb8?7;GDg6(Oi>01MJi<"Io42=>@Al;'Bb:?7;GDg6(Oi0k1MJi<"Io]jiu2@Al:'Bb??7;GDg7(Oi;01MJi="Io12<>@Al:'Bb974FGf0)Lh3901MJi="Io72<>@Al:'Bb;l4FGf0)LhXadz?7KHk459EBa333OLo:95IFe54?C@c?$Ce=95IFe5.MkYneyUMJi9"Io37?C@c0>1MJi6"Io:8BCb?%@d:56HId9/Jj47>3OLo4 Ga20:8BCb?%@d8n6HId9/JjZojx:1M_O=4FRO`?CUJW9'{mkatb9EWHY6%ykyiczl;GQN[7+wi{oex<5H3:EM@4=N:2C;>6G>2:K16>O4:2C?>6G:2:K56>O0<2CJO^;4I@AP50=NIJY996GNCR1;?LGD[Vcf|95FNHV0?LHQ=2CDMNZk;HMBGQYffm:;<=?1e9JKDESWds<=>?e:KLEFRXe|r;<=>>f:KLEFRXe|r;<=>>1g9JKDESWds<=>?20g8MJGD\Vg~t=>?0232?LIFK]Ufyu>?01]jiue?159JKGK33@EI_95FOBQ6?LID[8?0EBM\249JKFU4n2CDO^Qlmq]fu5678;>0EBM\_bos[`w789:Te`~PINAP[fkwWl{;<=>>f:KLGVYdeyUn}=>?1368MJETWjg{Sh?013\mhvXAFIXSnc_ds34576n2CDO^Qlmq]fu567:;>0EBM\_bos[`w7898Te`~PINAP[fkwWl{;<=<>f:KLGVYdeyUn}=>?3368MJETWjg{Sh?011\mhvXAFIXSnc_ds34556n2CDO^Qlmq]fu567<;>0EBM\_bos[`w789>Te`~PINAP[fkwWl{;<=:>f:KLGVYdeyUn}=>?5368MJETWjg{Sh?017\mhvXAFIXSnc_ds34536n2CDO^Qlmq]fu567>;>0EBM\_bos[`w789f:KLGVYdeyUn}=>?7368MJETWjg{Sh?015\mhvXAFIXSnc_ds3451602CDO^Qfmq68MJJ7k2CD@=Qbuy2345b6G@PVAP[lkwW@E[[N]>5:KLV@Wc3@EYI\Qnne2345473@EYI\Qnne2345YneyUBC_K^_`lg45679m1BC_K^_lw{4567m2CD^H_Pmtz34566n2CD^H_Pmtz345669o1BC_K^_lw{4567:8o0EB\JQ^ov|5678:l0EB\JQ^ov|5678:;m7DA]EP]nq}6789>:i6G@RDS\ip~789:>=<5FOSGR[hs89:;Sdc6:KLV@Wu=2CD^Z>k;HMQS5Yffm:;<=?1e9JKWQ7Wds<=>?e:KLVR6Xe|r;<=>>f:KLVR6Xe|r;<=>>1g9JKWQ7Wds<=>?20g8MJTP8Vg~t=>?0232?LIU_9Ufyu>?01]jiubPnnv34576k2CD^Z>Pos23457b3@EY[=Q`r1234ZojxVCD^Z>Pos23457d3@EY[=Q`r12354c=5FOSU2[dhc89:;Sdc_HMQS4Yffm:;<=?l;HMQS4Yhz9:;<>259JKWcflpUecy>?00]jiuYNG[ojhtQaou23447b3@EYiljv_np34565:2CD^hoky^mq4567W`g{SDA]e`fz[jt789::?6G@Sd9JKVYdeyUn}=>?0d9JKVYdeyUn}=>?1d9JKVYdeyUn}=>?2d9JKVYdeyUn}=>?3d9JKVYdeyUn}=>?4d9JKVYdeyUn}=>?5d9JKVYdeyUn}=>?6d9JKVYdeyUei=>?059JKP6d3@E^OH]9Ufyu>?0132a>OH]9Ufyu>?0102`>OH]9Ufyu>?01124>OH]9Ufyu>?01]jiu27DAZDR68MJQB;2Ce<>5Fn018Mk443@d8?6Ga429Jj0ci5CPL]@KPHSM[U:h6B_M^ALQKRBZV837A^B_EDE[<=KXDUOJKQ>a:NSIZBANV;;m6B_M^FEBZ76i2F[ARJIF^31e>JWEVNMJR?JWEVNMJR?8a:NSIZBANV;3m6B_M^FEBZ7>12F[ARJIF^0b?IVJWMLMS?>n;MRN[A@AW;;j7A^B_EDE[74f3EZFSIHI_31b?IVJWMLMS?:n;MRN[A@AW;?j7A^B_EDE[70f3EZFSIHI_35b?IVJWMLMS?6n;MRN[A@AW;327A^B_EDE[6gJWEVNMJR86;MRN[A@AW>30@]CPDGD\<<=KXDUOJKQ6a:NSIZ^HZ;U:96B`ae3g?Iifl8Uecy>?0003?Iifl8Uecy>?00]jiuYKghn:Sca{012251=JPZOo7@okd^]b`a6789o0Aua}_Sgpqir;97o0Aua}_Sgpqir;:7o0Aua}_Sgpqir;;7o0Aua}_Sgpqir;<7o0Aua}_Sgpqir;=7o0Aua}_Sgpqir;>7o0Aua}_Sgpqir;?780B=<4N008J740BB\84:LLV=7IU:K;>7B\=B318KW523FX8N<;4OS1A66=HZ=90C_;<;NP57>IU?:1D^5:4Ooafg>IiklUjbi>?013f?JhdmVkeh=>?0^kntZIiklUjbi>?013a?JhdmVey<=>?1b9LjfcXg{:;<=Qfmq]LjfcXg{:;<=?;;Nww4`=H}}:Te`~POtv351=H}};n7B{{1^kntZIr|8;?7B{{2d9Lqq4XadzTCxz=159Lqq5b3F?Rgbp^Mvp6733F8h5@uu6\mhvXG|~?=95@uu7f?Jss=Vcf|RAzt437?Jss>l1Dyy8Pilr\Kpr19=1Dyy9;;Nww<`=H}}2Te`~POtv;54=Wk2Z%>=?<1130[I2W33X6;295^<0<7?T:56=1Z0>09;P>7>5833X6?295^<4<7?T:16?1Z0:4?>59R828f3XU:Sb|?0122e>WX9Vey<=>?_hos[TY6Wfx;<=>>5:PB85813[K7==07;SC?54<76?1YM1?>>49QE979=2XJ0?0:;SC?7;3TF4?4>7_O37?78VD:?6<1YM1713:PBI0=UIDIX==5]ALAP[JpbzekrCkh=6:PBIFUXGoy`lw@fg]jiuYUIDIXSBxjrmczKc`6n2XJAN]POwgqhdHf;>0^LCLS^MuawjfqFdTe`~PR@O@WZIqm{fjuB`>d:PBIFUXign;<=>=0:PBIFUXign;<=>Pilr\VDKD[Vkeh=>?00f8VDKD[Vkeh=>?1328VDKD[Vkeh=>?1^kntZTFEJYTmcj?013255=UIDIXSdc_SCNGV713[KFHD]8;SCN@LU6n2XJAIG\1^ov|56788:0^LCKIR3\ip~789::=<5]ALFJW4Yj}q:;<=?>109QEHBN[8Ufyu>?010255=UIDNB_1:PBIAOT9Vg~t=>?04325>TFEMCX=Rczx123437682XJAIG\1^ov|5678>;87_OBDHQ2[hs89:;Sdc7:PBIAOT:o1YM@JFS3]bja67898?7_OBDHQ1[dhc89:;Sdc_SCN@LU5Whdo<=>?1g9QEHBN[;Ujbi>?0007?WGJL@Y9Sl`k0122[lkwW[KFHD]=_`lg45669l1YM@JFS3]lv5678;80^LCKIR0\kw6789Uba}Q]ALFJW7Yhz9:;<4R@OQadb~Whdo<=>?279QEHTbimsTmcj?012\mhvXZHGYiljv_`lg456798:0^LC]e`fz[dhc89::>;5]ALPfeaXign;<=?Pilr\VDKUmhnrSl`k0122546=1028VDKUmhnrSl`k012063=UIDXnmiwPaof3455XadzT^LC]e`fz[dhc89:8=<>4R@OQadb~Whdo<=>;279QEHTbimsTmcj?016\mhvXZHGYiljv_`lg456398:0^LC]e`fz[dhc89:>>;5]ALPfeaXign;<=;Pilr\VDKUmhnrSl`k0126546>1008VDKUmhnrS`{w012364763[KF^hoky^ov|5678:;?7_OBRdcg}Zkrp9:;?0107?WGJZlkouRa}0123[lkwW[KF^hoky^mq45679<1YM^KCd:PBW@JXe|r;<=>j;SCPAIYj}q:;<=?i;SCPAIYj}q:;<=?>f:PBW@JXe|r;<=>=1d9QEVCKWds<=>?3g9QEVCKWds<=>?30d8VDUBDVg~t=>?053f?WGTMEUfyu>?01725>TF[LFTaxv?012\mhvd3[KTTFW9Ufyu>?0132a>TFW9Ufyu>?0102`>TFW9Ufyu>?01124>TFW9Ufyu>?01]jiueTFW8Ufyu>?013f?WGX9Vg~t=>?003f?WGX9Vg~t=>?033g?WGX9Vg~t=>?0233?WGX9Vg~t=>?0^kntf=UIV8Taxv?012g?WGX:Vg~t=>?00g8VDY5Wds<=>?10g8VDY5Wds<=>?20f8VDY5Wds<=>?3028VDY5Wds<=>?_hosg>TFW:Ufyu>?01f8VDY4Wds<=>?1d9QEZ5Xe|r;<=>>1d9QEZ5Xe|r;<=>=1e9QEZ5Xe|r;<=><119QEZ5Xe|r;<=>Pilr`?WGX?0e9QEZ2Xe|r;<=>>e:PB[1Yj}q:;<=?>e:PB[1Yj}q:;<=<>d:PB[1Yj}q:;<==>0:PB[1Yj}q:;<=Qfmqa8VDY2Wds<=>?d:PB[0Yj}q:;<=?j;SC\1Zkrp9:;<??;SC\1Zkrp9:;k;SC\2Zkrp9:;<4R@]5[hs89:;Sdcc:PB[2Yj}q:;<=j4R@]4[hs89:;=h5]A^5\ip~789::=h5]A^5\ip~789:9=i5]A^5\ip~789:8==5]A^5\ip~789:Te`~l;SC\5]ERa8V@UXadzT^H]>5:PFWw`23[OX~j4RDQqvZhh|9:;=?>4RDQqvZhh|9:;=Rgbp^PFWwtXff~;<=?>5:PLIFUc3[EFO^Qnne2345473[EFO^Qnne2345YneyUYC@M\_`lg456798:0^BCLS^antZcv89:;>;5]OLAP[fkwWl{;<=>Pilr\VJKD[Vif|Rk~01235461Yiljv0s48V`gcq8=0^hoky0p5?Wcflp8<7_kndx0qe>TbimsTEBL\9:PfeaXZHGo7_kndx]bja67898;7_kndx]bja6789Uba}Q]e`fz[dhc89:;=<>4Rdcg}ZojxVXnmiw>c:PfeaXg{:;<=?j;Sgb`|Yhz9:;374Rdd@jq:4601YikMat=6==>TbnJd0806;SgeGkr;>7h0^hhLnu>4>58>3[omOcz37?58V``Cggo:>6\jfEmmaZejxVoz<=>?2`9QacBhflUha}Qjq1234ZojxVXnjIaae^antZcv89:;=k5]egFlj`Yig}:;<<<;;Sge@jhbWge<=>>_hos[WcaLfdnSca{012253=UmoXJ_;5]egPfu446:Pfwpjs12Xnxb{<1<:?Wct}e~7=374Rdqvhq:5601Yi~{ct=1=f>Tb{|f094?>89Qavsk|5>556\jstnw808>3[oxyaz36?`8V`urd}6<6=06;Sgpqir;?78=7_k|umv\4Zhh|9:;?0135?VETAJY:>6]LSHAP[lkwWZIXEN]>b:QJCGSTW@DMCl5\IF]AQVOCPk1XEJQMURLBI@2<[jfy86]lsuc8WkbUIDIX[_?=;RlgVDKD[^XTmcj?0121e>Uil[KFO^Y]_`lg4567W`g{S^`kR@O@WRTXign;<=>>139PjaTFEJY\^Road12357g<[gnYM@M\WS]bja6788Uba}Q\nePBIFUPZVkeh=>?1036?VhcZHGH_Z\Pclr\at67899;7^`kR@O@WRTXkdzTi|>?01]jiuYTfmXJAN]XR^antZcv89:;=<;4SofQEHET_[Uha}Qjq123566<[gnYM@M\WS]`iuYby9:;=Rgbp^Qm`WGJKZ]YSnc_ds345769<1Xbi\NMBQTVZejxVoz<=>=319PjaTFEJY\^Rmbp^gr4565W`g{S^`kR@O@WRTXkdzTi|>?03321>Uil[KFO^Y]_bos[`w78998<6]adSCNGVQUWjg{Sh?011\mhvX[gnYM@M\WS]`iuYby9:;??5228WkbUIDIX[_Qlmq]fu567=Vcf|R]adSCNGVQUWjg{Sh?01725d=TfmXJAN]XR^kntZUil[KFO^Y]1038WkbUIDIX[_Q`r12347><[gnYM@M\WS]lv5678Vcf|R]adSCNGVQUWfx;<=>>109PjaTFEJY\^Ra}01226==TfmXJAN]XR^mq4566W`g{S^`kR@O@WRTXg{:;<1:Qm`WGJKZ]YSb|?0101<>Uil[KFO^Y]_np3454XadzT_cj]ALAPSWYhz9:;><:4Srgw0>R^XL20XdcjotvB56=SadodyyOPclr\at67898h7YgbenwwEZejxVoz<=>?_hos[QojmfMRmbp^gr456798:0XdcjotvB[kis89::>;5[ilglqqGXff~;<=?Pilr\Plkbg|~JSca{01225==SadodyyLm;UknajssJYxn==5[ilglqqDXign;<=>=6:Vji`ir|KUjbi>?01]jiuYSadodyyLPaof34566;2\HO45YIDU\P\VB;2\[Mn5YP@]jiuYQXH;>7[^Nrg78RUGuzm1]\L|}_omw4566:91]\L|}_omw4566W`g{S[^Nrs]mkq6788;j7[gkR@O@WRTc3_co^LCLSVP50n7?3_co^LCLSVP50nYdeyUn}=>?0248RlbUIDIX[_8;k^antZcv89:;Sdc_WkgVDKD[^X=8fQlmq]fu56788;37[gkR@O@WRT1>_hos[SocZHGH_Z\94j]`iuYby9:;=<?0^kntZPnl[KFO^Y]_bos[`w789::=85YiePBIFUPZVif|Rk~012275=QamXJAN]XR^antZcv89::Sdc_WkgVDKD[^XTo`~Pep234476=2\bh_OBCRUQ[fkwWl{;<=<<0:Tj`WGJKZ]YSnc_ds3454XadzTZdj]ALAPSWYdeyUn}=>?2036?SocZHGH_Z\Pclr\at678:9;7[gkR@O@WRTXkdzTi|>?02]jiuYQamXJAN]XR^antZcv89:8=<;4VhfQEHET_[Uha}Qjq123066<^`nYM@M\WS]`iuYby9:;8Rgbp^Tj`WGJKZ]YSnc_ds345269<1]ei\NMBQTVZejxVoz<=>:319UmaTFEJY\^Rmbp^gr4562W`g{S[gkR@O@WRTXkdzTi|>?04321>Pnl[KFO^Y]_bos[`w789<8<6XfdSCNGVQUWjg{Sh?014\mhvX^`nYM@M\WS]`iuYby9:;:?8228RlbUIDIX[_Qlmq]fu5670Vcf|RXfdSCNGVQUWjg{Sh?01:250=QamXJAN]XR^antZcv89:2?=5YiePBIFUPZVif|Rk~012:[lkwW_co^LCLSVP\ghvXmx:;<4?>5:Tj`WGJKZ]YSnc_ds3446482\bh_OBCRUQ[fkwWl{;<<>Pilr\RlbUIDIX[_Qlmq]fu56688;>7[gkR@O@WRTXkdzTi|>?1013?SocZHGH_Z\Pclr\at6798Uba}QYiePBIFUPZVif|Rk~013254g<^`nYM@M\WS]jiuYQamXJAN]XR032?SocZHGH_Z\Pos2345753_co^LCLSVP\kw6789;:>6XfdSCNGVQUWfx;<=>=139UmaTFEJY\^Ra}0123743<^`nYM@M\WS]lv5678Vcf|0:ZPPZTSDVZYC]K]TX58\VRX^JI=7Ujm_Hf24>^ceVGjfb|Yesqjkk773QnfS@gaosTfvvohf<1Sc1>16:Zl8586>2Rd0=0=6:Zl858412Rd0=0Pilr0?]us12RxxJC`ddna?Zgcl9:;<1>1b:]b`a67896:2o5Paef3456;:7h0Sljk0123868c3Vkoh=>?0=694;d?<5Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Rczx12342=Xg{:;<=?;;^mq4567W`g{SRa}012352=Xg{:;<?5nne2345Yhz9:;?129`jq1ohjd>0ebl|9:lB@jssDL::46`NDnwwH@6XadzTbLJ`uuNF44773gKOcxzCE1]lv5678;<0bLJ`uuNF4Ziu89:;Sdc_oCGkprKM9Ud~=>?00;8jDBh}}FN=<64n@FlqqJB9Vcf|R`NDnwwH@76;2dJ_:5aAR]EWG1hFg|~DI85aBDPQ=>hEM[XTJ^L6;o@FVWYNGKn0bOK]R^cm`5678;:0bOK]R^cm`5678Vcf|R`MESP\ekb789::==5aBDPQ[lkwWgHN^_?;;oABWf=iKHYTbbz?0132a>hDIZUecy>?00]jiuYiKHYTbbz?01322>hC\HI@m6`KT@AH[CUEi2dOXLMD_HMAa>hC\HI@Sl`k012367=iL]KHGRoad1234ZojxVdOXLMD_`lg45679?1eHd`CEe9m@lhKMVey<=>?219m@lhKMVey<=>?_hos[kBnfEOTc>?0135?kBnfFO:>6`KioMF[lkwWgNbbBK>7:lGkprHMo1eHb{{OD]bja67898?7cJ`uuMF[dhc89:;Sdc_oFlqqIBWhdo<=>?1g9m@jssGLUecy>?0007?kBh}}ENSca{0122[lkwWgNdyyAJ_omw45669l1eHb{{OD]lv5678;80bIaztNG\kw6789Uba}QaDnwwK@Yhz9:;<<:4nMFP<>hKLZUM_O64nMFP[LIEk2dGH^Qnne23457b3gFO_Road1234ZojxVdGH^Qnne23457d3gFO_Road12354c?013`?kJC[Vey<=>?_hos[kJC[Vey<=>?1c9mHAUXg{:;<?00g8jIQBWhdo<=>?_hos[kJPMVkeh=>?00g8jIQBW`g{ScBXE018jJCe3gENSl`k01235f=iGLUjbi>?01]jiuYiGLUjbi>?013`?kIBW`g{ScAJ189mKscudhs;=<5aOwgqhd7Whdo<=>?299mKscudhs;Sl`k0123[lkwWgE}ibny1]bja6789;27cAyesnb}41hUGD]NSl`k012367=iZFG\IRoad1234ZojxVdYC@YJ_`lg45679880b_ABWD]jiuYiZFG\I<:4nTFP<>hRLZUM_O64nTFP[LIEk2d^H^Qnne23457b3g_O_Road1234ZojxVd^H^Qnne23457d3g_O_R``t12354c?00a8jSKFWge<=>>1d9mRHGXff~;<=?Pilr\jSKFWge<=>>159mRUG?3g\[MRH\B99mRUGXAFHh7cX_A^cm`56788o0b[^N_`lg4567W`g{ScX_A^cm`56788o0b[^N_hos[kPWI890bZK8;oUF[CUEn2ddx=>?0^cm`5678;>0bbz?012\ekb789:Te`~Pnnv3456Xign;<=>>7:rlhZekc01{caQlljgm<>vhdVyh`64pnn\wvcs02zd~yQlljc8tjtsWjf`ic74pnpw[agsi11{czPoqc5?uiu|V{i7}a}t^pfwpjs12zd~yQ|cmp:?uiu|Vyxiy?4r29qeh>uh}{inSagaeo`1?pv>3kgyhQlio;8rdjrmVe{n55wc8734}jb3qi29>8wlqa70(3zHIz:?n:4@Az017762289?:;>m:0`450}i;=k1=6`<4c85?!53139??6s\948014<58<0:?9890c82f2713m;o=7>51;3xW<0=;<;1>=;5126525d=9k=:96xI2c:94?7=93:p_4853439653=9:>=:=l51c521>"49>0:m95+21497ccvF>a29'650=;on0qA=;7;3x 6`?2<1v(>>l:0f2?_>>2;q26;3:17d=72;29?j5cn3:17d=lc;29?j5d?3:17b=me;29?l5?j3:17b=mc;29?j5?n3:17d=l4;29?l5><3:17b=73;29?j5?03:17b=md;29?l5b83:17b=ld;29?j5e<3:17b=8b;29?j50n3:17d=n4;29?l?72900e4?50;9l7f3=831bh84?::m0=2<722e8m84?::m0`2<722e85l4?::m0=f<722c8i84?::m0e:l142<632e9>54?:%03e:l142<432e9>;4?:%03e:l142<232e9>94?:%03e:l142<032e9>?4?:%03e:l142<>32e9>=4?:%03e:l1425$32;>3`o1l3:1(?>7:7d8j7602;10e;m50;&14=<1n2d9<:4<;:k5e?6=,;:36;h4n324>1=h58>0>76g98;29 76?2?l0b?>8:798m31=83.9<549f:l142<032c=:7>5$32;>3`o1<3:1(?>7:7d8j7602h10e;=50;&14=<1n2d9<:4m;:k56?6=,;:36;h4n324>f=h58>0o76g88;29 76?2?l0b?>8:d98m21=83.9<549f:l1425$32;>3`4;h56>5<#:921:k5a215954=>1<7*=0985b>h58>0:>65f7283>!4703o0:3:1(?>7:7d8j76028>07d9>:18'65>=>o1e>=951498m3d=83.9<549f:l142<6>21i?:=50;3a>60=<6?ua3g;96f=#;o2196s+31a9=2=]000:wn4rZ3gf>4}e2tc3j7>5;n36a?6=3f8no7>5;n0e7?6=3`=i6=44i2a7>5<5<5<o413:1(?>7:2c8j7602810e>650;&14=<4i2d9<:4=;:k02?6=,;:36>o4n324>6=1<7*=0980e>h58>0?76g<3;29 76?2:k0b?>8:498m64=83.9<545$32;>6go5n3:1(?>7:2c8j7602010e?k50;&14=<4i2d9<:4n;:k1`?6=,;:36>o4n324>g=h58>0h76g=b;29 76?2:k0b?>8:e98m15=83.9<547>5$32;>6g5<6290;w)=?c;122>N4<=1d>=:50;9~f6d?290>6?49{M172?7|@8k87pB<4682!5a03;0q)=?c;3be>of83:17b5;h:b>5<k1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p=g<72;qU5o527;:b?xu013:1>vP89:?4e?7612wx=io50;0x92<>;27X58o1vb49je5<722e950z&04f08j95ri9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<50;9~w{t1j0;6?uQ9b9>=a<6901ve19~w4be2909w0=;5;323>;02090q~?kc;296~;02;:m7S4=68b4>{zj88=6=4>1;1956}#;9i1=?84o001>5<>o5j3:17dh4?::k1b?6=3`9;6=44i2394?=n;;0;66g<3;29?l532900e>850;9j7=<722c857>5;h1a>5<<4?::m2a5<722wi=?:50;;94?6|,::h68j4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?l7583:17d?=1;29?j7b83:17pl>2483>6<729q/?=m54e9K7125<?5213795`696=4={_61?875;3;:m6s|4283>7}Y<:16=?=510`8yv4e2909wSn4?:3y]6f=:9;91=5<5sW8o70?=3;32a>{t:l0;6?uQ2d9>575=98l0q~7}Y;;16=?:510`8yv542909wS=<;<310?76k2wx?94?:3y]71=:9;>1=5<5sW9=70?=4;32a>{t;10;6?uQ399>572=98l0q~=6:181[5>34;987?=0:p7g<72;qU?o52136957752z?266<6m916=?;510c8yv75<3:1>v3>2582a5=:9;?1=5<96=44i067>5<=6=44i06;>5<j6=44i06`>5<n6=44i073>5<5<>290;w)=?c;3f`>N4<=1b=50;9j577=831d=h>50;9~f42729086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj8>:6=4<:183!57k3;n?6F<459j54g=831b=e19~w7cd2909wS1`9~w4232909wS?;4:?27c<69j1v<:9:181[73>27:?k4>1e9~w42?2909wS?;8:?27c<69l1v<:n:181[73i27:?k4>219~w42d2909wS?;c:?27c<69o1v<:j:181[73m27:?k4>209~w4372909wS?:0:?205<69h1v>?j:181[56m27:8=4>1c9~w45a2909w0?;6<80:=l5rs063>5<5s4;?<7?j0:?204<69k1vqo=;2;29`?5=nr.8?50;9j765=831b?>;50;9j761=831b?>750;9j76d=831i?>h50;;94?6|,::h6o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66g>1g83>>o6:90;66g>2083>>i6m90;66sm35294?3=83:p(>>l:0g:?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a717=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t;:o1<770={t;;=1<7{t;;h1<7{t;;l1<7{t;:91<770=;0;32e>{t;:=1<7{t;:h1<750;0x962728o;70=;1;32f>{zj8o96=4::183!57k3?<7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi>?m50;194?6|,::h69h4H267?l76i3:17d?>b;29?j7b83:17pl=2d83>1<729q/?=m5509K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a752=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5io0;6>4?:1y'75e=9l90D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9ii4?:483>5}#;9i19;5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a777=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd4::0;684?:1y'75e===1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=<7:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm33c94?3=83:p(>>l:458L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`06f<72<0;6=u+31a913=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:9;6=4::183!57k3?>7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi?><50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl<3583>0<729q/?=m5549K7125<5<N4<=1b=50;9~f65?290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e;:k1<7;50;2x 66d2:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th8?n4?:483>5}#;9i1995G3568m47f2900e5<2290;w)=?c;76?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a6cb=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5no0;684?:1y'75e==>1C?9:4i03b>5<5<5<55;294~"48j0>;6F<459j54g=831b=><:186>5<7s-9;o7;9;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>i6m90;66sm2`g94?3=83:p(>>l:4:8L6233`;:m7>5;h32f?6=3`;:o7>5;h32`?6=3f;n<7>5;|`206<72<0;6=u+31a912=O;=>0e50z&04f<2>2B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj8><6=4::183!57k3?=7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::m2a5<722wi=9750;794?6|,::h68;4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl>4c83>0<729q/?=m5579K7125<5<N4<=1b=50;9~f42a290>6=4?{%13g?323A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66a>e183>>{e9<;1<7;50;2x 66d2<>0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3th9?=4?:283>5}#;9i18i5G3568m47f2900e5<3290;w)=?c;3f2>N4<=1b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm31:94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wi>k950;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<0`83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|`1ef<72:0;6=u+31a90`=O;=>0ea2908wS6i;<1a4c73ty9in4?:2y]6`e<58?96?kl;<0f`?7b82wx>k=50;0xZ7`4349;87?j0:p3g<72:qU;o523c`9=f=:;kk1=;6=;0:?h5rs2g7>5<4sW9n863<25825d=:9l5222195`6<5:8?6lo4=3ce>4c73ty:>?4?:3y]574<588=6<<=;|q207<72;q6=8<51508942428o;7p}>4583>7}:9<81=9:4=066>4c73ty:8;4?:3y>504=9=<01<:8:0g3?xu6<10;6?u2140951><58>264b83>7}:9<81=9m4=06g>4c73ty:8h4?:3y>504=9=o01<:i:0g3?xu6=90;6?u21409506<58?:6lh510`897gd28o;7p}>e083>6}:9l81=h>4=3ce>47f349im7?>b:p6c>=838p1?h6:0g3?857>3;:m6s|2gc94?4|5;li6km50;0x97`c28o;70=?6;32g>{t:oo1<74c7349;47?>a:p756=838p1>>>:0g3?85703;:n6s|31094?4|5::86k:50;0x97`228o;70=?a;32e>{t:o<1<74c7349;m7?>b:p7g>=838p1>l7:32e?85ej3k;7p}1}:;kh1>=h4=313>47e3488>7?>a:?1ef<69h1v?ok:18184fm3;n<63=ab825g=z{:8;6=4={<110?76k278><4>e19~w6452909w0==4;32`>;4::0:i=5rs30e>5<5s488?7?>a:?175<6m91v?=>:181844;3;:n63=3382a5=z{:9n6=4={<176?54m278?i4>1c9~w6d>2909w0=m8;;`?85ei3;n<6s|30d94?5|5:8?61`9~w6622909w0=?6;3f4>;5n<0:=o5rs224>5<5s49;47?j0:?1b2<69k1v>>6:181857<3;:n63<0`82a5=z{:8>6=4={<176?55=278>;4>e19~w74c2909w0<=e;3f4>;5;;0:=o5rs204>5<5s49?>7==7:?06=<6m91v><6:181853:399563<2`82a5=z{:8i6=4={<176?55j278>n4>e19~w64c2909w0=;2;11`>;4:l0:i=5rs20e>5<5s49?>7==f:?075<6m91v>=6:181853:398563<3`82a5=z{:;n6=4={<366?56m278?i4>e19~w65e2908w0=;2;10f>;4;j0:i=522g7954g53z?007<4;816?><51d2897`028;j7p}<3283>7}:;=81?>=4=217>4c73ty8?84?:3y>714=;:?01>=9:0g3?xu4;>0;6?u23509761<5:936?m51d28943a28;i70<<0;32e>{t;k0;6kuQ3c9>570=;k16=h<510a8974d28;i70<=e;32e>;5mm0:=o522`g954b<58>861e9>51?=98n01<:m:03g?873l3;:h63>4g825a=:9<;1=6g;5:l0:=o522df954b<5;kn61c9>511=98h01<:6:03b?873j3;:o63>4e825f=:9=l1=47d3-8m<7=n;o0fb?739370?j2;32f>;5:l0:=n522df954g<5:8:64>1c9>513=98k01<:8:03`?87313;:o63>4c825g=:9=n1=47e34;>=7?>b:&1b5<4i2d9ik4=;|q02?6=mrT8:63>27802>;6m;0:=l522df954e<5:8:64>1`9>513=98i01<:8:03b?87313;:n63>4c825d=:9=n1=47f34;>=7?>a:&1b5<4i2d9ik4<;|q00?6=;rT8863>27800>;4::0:=i5+2g297d=i:ll186s|3283>6}Y;:16=?85329>775=98i0(?h?:2c8j7ca2<1v><50;1xZ64<588=6><4=200>47e3-8m<7=n;o0fb?039:70==3;32e>"5n908m6`=eg84?xu483:1ivP<0:?263<48278>;4>1b9>77>=98h01>47c349887?>d:?073<69m16?>6510f8965f28;o70="5n908m6`=eg8;?xu5n3:1ivP=f:?263<5n278>;4>1c9>77>=98k01>47e349887?>a:?073<69j16?>6510a8965f28;h70="5n908m6`=eg8:?xu5m3:1ivP=e:?263<5m278>;4>1e9>77>=98n01>47d349887?>c:?073<69k16?>6510c8965f28;i70="5n908m6`=eg8b?xu5l3:1ivP=d:?263<5l278>;4>1`9>77>=98i01>47f349887?>b:?073<69h16?>6510`8965f28;j70="5n908m6`=eg8a?xu5k3:14vP=c:?263<5k279j44>1e9>6cd=98n01?hk:03g?84an3;:o63<00825f=:;991=6g|V;h01<<9:3`897`>28;h70;5nm0:=n522gd954d<5:::658z\77>;6:?0??63=f8825d=::oh1=47e348mj7?>a:?044<69m16?==510f8 7`72:k0b?ki:d9~w14=832pR9<4=005>14<5;l261`9>6c`=98n01>>>:03b?857;3;:o6*=f180e>h5mo0m7p}>dc83>7}:;=21>=:4=2`;>d6rF88;4>{I3b7>{K;==1>v`"4n10>7p*<0b816<=]0009w44i:|X1a`<5sh0n6pg7f;29?l4cm3:17bl;:188m6g42900e>k;:188m6ee2900e?2900c>l?:188k77a290/>=6520g8j7602910c??k:18'65>=:8o0b?>8:098k74?290/>=6520g8j7602;10c?<8:18'65>=:8o0b?>8:298k741290/>=6520g8j7602=10c?<::18'65>=:8o0b?>8:498k743290/>=6520g8j7602?10c?<<:18'65>=:8o0b?>8:698k745290/>=6520g8j7602110c?<>:18'65>=:8o0b?>8:898k747290/>=6520g8j7602h10c??l:18'65>=:8o0b?>8:c98m6>=83.9<54<7:l142<732c8:7>5$32;>61o4;3:1(?>7:258j7602:10e><50;&14=<4?2d9<:4;;:k05?6=,;:36>94n324>0=h58>0=76g=f;29 76?2:=0b?>8:698m7c=83.9<54<7:l1425$32;>61o5j3:1(?>7:258j7602k10e9=50;&14=<4?2d9<:4l;:k76?6=,;:36>94n324>a=h58>0n76g;0;29 76?2:=0b?>8:g98m6`=83.9<54<7:l142<6821b?h4?:%03;:k0`?6=,;:36>94n324>44<3`9h6=4+21:972=i:9=1=>54i2794?"58108;6`=06820>=e:k:1<7??:0824~J44?:029a?72sE9?:7?tH0c0?x"48j09n=5f18f94?=n90o1<75f18d94?=n9h:1<75f1`394?=n9h81<75f9d83>>i6n:0;66a>f483>>i6n>0;66a>f883>>i6nk0;66a>fe83>>i6no0;66a=0083>>i58;0;66lkc;297?7=;rF88;4>{I3b7>{#;9i1ho5fa183>>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|aa2<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zjo21<7=51;1xH62128qC=l=4}%13g?be3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;705<>o61m0;66g>9g83>>o6i;0;66akd;29?gc2290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66smdg83>6<729q/?=m54d9K7125<5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::aa6<72?0;6=u+31a91d=O;=>0e5<7s-9;o7?j9:J001=n98k1<75f10`94?=n98i1<75f10f94?=h9l:1<75rsg:94?2|Vo201h;510a89a`=98k01h=510c8yvc0290?wSk8;47e34o:647d3tyoo7>54z\gg>;b=3;:m63kf;32f>;b93;:n6s|18f94?4|V83o70k<:03f?xu61o0;6?uQ18d89`5=98n0q~?n2;296~X6i;16i>4>1c9~wab=838pRij4=d695`64c734o?6a4<6m916i94>1b9~w`4=838p1h=51d289`2=98n0qpli7;29=?>=99q/?=m5f69j`f<722c:5i4?::k2e4<722cn;7>5;h3:b?6=3`;2i7>5;h3b4?6=3`l36=44od:94?=en?0;694?:1y'75e==81C?9:4i03b>5<5<5<3290;w)=?c;71?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wiin4?:783>5}#;9i19l5G3568m47f2900e1`83>>o69k0;66a>e183>>{en90;6>4?:1y'75e=5<5<n7E=;4:k25d<722c:=o4?::m2a5<722wij94?:583>5}#;9i19?5G3568m47f2900e1`9>af<69m16j94>1c9~w4g6290?wS?n1:?e2?76j27nm7?>c:?e0?76k2wxi:4?:6y]a2=:mh0:=o52eb825g=:ml0:=l52f1825g=:n;0:=l52f5825d=z{83m6=4<{_3:b>;bi3;:m63jc;32e>{t90o1<7a183>7}Y9h:01hm510a8yv`?2908wSh7;47e34l;6{tm00;6?u2f782a5=:n<0:=l5rsdc94?4|5lk1=h>4=g7954d4c734l>6b5<6m916j84>1d9~wc7=838p1k<51d289c3=98l0q~h<:1818`328o;70h::003?x{e99>1<7656;fx 66d28:?7d?6d;29?l7>n3:17dh7:188m4g62900eh950;9j`f<722c:m?4?::me=?6=3k;;?7>55;294~"48j0>96F<459j54g=831b=b;29?l76k3:17d?>d;29?j7b83:17plid;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`244<72<0;6=u+31a913=O;=>0e50z&04f<6mh1C?9:4i03b>5<5<5<47d3ty:5k4?:2y]5<`<58:8647e3ty:m<4?:2y]5d7<58:8647c3tyn;7>53z\f3>;aj3;:n63id;32f>{tlj0;6>uQdb9>bg<69j16jk4>1c9~w4g52909wS?n2:?244<69h1vk750;0xZc?<58:964>e19>554=98k0q~hm:1818`e28o;70??2;32f>{tnj0;6?u2fe82a5=:9981=5<5s4lm650;0x946628o;70??2;32a>{zj8l?6=4;:183!57k3?87E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a5c0=83>1<7>t$22`>06<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl>f983>1<729q/?=m5539K7125<5<54;294~"48j0>>6F<459j54g=831b=50;9~f4`d290?6=4?{%13g?363A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm1gg94?2=83:p(>>l:408L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3th9<=4?:583>5}#;9i19<5G3568m47f2900e50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=z{mi1<7mt=ea965`<5l<1hn52f68gg>;68=0oo63>f5825f=:9o<1=47f34;mm7?>a:?2bf<69h16=kk510a8976728;i70{tm>0;6nu2e6814c=:m?0n;63i7;g4?877<3o<70?i4;32f>;6n?0:=o521g:954d<58lj61c9>656=98k01?><:03a?xua03:1nv3i8;03b>;b>3l370h8:g:894632o2013;:m63>f9825f=:9ok1=47d34;mi7?>a:?145<69j1v<7k:187[7>l27n:7?6d:?e3?7>l27:<94>9e9~w4?b2909wS?6e:?e3?7>m2wx=4h50;6xZ4?a34o=6<7i;4?a34;;87?6f:p5d6=838pR4g73ty:m<4?:2y]5d7<5o=1=l?4=027>4g63ty:m?4?:2y]5d4<5l<1=l<4=027>4g53ty2i7>54z\:a>;ck33i70k8:8`89c>=1k1ve19~w4`22909wS?i5:?2b3<6m91ve19~w4`>2909wS?i9:?2bd<6m91ve19~w4`c2909wS?id:?2b`<6m91ve19~w7652909wS52z?e;69:0:j>5rs0d6>5<5sW;m963>1282b0=z{8l<6=4={_3e3>;69:0:j:5rs0d:>5<5sW;m563>1282b<=z{8li6=4={_3ef>;69:0:jo5rs0dg>5<5sW;mh63>1282ba=z{8lm6=4={_3eb>;69:0:jk5rs322>5<5sW8;=63>128144=z{;:96=4={_036>;69:095<5sW;2h63>1282=a=z{83n6=4={_3:a>;69:0:5h5rs0;e>5<5sW;2j63>1282=c=z{8k;6=4={_3b4>;69:0:m=5rs0c2>5<5sW;j=63>1282e4=z{8k96=4={_3b6>;69:0:m?5r}c3fa?6==3819vB<4782M7f;2wG?995az&0b=<13-9m:7=84:&0a`k2d8i44>;%1e6?4a92d8il4?;|&04f<6k91b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;48 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3`3>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712=64<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e?m0;6>4>:2yO710=9rB:m>5rL264>4}#;o21:6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi>9750;195?5|D:>=6h7:79~ 66d28i27do?:188k76a2900e4l50;9a3?6=;3:1N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1vc`9je5<722e9:;;M172?7|,88<6>h;;|k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e::?1<7=51;1xH62128qC=l=4}M173?7|,:l36;5r$22`>4ee3`k;6=44o32e>5<2680b1=za1k1<75fa183>>i58o0;66s|9c83>7}Y1k16;76n;|q2`d<72;q6;7{I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a7=`=8391>7:tL265>4}O9h90qA=;7;3x 6`?2?1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6o?50;9j60e=831b>8>50;9j604=831b>o<50;9j61e=831b>8:50;9a60g=83?1<7>t$22`>01<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5=10;694?:1y'75e==;1C?9:4i03b>5<5<0e3:1>vP=579>60?=9l:0q~8o510f8yv42k3:1>vP=5b9>60g=98k0q~<:0;296~X5=916>8o510`8yv42:3:1>vP=539>60g=98i0q~86510a8yv43k3:1>vP=4b9>60>=98h0q~<:4;296~X5==16>86510c8yv42?3:1>v3=5`82a5=::<31=e19>60?=98h0qpl=6683><<22mq/?=m52758k73a2900e?l>:188m73d2900e?;?:188m7d42900e?l;:188m7d02900e?l=:188m72d2900n?89:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm27394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a634=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd5>=0;6>4?:1y'75e=5<5<56;294~"48j0:il5G3568m47f2900evP=5g9>633=9l:0q~;<510c8yv42k3:1?vP=5b9>630=98k01?8>:03b?xu5=90;6?uQ2428970128;i7p}=b283>7}Y:k901?8::03a?xu5j=0;6?uQ2c68970228;h7p}=b683>7}Y:k=01?8::03g?xu5j;0;6?uQ2c08970328;j7p}=4b83>7}Y:=i01?8;:03a?xu5>90;6?u227495`6<5;<:6;?51d28970528;i7p}>dc83>7}::?81=h>4=346>47f3ty9:>4?:3y>632=9l:01?8::03f?x{e:=:1<7m57;31!57k38?<6a=3783>>o5j80;66g=5b83>>o5=90;66g=5383>>o5j:0;66g=b583>>o5j<0;66g=b783>>o5j;0;66g=4b83>>o5==0;66l=3g83>1<729q/?=m5539K7125<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a66e=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e::n1<7=50;2x 66d2=n0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9?h4?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p}=3783>7}Y::<01?=j:0g3?xu5j80;6?uQ2c38975>28;j7p}=5b83>6}Y:{t:<81<7{t:k>1<770<{t:k<1<7{t:=i1<7=t^36`?844j3;:m63=3b825d=z{;??6=4={_060>;5;k0:=o5rs314>5<5s488j7?j0:?17=<69k1v1`9~w75f2909w0<;5;j0:=o5rs0f`>5<5s488o7?j0:?17a<69k1v54;192~"48j09:i5`20a94?=n:>o5j3:17o<9c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a63d=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:8i1<7;5>h0:=l5rs2794?4|V:?01?8l:03a?xu5j3:1>vP=b:?12d<69k1v?87:181841k3;n<63=6c825d=z{;<26=4={<05e?7b8279:o4>1c9~yg40;3:187=56z&04f<5?:1d>?>50;9j60c=831b?n4?::k1g?6=3k8<>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm26394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p676=838pR?8k50;1xZ73b348<>7?>a:?135<69h1v>m50;0xZ6e<5;=961`9~w70a2909w0<80;3f4>;5?80:=o5r}c04=?6=<391:v*<0b813<=h:;;1<75f24g94?=n;m0;66g=d;29?g4003:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;==6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>:950;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23394?4|V;8:70<87;3f4>{t:1c9~w7132909w0<88;3f4>;5?>0:=l5rs356>5<5s48<:7?j0:?132<69k1vqo<8f;290?5=>r.88k50;9j7`<722c9i7>5;c04a?6=;3:1N4<=1b=50;9~f71d29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:>n1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?<50;0xZ7453481`9~w6c=838pR>k4=35f>47e3ty9i7>52z\1a>;5?j0:=o5rs35b>5<5s4854;192~"48j09485`23194?=n:>o5n3:17o<74;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6=5=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;91<7;50;0:=l5rs2d94?4|V:l01?6;:03a?xu5n3:1>vP=f:?1<7<69k1v?6?:18184?<3;n<63=82825d=z{;2:6=4={<0;6?7b82794>4>1c9~yg4?j3:187=56z&04f<50k1d>?:50;9j60c=831b8=4?::k04?6=3k83m7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm29;94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p672=838pR?<;;<0;=?7b82wx>8k50;1xZ73b3483m7?>a:?1<=<69h1v9>50;0xZ16<5;2j61`9~w7>02909w0<78;3f4>;5000:=o5r}c0:5?6=<391:v*<0b81=4=h:;?1<75f24g94?=n<80;66g<1;29?g4>83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;2n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi>5h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|23794?4|V;8>70<7f;3f4>{t:83;:m63=8d825d=z{=;1<71c9~w7>d2909w0<60;3f4>;50o0:=l5rs3:g>5<5s483i7?j0:?1r.88k50;9j07<722c8>7>5;c0:2?6=;3:1N4<=1b=50;9~f7?329086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:0?1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wx>?850;0xZ741348297?j0:p60c=839pR?;j;<0:2?76i279594>1`9~w14=838pR9<4=3;5>47e3ty8>7>52z\06>;51=0:=o5rs3;1>5<5s482:7?j0:?1=0<69h1v?7<:18184><3;n<63=94825g=zuk82h7>54;192~"48j095i5`23594?=n:>o4;3:17o<6c;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{t:;=1<7j3;n<6s|24g94?5|V;?n70<6c;32e>;51h0:=l5rs5194?4|V=901?7l:03a?xu4;3:1>vP<3:?1=d<69k1v?77:18184>k3;n<63=9c825d=z{;326=4={<0:e?7b82795o4>1c9~yg4f;3:187=56z&04f<5i:1d>?650;9j60c=831b?;4?::k00?6=3k8j>7>53;294~"48j0?h6F<459j54g=831b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm2`394?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::p67>=838pR?<7;<0b5?7b82wx>8k50;1xZ73b348j>7?>a:?1e5<69h1v>850;0xZ60<5;k961`9~w7?a2909w0;5i80:=o5r}c0`0?6=;3:10e7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0ee29K7125<N4<=1b=50;9~f72029086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=zj:i26=4<:183!57k3>m7E=;4:k25d<722c:=o4?::m2a5<722wi?i:50;794?6|,::h6864H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pl0<729q/?=m5599K7125<5<N4<=1b=50;9~f6e7290?6=4?{%13g?353A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3b194?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a615=83?1<7>t$22`>02<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5<<0;694?:1y'75e==91C?9:4i03b>5<5<N4<=1b=50;9~f72c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e:=l1<7:50;2x 66d2<;0D>:;;h32e?6=3`;:n7>5;h32g?6=3f;n<7>5;|`11a<72=0;6=u+31a95`0<@:>?7d?>a;29?l76j3:17d?>c;29?j7b83:17pl=5083>1<729q/?=m5509K7125<5<?7>54;294~"48j0><6F<459j54g=831b=50;9~f73229086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e;0;1<7<50;2x 66d28837E=;4:k25<<722e:i=4?::p;5=l02n63=348:f>;5lk02n63<8g8:f>{t:mo1<76t^3ff?84e83;j=63=42825g=::=?1=47e348?j7?>b:?116<69j16>8;510`8yv5c:3:1>vP7a3=9l:0q~=71;292~X40816?n7510c896b328;j70=m2;32e>;4j?0:=l523b2954d52z\0g2=:;jk1=h>4}r1aa?6=:rT8nh523b395`652z\0=h4}r0gg?6=;rT9hn522c295i6k?4=362>7`634=o6l>4=36a>47d348?h7?>a:?10c<69j16>8?510a8973428;j70<:5;32e>{t;k>1<7{t;l>1<7;t^2g7?85d13;:n6347e3ty8oo4?:3y]7fd<5:n?6?o4=3`3>4?a348h87?>a:?2b5<69k16?n>510a8972428;o70<;b;32e>;54c73ty9ml4?:3y]6dg<5:i=6o74=3a7>4c73ty8n=4?:3y]7g6<5:h86a19>3a<58o16>9l510`8yv44<3:18v3=4982a5=:;m>1=47c349h<7?>a:p614=838p1?:8:03b?843;3;n<6s|25694?4|5;><6il50;1xZ7ee348on7l::18185e?3;:563e19~w7522909w0<<5;03b>;5<10:=l5rs362>5<5s48?=7:18584e83;m?63=5c81f4=::?=1>o?4=363>7d634;m<7?>a:?106<69h1v?l=:18684e83;m963=5c81f7=::?=1>o<4=363>7d5348?97?>a:p6g5=839p1?l?:0d4?841?38i?63=4181f6=z{;>j6=4={<07f?7b82799i4>1`9~w7d32908w0;5>>09n95225296g255z?11g<5;9525a897272;>h70<;d;3f4>;5=m0:=o5rs3`6>5<5s48i<7?ib:?105<5j<1v?:j:181843n3;n<63=5e825f=z{;h=6=4={<0a4?7al2798=4=b79~w737290?w0<:b;064>;5>>099=522529606<5;?:6o>51gd897002;h<7p}=5383>6}::

    8<4=363>735348>?7?j0:p5`c=83?p1?l?:0c1?87bm38;j63=42825f=::=?1=47f3ty9994?:2y>60d=:<>01?:?:377?842=3;n<6s|37;94?4|5;h;6?>=;<0gf?g73ty8n<4?:3y>7g5=98301>l=:0g3?xu4jo0;6?u23b295`6<5:i869?59b9>611=9l:0q~=60;296~;40o0j<63<9082a5=z{;?n6=4l{<06a?47n279:i4=5d9>625=:i63=84811`=::1h1>8k4=3;2>73b3482;7<:e:?1=a<5=l16>l=524g896?628;27p}=5g83>7}::52z?2a`<>k27:j=4>e19~w73d290?w0<:b;06g>;5>>099n52252960e<5;?o67}:::?1m=52252966052z?10<<58o16>n:510`8yv42>3:1>v3=488b4>;5=k099;5rs2a;>5<5s49hm7?>9:?0g<<6m91v?<7:181[450279m>4=299'6c6=:8o0b?ki:39~w7402909wS<=7:?1=a<5:>1/>k>520g8j7ca2:1v?<9:181[45>2795:4=279'6c6=:8o0b?ki:59~w7422909wS<=5:?1=4<5:<1/>k>520g8j7ca2<1v?<;:181[45<2794o4=259'6c6=:8o0b?ki:79~w7442909wS<=3:?1<0<5::1/>k>520g8j7ca2>1v?<=:181[45:279;k4=239'6c6=:8o0b?ki:99~w7462909wS<=1:?13<<5:81/>k>520g8j7ca201v?4=219'6c6=:8o0b?ki:`9~w77d2909wS<>c:?12a<59j1/>k>520g8j7ca2k1v>650;0xZ6>5<4sW9?7S<>f:?1e6<4<2.9j=4<7:l1ac<53ty8?7>52z\07>;51m08?6*=f1803>h5mo087p}<2;296~X4:2795:4<2:&1b5<4?2d9ik4;;|q05?6=:rT8=63=90805>"5n908;6`=eg86?xu483:1>vP<0:?152z\1b>;50<09j6*=f1803>h5mo0<7p}=e;296~X5m279;k4=e:&1b5<4?2d9ik47;|q1`?6=:rT9h63=7881`>"5n908;6`=eg8:?xu5k3:1>vP=c:?136<5k2.9j=4<7:l1ac52z\1f>;5>m09n6*=f1803>h5mo0i7p};3;296~X3;2795i4;3:&1b5<4?2d9ik4l;|q76?6=:rT?>63=96876>"5n908;6`=eg8g?xu393:1>vP;1:?1=4<392.9j=4<7:l1ac52z\74>;50k0?<6*=f1803>h5mo0m7p}0:p7`<72;qU?h5226d97`=#:o:1?:5a2dd954=z{:n1<72:n0(?h?:258j7ca2880q~=l:181[5d348"5n908;6`=eg820>{zj:=j6=4>3;04>63|D:>=6h6:5:8 6`?2<1v(>>l:01g?l>a2900e<=::188m43b2900e<<6:188m6>62900c:k50;9j3g<722e::=4?::k0e6<722c:?h4?::m22a<722c8i94?::k0gg<722e:9>4?::m1f<<722e85l4?::m2=4<722e?87>5;n6`>5<3;pD5;n3e7?6=3f;m97>5;n3e3?6=3f;m57>5;n3ef?6=3`;2h7>5;h3:a?6=3`;2j7>5;h3b4?6=3`;j=7>5;c327?6=j331jvB<4782M7f;2w/?=m521;8m4?c2900e<7j:188m4?a2900e6}K;=<1=vF>a29~ 66d2mh0el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srbd594?5=939p@>:9:0yK5d55<50z&04f08j95ri9c94?=ni90;66a=0g83>>{t1k0;6?uQ9c9>3?>f3ty:hl4?:3y>3?47n2T95<5sWk;7095a19~ygc1290?6?4:{%13g?c13`nh6=44i0c2>5<>db83:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjml1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wxhn4?:3y]`f=:m90:=l5rs0c2>5<5sW;j=63j0;32f>{tm>0;6?uQe69>`c<69k1vij50;0xZab<5ml1=h>4}rff>5<5s4o;647f3twij:4?:985>a}#;9i1j:5f18f94?=nlj0;66gj7;29?l7f93:17d?6e;29?l7>n3:17d?n0;29?jc?2900nk=50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17plja;297?6=8r.8>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`fa?6=<3:1N4<=1b=50;794?6|,::h6884H267?l76i3:17d?>b;29?l76k3:17d?>d;29?j7b83:17pli2;292?6=8r.8e`9K7125<5<5<52z\2=a=:n:0:=o5rsea94?3|Vmi01k=510c89`g=98k01hk510`89c6=98h0q~k8:187[c034oj647e34on6;a83;:h6s|1`294?4|V8k;70h?:03b?xub03:1>vPj8:?e6?7b82wxi44?:3y>b6<6m916j?4>1`9~w`g=838p1ho51d289c4=98h0q~km:1818cd28o;70h=:03`?xubl3:1>v3je;3f4>;a:3;:h6s|eg83>7}:n90:i=52f3825`=zuk;m87>53;294~"48j0?i6F<459j54g=831b=5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm1g:94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`2bd<72:0;6=u+31a90c=O;=>0e50z&04f<6m:1C?9:4i03b>5<5<76a34o=6im4=g59`f=:9o>1=47e34;m47?>b:?2bd<69k16=km510`8yvc02903w0k8:32e?8c12l=01k95e69>5c2=98h01f`825d=:9oi1=;a?3;2i6s|18d94?4|V83m70h8:0;e?xu6i90;6?uQ1`289c1=9h:0q~?n1;297~X6i816i;4>a09>b2<6i81v4k50;1xZ{t9o91<770?i6;3f4>{t9o=1<7{t9oh1<77}:lj0j<63j6;fg?xub03:1>v3j7;c3?8`02l20qp}6b;296~X>j27:=>46e:p5c5=838pR34;:?7?i9:p5cd=838pRm2wx=4h50;0xZ4?a34;:?7?6f:p5d6=838pR0q)=?c;3bg>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi=nk50;696?2|D:>=6h7:59'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4=:183!57k3;946F<459j54?=831d=h>50;9~w{t:9l1<7v38:`28963b28o;7psm9983>1<62:qG?9851zJ2e6=zD:><65u+3g:90>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>4ga3`3i6=44i`294?=h:9l1<75f2g394?=e?3:1?7?53zN003<6sA;j?6s+31a95d?5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twx5o4?:3y]=g=:?33i7p}=0g83>7}Y:9l01:4=0g9~w7`62909wSd655;091~J4=92.8ii46c:l0a<<63-9m?7=?b:l0ag<63t.8b19j=g<722c2o7>5;hc3>5<5<0;684=:4yO710=9rB:m>5r$22`>4g>3`k;6=44o32e>5<>o>;3:17o950;794?6|,::h6io4H267?l>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;j510`8yv47n3:1>vP=0g9>3?47n2wx?=l50;1xZ66e34=1m=5237f954g52z?4>7;tL265>4}O9h90qA=;7;3x 6`?2=1v(>>l:0cg?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f4??290>6?4:{M172?7|@8k87pB<4682!5a03>0q)=?c;3b`>of83:17b>{e;=?1<7?50;2x 66d28;37E=;4:m252<722wx5o4?:3y]=g=:?32j7p}6c;296~X>k27<65k4}r3ge?6=:r78884>169>3??43ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg7183:1?7?53zN003<6sA;j?6sC35595~"4n10?7p*<0b82g==ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d60}K;=<1=vF>a29~H62028q/?k654:'75e=9hn0el>50;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k654:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm14194?3=:3?p@>:9:0yK5d51=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb0;2>5<22;0>wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1vk2d8i44>;%1e6?4a92d8il4?;|&04f<6j81b5o4?::k:g?6=3`k;6=44o32e>5<0<525<t$22`>ag<@:>?7A=;6;3x 4402:l?7pg7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5::186>6<0sE9?:7?tH0c0?xJ4<>0jw)=i8;68 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?8k50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl<6c83>6<729q/?=m51d68L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yv?d2909wS7l;<15f?76j2wxm=4?:3y]e5=:;{t:o;1<7=t^3d2?852m3;:m63<6c825d=z{:?o6=4={<59e5=:;4}r15e?6=:r7<64m4=24a>4c73twi;h4?:480>2}K;=<1=vF>a29~H6202hq/?k654:&0b3<4?=1/?hk5a19'7c6=:9l0(>kl:8`8j6c?281/?hj59b9m7`?=92.8j?4=f09m7`g=82w/?=m51c08m5;h0e5?6=3k=1<7;52;7xH62128qC=l=4}%13g?7f12cj<7>5;n03b?6=3`3i6=44i8a94?=n1:0;66l8:186>5<7s-9;o7jn;I170>o?m3:17d7<:188m=g=831bm=4?::m14c<722wi?9;50;394?6|,::h6i69>0;66s|9c83>7}Y1k16;76n;|q:g?6=:rT2o638:9g8yv7ci3:1>v3<448252=:?3387p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9>i7>53;294~"48j0?h6F<459j54g=831b=8m:180>5<7s-9;o7?j4:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d70c=98k01>8m:03b?xu4=m0;6?u27;c3?852m3;n<6s|37c94?4|5>02o63<6c82a5=zuk;=n7>57;79f~"48j0::o5`17194?=n:9n1<75f4b83>>o6>80;66g=0`83>>o58k0;66g>3583>>d6>h0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a53?=83>1<7>t$22`>4c13A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66s|17194?4|V8<870?99;3f4>{t:9n1<73;:m6s|4b83>6}Y6083>7}Y9?;01<8n:03a?xu58h0;6?uQ21c8940>28;i7p}=0c83>7}Y:9h01<87:03a?xu6;=0;6?uQ1268940?28;j7p}>6583>7}:9?k1=h>4=046>47e3ty:hl4?:3y>533=9l:01<89:03a?xu6lk0;6?u217495`6<58<2628;h7psm16794?2=;3>l:056?j7083:17d:l:188m41d2900e>k;:188f41329086=4?{%13g?2c3A9?86g>1`83>>o69k0;66a>e183>>{e9>81<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th:;>4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}>7183>7}Y9>:01<9<:0g3?xu3k3:1?vP;c:?231<69h16=:<510c8yv70k3:1>vP>7b9>522=98h0q~=j4;296~X4m=16=:<510`8yv7093:1>v3>7582a5=:9>91=e19>525=98h0qpl>5883>1<42?q/?=m514;8k4332900e9m50;9j50g=831b?l=50;9a50>=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd6=?0;6>4?:1y'75e=5<5<;7>53;294~"48j0:i>5G3568m47f2900e1`9~w43f2909wS?:a:?21=<69k1v>o<:181[5f;27:9;4>1c9~w4322909w0?:8;3f4>;6=>0:=l5rs075>5<5s4;>:7?j0:?212<69k1vqo?67;290?5=>r.8969l5<4=831b8n4?::k2==<722c8oo4?::`2=3<72:0;6=u+31a90a=O;=>0e<3:1?7>50z&04f<3n2B8895f10c94?=n98h1<75`1d294?=zj83>6=4<:183!57k3;n?6F<459j54g=831b=:27:584>e19~w1e=839pR9m4=0;5>47f34;287?>a:p5<>=838pR<77;<3:2?76j2wx?nl50;0xZ6ee34;287?>b:p5<5=838p1<79:0g3?87>=3;:m6s|18694?4|583?65<7s-9;o7?j7:J001=n98k1<75f10`94?=n98i1<75`1d294?=zj:3i6=4;:183!57k3?:7E=;4:k25d<722c:=o4?::k25f<722e:i=4?::a52c=83<1<7>t$22`>0?<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17d?>e;29?j7b83:17pl6a;297?6=8r.85<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a5=5=83?1<7>t$22`>00<@:>?7d?>a;29?l76j3:17d?>c;29?l76l3:17b?j0;29?xd5k:0;6>4?:1y'75e=5<5<54;294~"48j0:i;5G3568m47f2900e50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb05:>5<3290;w)=?c;3f<>N4<=1b=5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm16`94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::a5c4=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e91=1<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi=i>50;094?6|,::h6<<7;I170>o6900;66a>e183>>{e9t$22`>44?3A9?86g>1883>>i6m90;66sm16594?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wi=5;50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t0o0;620h01<=;:8`8941d20h01=1k168n46b:?21d<>j27:5546b:?225<>j27::i46b:?70??e34;>?77m;<3:5??e34;ni77m;<3;5??e34=n64l4}r301?6=;rT:?8527d8b4>;60<0:=45rs07f>5<5sW;>i63=c2825g=z{8826=4={_31=>;6;=0j<6s|39394?4|V:2:70=6b;32e>{t?l0;6?uQ7d9>3`<58o1v:l50;:xZ2d<5;:26<7j;<3fa?4a927:4<4=f09>3`<5n816=:k510a8940528;h70?89;32e>{t9?:1<7;6=j0:=45rs01f>51=47e34;e:?2b5<69h16=;<510`8941>28;i7p}>6e83>7}Y9?n01<8k:32e?xu4m=0;69uQ3d68941d2h:01<9::2g7?85>j3;:o6s|3b`94?5|V:ii70?67;1`f>;61h0:=45rs070>5<5sW;>?63>52814c=z{;h26=4={_0a=>;5k=0:i=5rs2;b>5<5sW92m63<9c82a5=z{83:6=4={_3:5>;61809019:521d8yv2d290hwS:l;<03=?7>l27?o71c9>5=5=98h01?m<:03b?871n3;:m6s|19694?4|582:6l>4=0:6>4c73ty:5?4?:3y>5<7=i916=4951808yv70l3:1?v3>7b8:g>;6?l0:i=521e2954?52z?22c<6m916=:l510c8yv74<3:18v3=0882e4=:9:>1>=h4=04a>45334;3?7?>a:p537=838p1<8m:042?871:3;n<6s|9883>7}:1109j<529`82a5=z{8on6=49{<03=?7f827:ih4=0g9>52c=98h01<6<:03`?871:3;:m63>78825f=z{021<75<5s4;hi7:18187a83;:n63>f382a5=z{;:n6=4={<03=?7aj27:;o4>1c9~w4>52908w0?71;;`?81b20i01<6<:0g3?xu6=k0;6?u214c9e5=:94}r3;2?6=:r7:4>4>1e9>5=1=9l:0q~?86;296~;6>m02o63>7682a5=z{;:j6=48{<03=?7a;27?87o?;<367??d34;2=77l;<35f?47i272m7?>a:?2<5<69h1v<9i:181870m3;:h63>8182a5=z{;:i6=4<{<03=?7a=27::o4=0c9>5=6=98h0q~=751g;8940e2;:o70?i2;32e>;60>0:=l5rs05`>5<5s4;{t9jo1<7:t=0af>76a34>h6>>m;<36e??d34;2477l;|q21d<72;q6=8o521d8943>28?j7p}>5583>7}:9<91m=5214;950252z?22a719~w4042909w0?90;c3?871j3;=?6s|16:94?4|58=26n<50;1x97e328;h70;6n;0:=o5rs05b>5<5s4;03k;70?6a;3f4>{t9021<776a34;2;7?68:p5=7=838p1<6>:32e?84d<3;:n6srb25:>5<6=39n68:tL265>4}O9h90qA=;7;0xj6`>2<20(>h7:49~ 66d289h7W6=44i2ff>5<5<5<k3:17b:;:188k6b12900n>:::182>5<7s-9;o7?>8:J001=h98=1<75rb0:;>5<683;1==uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51048m=<50;9j550;9j5d7=831b=l<50;9a545=83;;6i4>4zN003<6sA;j?6s+31a95=>5<5<5<4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6N4<=1G?9851z&262<4n=1ve5o50;9je5<722e9X58o1v5<42808wA=;6;3xL4g43t.85;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd68<0;6>4>:2yO710=9rB:m>5r$22`>ad5<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<79:180>4<4sE9?:7?tH0c0?x"48j0on6gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|`242<72:0:6>uC35495~N6i:1v(>>l:e`8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:N003<6s-;9;7=i4:j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj8:36=4<:080I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712=652z\b4>;02h:0qpl>0c83>6<62:qG?9851zJ2e6=z,::h6il4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m;6sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~fc1=83=197lt$22`>c15<5<5<1<729q/?=m5519K7125<5<0e5<7s-9;o7;?;I170>o69h0;66g>1c83>>o69j0;66a>e183>>{en90;684?:1y'75e=9l30D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;n3f4?6=3ty:ji4?:4y]5cb<5o;1=55z\2=`=:n80:=o52e`825g=:mj0:=o52ed825g=z{8k:6=4={_3b5>;a93;:o6s|1`094?4|V8k970kn:03`?xu61o0;6?uQ18d89`e=98i0q~?n0;296~X6i916ih4>1b9~w`>=838pRh64=g295`64c734l;6af<6m916j=4>1b9~w`b=838p1hk51d289c6=98n0qpl>0583>=<42hq/?=m51168m4`c2900e<7i:188m4g72900e:188m4g52900e<7j:188m4`e2900ck750;9ab`<72?0;6=u+31a91g=O;=>0e5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66smfe83>1<729q/?=m51d48L6233`;:m7>5;h32f?6=3`;:o7>5;n3f4?6=3ty:ji4?:2y]5cb<5oo1=52z\2=c=:nl0:=o5rs0c3>5<5sW;j<63ie;32e>{t9h;1<7a383>7}Y9h801kk510f8yv7>m3:1>vP>9d9>bg<69h1vc:pb<<72;qUj452fe82a5=z{ok1<75<5s4li647e3twi=;h3e7?6=3`;2i7>5;h035?6=3`;2h7>5;n33g?6=3k;:<7>53;294~"48j0?h6F<459j54g=831b=j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm11d94?2=83:p(>>l:0g5?M53<2c:=l4?::k25g<722c:=n4?::m2a5<722wx=k=50;1xZ4`434;:<7?>a:?24`<69k1v<7j:181[7>m27:==4>1c9~w7662909wSl27:

    1`9~w46d2909wS??c:?24c<6m91v<>k:18187683;n<63>0g825d=z{8:n6=4={<33a?7b827:1b9~yg77i3:187>50z&04f<292B8895f10c94?=n98h1<75f10a94?=h9l:1<75rb320>5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v<7k:180[7>l27:=<4>9e9>55g=98h0q~?6e;291~X61l16j:4>9d9>552=90o01:0;f?877i3;:m6s|18d94?5|V83m70h8:0;e?877<3;2j6s|1`294?5|V8k;70h8:0c3?877<3;j<6s|1`394?5|V8k:70h8:0c2?877<3;j=6s|1`094?5|V8k970h8:0c1?877<3;j>6s|9d83><}Y1l16hn46b:?f3??e34l364l4=026>54z\2b6=:99h1>=h4=032>4`434;;m7?>c:p5c3=839pRfc9~w4`c290>wS?id:?e==510;8yv7an3:1?vP>fg9>`f>;76a34;:=7=;<037?7b82wxi54?:3y>a23ty:<44?:3y>55>=i916==o51d28yv77k3:1>v3>0c8b4>;6980:5<5sW3i70?>3;;f?xu6n:0;6?uQ1g18947428l87p}>f483>7}Y9o?010;6?uQ1g58947428l<7p}>f883>7}Y9o301fe83>7}Y9on017}Y:9;019e83>7}Y90n019g83>7}Y90l01a083>7}Y9h;01p@>:9:0yK5d56=z,::h65<50z&04f08j95ri9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d653;397~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rs8`94?4|V0h01:47a:p5ag=838p1:4=0g9]65`52z\b4>;02h:0qpl<1c83>6<62:qG?9851zJ2e6=zD:><6{#;9i1=n64i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yv?e2909wS7m;<59dc83>7}Yi916;7o?;|a<3<72:0:6>uC35495~N6i:1v@>:8:0y'7c>=;2w/?=m51b:8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e:h=1<7=51;1xH62128qC=l=4}M173?7|,:l36>5r$22`>4e?3`k;6=44o32e>5<j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<7=6h7:29~ 66d28ki7do?:188k76a2900e4l50;9a3?6=;3:1N4<=1b4l4?::kb4?6=3f8;j7>5;|`:b?6=:3:10e4c73ty:ho4?:3y>3?47n2T95<5sWk;7095a19~yg56i3:1?7<54zN003<6sA;j?6sC35595~"4n1087p*<0b82eg=ni90;66a=0g83>>o>j3:17o950;194?6|,::h6i74H267?l>f2900el>50;9l65`=831vn4h50;094?6|,::h6<<7;I170>o6900;66a>e183>>{t1k0;6?uQ9c9>=c<6901ve19~w4be2909w09521d8Z76a3ty:hn4?:3y]e5=:?3k;7psm8483>6<52=qG?9851zJ2e6=zD:><6{#;9i1=ll4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86g7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;k=6=4<:387I53>3;pD5;n03b?6=3`3i6=44b683>6<729q/?=m5d89K712>i58o0;66sm9g83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3ty2n7>52z\:f>;>n3;:56s|1ec94?4|5>03m636f;3f4>{t9mh1<74}|`g2?6=;3818vB<4782M7f;2wG?9951z&0b=<43t.8ac9je5<722e9:;;h:b>5<5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twih:4?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmbg83>=<52mqG?9851zJ2e6=zD:><65u+3g:97>"4n?08;?5+36797cg<,:l;6?>i;%1fg??e3g9n47?4$2d1>7`63g9nm7?4}%13g?7f?2P357?t1`8~^7cb2;q:o7?j:|k1b7<722c2n7>5;h0e5?6=3f8:97>5$32;>7733g8;;7>4;n027?6=,;:36??;;o033?7<3f8:>7>5$32;>7733g8;;7<4;n025?6=,;:36??;;o033?5<3f8:<7>5$32;>7733g8;;7:4;c594?b=>3op@>:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9m>7b59Y<<<5s8k1=54rZ3gf>7}6k3;>6pg=f383>>o>j3:17d7:337?k47?3:07b<>3;29 76?2;;?7c7:337?k47?3807b<>1;29 76?2;;?7c7:337?k47?3>07do9:18'65>=i<1e>=950:9je1<72-8;47o:;o033?7<3`k86=4+21:9e0=i:9=1>65fa383>!4703k>7c=65a49m651=<21i;7>55;193~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09'7c5=;9h0b>km:09~ 66d28h=7d7m:188md6=831d>=h50;9j75d=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8;=4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}rc3>5<5sWk;70=80;32f>{t:9l1<7510c8yv4a93:1>vP=f09>70c=98k0q~=9f;296~;4=l0:=o5236295`6h7>52z?4>d6<5:?n6kl:8`8j6c?281/?k<52g38j6cf281v(>>l:0cf?l?e2900el>50;9l65`=831b>k?50;9a3?6=;3;1?vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722h<6=4<:183!57k3n27E=;4:k;e?6=3`k;6=44o32e>5<i;|q2`g<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~o?:181[g7349>i7?>b:p65`=838pR?>i;<5965`52z\1b4=:;4=27f>4c73twih7>54;091~J4521d8 6cd20h0b>k7:09'7c4=:o;0b>kn:09~ 66d28kn7d7m:188md6=831d>=h50;9j6c7=831i;7>53;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm34g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|q:f?6=:rT2n638:8`8yvg72909wSo?;<16a?76j2wx>=h50;0xZ76a34=1>=h4}r0e5?6=:rT9j<5234g954gh7>52z?4>d6<5:?n6:8:6y'7c0=;>>0(>kj:`28 6`72;:m7)=jc;;a?k5b03;0(>h=:3d2?k5bi3;0q)=?c;3ba>o>j3:17do?:188k76a2900e?h>:188f2<72:0:6>uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3ty2n7>52z\:f>;021k0q~?ka;296~;02;:m7S4=68b4>{zj:?n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}n0;296~Xf82789h4>1c9~w76a2909wS76a3ty9j<4?:3y]6c7<5:?n6=6h9:257?!5bm3k;7)=i0;03b>"4mj02n6`>of83:17b=60e5o50;9je5<722e9X58o1vN4<=1b=50;9~w{ti90;6?uQa19>70c=98h0q~;<16a?76i2wx?8j50;0x92e19~yg46j3:1?7>50z&04f<6m:1C?9:4i03b>5<5<7>53z\1b7=:?39;n63=1c825g=z{0h1<78t^8`892<>j27364l4=e8:f>;6:33i70?<:8`8yv4a93:1?vP=f09>3?4a9279=o4>1`9~w77f290>w0652g389a<5n816=?4=f09>56<5n816>vP=149>56<58o1/>k>52068j7ca291v??<:181[46;27:>7hh51:p644=838pR??=;52z\154=:038;j6*=f18151=i:ll1?6s|20294?4|V;;;709521d8 7`72;;?7cd6<,;l;6l;4n3ge>5=z{h>1<7k>5a49m6``=92wxm>4?:3y]e6=:l3k;7)7}Yi816;7o?;%0e4?g23g8nj7:4}|`2`7<72h036iuC35495~N6i:1v@>:8:4y'7c0=;?o0(>ki:c18 6`d211/?k?52d28 6`e2>1v(>>l:0c6?_>>2;q:n7?6:|X1a`<5s8n1=;4ro3g5>5<#:921>h;4n324>5=5<#:921>h;4n324>7=54o3g2>5<#:921>h;4n324>1=h58>0;76gm7;29 76?2k20b?>8:098mg0=83.9<54m8:l142<532ci97>5$32;>g>54ic694?"5810i46`=0687?>d6l:0;6>4>:2yO710=9rB:m>5r$22`>4ec3`h:6=44ic094?=h:l:1<75m34294?5=;3?p(>>l:273?ld62900eo<50;9l6`6=831i?9j50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4d83>6<729q/?=m54d9K7125<0e;<17`?76j2788h4>1`9~wg4=839pRo<4=26g>47f349?i7?>b:p6`6=838pR?k?;<17b?7b82wx?9m50;0x962c28o;70=;f;32e>{t;=n1<74c7349?j7?>b:~wg7=838pRo?4=273>g77}Y:l:01>;?:3g3?x{e9m>1<7=51;1xH62128qC=l=4}%13g?7dl2ci=7>5;h`1>5<5<42:0>w)=?c;164>oe93:17dl=:188k7c72900n>:k:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35g94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`00c<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xue93:1?vPm1:?00a<69k16?9k510c8yvd52908wSl=;<17`?76i2788h4>1c9~w7c72909wS:l:181853l3;n<63<4g825d=z{:>o6=4={<17a?7b82788k4>1c9~yvd62909wSl>;<164?d63tyi>7>52z\a6>;4=90i>6s|2d294?4|V;o;70=:0;0f4>{zj8n>6=4<:080I53>3;pD5;c164?6=;3919v*<0b8015=nj80;66gm2;29?j4b83:17o=;d;297?6=8r.85<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a71`=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{tj80;6>uQb09>71b=98h01>:j:03b?xue:3:1?vPm2:?00a<69h16?9k510`8yv4b83:1>vP=e19>71`=9l:0q~=;c;296~;452z?00`<6m916?9h510`8yxue93:1>vPm1:?0155<5sW8n<63<5181a5=zuk;o:7>53;397~J4>i5m90;66l<4e83>6<729q/?=m54d9K7125<N4<=1b=50;9~f62a29086=4?{%13g?7b;2B8895f10c94?=n98h1<75`1d294?=z{k;1<7=t^c38962c28;i70=;e;32e>{tj;0;6>uQb39>71b=98k01>:j:03a?xu5m90;6?uQ2d28962a28o;7p}<4b83>7}:;=n1=h>4=26e>47f3ty88i4?:3y>71c=9l:01>:i:03a?x{tj80;6?uQb09>706=j81vo<50;0xZg4<5:?;6o<4}r0f4?6=:rT9i=5234296`65}#;9i18i5G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6`d=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66s|34f94?5|58n?6o?4=27f>4c7349=<7?>b:p70`=839p1{t;?;1<7g7<5:<96h84=0f5>7c73-8m<76?k?;%0e4?4b=2d9ik4>;|q1a6<72;qU>h=4=0f7>7c73-8m<7h?4=3ga>4c73-8m<752z\a=>;6l?0i>6*=f18a<>h5mo0;7p}m7;297~Xe?27:h84m2:?027<69h1/>k>5b99m6``=92wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6o64n3ge>7=z{k?1<7=t^c7894b42k801>;j:03b?!4a83h37ci7?>b:?1ag<6901/>k>5b99m6``=<2wv?h=:181[4a:27<6?h=;|q:f?6=:rT2n638:8`8yv4a93:1>vP=f09>3?4a92wx><;50;1xZ77234=1><;4=0f1>g?<,;l;6??;;o0fb?653z\156=:?38:?63>d38a3>"5n909=95a2dd95>{t:881<7=t^331?81=:88013?46927:h?4m5:&1b5<59=1e>hh53:p646=839pR???;<59646<58n96o:4$3d3>7733g8nj7:4}r167c13-8m<7=:7:l1ac<73ty89;4?:3y>3?g334;o>71e>hh51:p703=838p1:4n3:?2`7<5m:1/>k>53458j7ca2;1v>;;:18181=i;16=i<52d08 7`72:?<7cv38:`3894b52;o:7)h5mo0?7psmd183>6<62:qG?9851zJ2e6=zD:><6{#;9i1=o94i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f6b1290>6>49{M172?7|@8k87pB<468b!5a0390(>h9:257?!5bm3k;7)=i0;03b>"4mj02n6`50;9l65`=831b>k?50;9a3?6==3819vB<4782M7f;2w/?=m51`;8md6=831d>=h50;9j=g<722c2o7>5;h;0>5<0;684?:1y'75e=lh1C?9:4i9g94?=n1:0;66g7a;29?lg72900c?>i:188yg53=3:1=7>50z&04f<6911C?9:4o034>5<03i6s|1ec94?4|5:>>6db83>7}Yi916;7o?;|a70c=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm37`94?5=83:p(>>l:0g7?M53<2c:=l4?::k25g<722e:i=4?::p=g<72;qU5o527;;a?xu>k3:1>vP6c:?02g<69h1v?>i:181[47n27<6?>i;|q1b4<72:qU>k?4=27f>47>349=n7?>b:p70b=838p1:4n0:?01`<6m91v>8n:18181=1j16?;l51d28yxd6:00;6>4>:2yO710=9rB:m>5rL264>4}#;o21?6s+31a95f>5<7s-9;o7j6;I170>o?i3:17do?:188k76a2900q~7m:181[?e34=14l5rs0fb>5<5s4=1>=h4^32e?xu6lk0;6?uQa19>3?g73twi894?:282>6}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm12794?3=:3?p@>:9:0yK5d56=z,::h65<t$22`>ag<@:>?7d6j:188m<5=831b4l4?::kb4?6=3f8;j7>5;|`000<7280;6=u+31a954><@:>?7b?>7;29?xu>j3:1>vP6b:?4>=gv38:32e?[47n2wx=im50;0xZd6<5>0j<6srb2;4>5<42;0?wA=;6;3xL4g43tF88:4>{%1e5;h;a>5<0;6>4?:1y'75e=l01C?9:4i9c94?=ni90;66a=0g83>>{e1o0;6?4?:1y'75e=9;20D>:;;h32=?6=3f;n<7>5;|q:f?6=:rT2n636f;32=>{t9mk1<7;>n3;n<6s|1e`94?4|5>09vPn0:?4>d66}K;=<1=vF>a29~H62028q/?k653:'75e=9j20el>50;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2c3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psm39394?5=:3>p@>:9:0yK5d56=z,::h65<50z&04f>of83:17bn3:1>7>50z&04f<6:11C?9:4i03:>5<5<5sW3i707i:03:?xu6lh0;6?u27;:b?8?a28o;7p}>dc83>7}:?38;j6P=0g9~w4bd2909wSo?;<59e5=zuk9j?7>53;090~J45;c594?5=83:p(>>l:e;8L6233`2j6=44i`294?=h:9l1<75rb8d94?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx5o4?:3y]=g=:1o0:=45rs0fb>5<5s4=14l529g82a5=z{8ni6=4={<5965`db83>7}Yi916;7o?;|a7fd=8391>7:tL265>4}O9h90qA=;7;3x 6`?2:1v(>>l:0ca?lg72900c?>i:188m53;294~"48j0o56F<459j5;n03b?6=3th2j7>52;294~"48j0:>55G3568m47>2900c47>3ty:hl4?:3y>3?>f343m6309l57e=831b=5750;9j53e=831b=5o50;9j5=d=831b=5m50;9j5=b=831b=5k50;9j57g=831i=>>50;194?6|,::h69j4H267?l76i3:17d?>b;29?j7b83:17pl>2d83>6<729q/?=m54d9K7125<0evP>2b9>57`=9l:0q~?79;296~X60016=>>510c8yv71k3:1>vP>6b9>566=98h0q~?7a;296~X60h16=?h510`8yv7?j3:1>vP>8c9>57`=98i0q~?7c;296~X60j16=?h510f8yv7?l3:1>vP>8e9>57`=98o0q~?7e;296~X60l16=?k510`8yv75i3:1>vP>2`9>57c=98k0q~?=d;296~;6;90:i=5213d954g52z?26`<6m916=?h510d8yxd3j3:147<59z&04f<3j2e?;7>5;h3;=?6=3`;=o7>5;h3;e?6=3`;3n7>5;h3;g?6=3`;3h7>5;h3;a?6=3k>j6=4<:183!57k3>o7E=;4:k25d<722c:=o4?::m2a5<722wi844?:683>5}#;9i1=hl4H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17d?>f;29?j7b83:17p};7;296~X3?27?57?j0:p5=?=838pR<66;<6b>47f3ty::n4?:3y]53e<5=k1=;313;:o6s|19a94?4|V82h70:6:03g?xu60m0;6?uQ19f891?=98o0q~?7e;296~X60l16844>1g9~w1>=838p19o51d2891?=98k0qpl=a483>6<729q/?=m54e9K7125<N4<=1b=50;9~f=2=8391<7>t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xdfk3:1?7>50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zjj=1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3thh57>53;294~"48j0?i6F<459j54g=831b=b;29?j7b83:17pl1<729q/?=m5519K7125<5<53;294~"48j0:i>5G3568m47f2900e5<7s-9;o7;l;I170>o69h0;66g>1c83>>o69j0;66g>1e83>>o69l0;66a>e183>>{ekm0;6;4?:1y'75e==01C?9:4i03b>5<5<5<5<1290;w)=?c;7a?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::m2a5<722wi?il50;494?6|,::h6874H267?l76i3:17d?>b;29?l76k3:17d?>d;29?l76m3:17b?j0;29?xddn3:1?7>50z&04f<6m:1C?9:4i03b>5<5<55;294~"48j0:i45G3568m47f2900e5<2290;w)=?c;7;?M53<2c:=l4?::k25g<722c:=n4?::k25a<722e:i=4?::a56d=83>1<7>t$22`>4c03A9?86g>1`83>>o69k0;66g>1b83>>i6m90;66sm3`094?1=83:p(>>l:0ga?M53<2c:=l4?::k25g<722c:=n4?::k25a<722c:=h4?::k25c<722e:i=4?::a7t$22`>1b<@:>?7d?>a;29?l76j3:17b?j0;29?xd40>0;6;4?:1y'75e=9lk0D>:;;h32e?6=3`;:n7>5;h32g?6=3`;:h7>5;h32a?6=3f;n<7>5;|`0ea<72:0;6=u+31a95`5<@:>?7d?>a;29?l76j3:17b?j0;29?xd4i>0;6>4?:1y'75e=5<5<55;294~"48j0:i45G3568m47f2900e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::af5<72;0;6=u+31a957><@:>?7d?>9;29?j7b83:17pl<1e83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th347>52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52;294~"48j0:>55G3568m47>2900c7>50z&04f<6:11C?9:4i03:>5<0e299K7125<52z\270=:9:?1>=h4}r1ga?6=:rT8hh5230f954?7>52z\0=7=:j90:=45rs0;`>5<4sW;2o63<9d825d=:;h=1=45213;965`<5:n2676a3tyii7>52z\aa>;c>3k;7p}7}Y;j>01?o6:03:?xu6>90;6?uQ1728940d2h:0q~=78;296~X40116?9;51058yv>d290>wS6l;<3;m27o:77m;<31f?76i27:?o4>1`9~w=d=83;;49h02n6375;;a?84f>33i70j8:8`89g`=1k16h=46b:?0`3<>j27:>446b:?70??e34;8977m;<1:3??e3492o77m;<1;5??e349j?77m;<1`f??e3ty8m>4?:3y]7d5<5:k86?>i;|q21a<72;qU=8j4=2c:>47f3ty8oo4?:3y]7fd<5:ii6?>i;|q2=g<72;qU=4l4=2ae>47f3ty8444?:3y]7=?<5121=<74}r1:3?6=:rT85:52385965`52z\0=f=:;0i1>=h4}r67>5<5sW>?70:;:32e?xu4l?0;6>uQ3e4896b12;:m70j=:03b?xuc;3:1>v3k2;32f>;c<3;n<6s|3`394?4|5:k965=>=90l01lm51d28945428;j70?<7;32e>{t;jo1<74c7349o=7?>9:p`3<72:q6h;4=0g9>`2a:p74g=838p1>?n:32e?85613;:n6s|12094?4|589865=>=9h;015:51d28945428;h70?<7;32g>{tl80;6?u2d18b4>;c:3;n<6s|30`94?4|5:;i6?>i;<12=?76i2wxmk4?:2y>e`4c73tyh:7>52z?ab?4a927h;7?j0:pg=<72:q6nk4=f39>g2<69h16o44>e19~wfc=838p1n9510`89f`=9l:0q~=76;296~;40>0:i=52397954?6=4={<:6>76a342?628o;7p}k7;296~;c?38;j63l9;32f>{t9:21<77}:;h31=h>4=2ca>47>3tyjh7>52z?b`?47n27jo7?>b:p<3<72;q64;4=0g9><1<69h1v>77:18185>?3k;70=69;3f4>{tl90;69u219:95d6<5m:1>=h4=010>47e34;8;7?>b:p53e=83>p1<67:0;g?871k38;j63>30822f=:=h4=`a954g52z?1e3<58o16>l;510`8yv5>l3:1>v3<9d82a5=:;h:1=<74}r3:4?6=:r7:454=039>56d=98i0q~=>c;297~;49k0j<63<1`8b4>;49m0:i=5rs00`>5<5s4;957o?;<305?75k2wx>l950;0x97g02;:m70{t;821<74c7349o57?>c:p02<72;q6894n0:?7f?203tyhm7>53z?`f?7b827hj7?>a:?0`a<6901vnm50;0x9fb=9l:01nh510`8yv7?13:1?v3>8982b6=:9:;1=574=5`95=?56z?2<=<6n<16=>?519c891d=91k01>o=:03b?85??3;:m638c9>0g<60k16?l<510`896>028;i70=nd;32f>{t91i1<78t=0:;>4`>34;8=7?7c:?7f?7?k278m?4>1b9>7=1=98i01>oi:03a?xu60m0;6:u219:95cd<589:6<6k;<6a>4>c349257?>a:?0e7<69m16?59510f896ga28;h7p}>8d83>2}:9121=kj4=012>4>b34>i6<6j;<1:=?76j278m?4>1d9>7=1=98o01>oi:03g?xu60o0;6?u219:95c`<5:k967a7=9l:0q~0j<63=a78b4>;5i00:i=5rs2:7>5<5s493=7o?;<1;1?7b82wx4:4?:5y><37ab=9l:0q~=nc;296~;4im0:i=523`5954d53z?0ec<6m916?l7510`896ea28;i7p}7}:;h91m=523``95`654z?2<=<6i;16>l;51d28945428;o70?<7;32`>{t9:<1<74c734;8n7?>b:p57g=838p1<=>:00b?875j3;n<6s|12c94?4|589i6o?:0g3?xu4lh0;6?u23e;954g<5:ni65:?`f?76m27hh7?>e:?g0?76m278ho4>1`9'6c6=k=1e>hh50:pg6<72gg<69m16oi4>1`9>`1<69m16?il510`8 7`72j>0b?ki:09~wf4=83?p1oh520089fd=98i01nj510`89a2=98i01>jm:03f?!4a83i?7cw0li:332?8ee28;i70mk:03`?8b328;i70=kb;32g>"5n90h86`=eg80?xud83:19v3mf;024>;dj3;:m63ld;32`>;c<3;:m63{zj:=:6=48:b822~J4>k:188k6752900e5j50;9a6fe=8331>7?>{M172?7|@8k87pB<4685!5a03:0(>h9:251?!50=39mm6*{#;9i1=l64Z9;95~422tP9ih4={3596d=h:8=1<7*=09815==i:9=1=65`20494?"58109=55a21596>=h:8?1<7*=09815==i:9=1?65`20194?"58109=55a21590>=h:881<7*=09815==i:9=1965`20394?"58109=55a21592>=h:8:1<7*=09815==i:9=1;65m7;2954:9:0yK5d56133-9ni7o?;%1e4?47n2.8in46b:l0a=<63t.8b89Y<<<5s;?1>>4rZ3gf>7}5?38:6pg6b;29?j4613:1(?>7:33;?k47?3:07b<>7;29 76?2;;37c3:1(?>7:33;?k47?3807b<>5;29 76?2;;37c7:33;?k47?3>07b<>2;29 76?2;;37c7:33;?k47?3<07b<>0;29 76?2;;37c=65a89m651=821bm54?:%033g8;;7?4;hc4>5<#:921m45a21596>=ni?0;6)=i01e>=954:9je6<72-8;47o6;o033?3<3`k96=4+21:9e<=i:9=1:65fa083>!4703k27c4}O9h90q)=?c;3ae>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d63;pD5;c594?5=83:p(>>l:e;8L6233E9?:7?t$004>6`33tc3m7>5;hc3>5<5<5sW3i70958`9~w4bf2909w09521d8Z76a3ty:ho4?:3y]e5=:?3k;7psmd;297?7=;rF88;4>{I3b7>{#;9i1=om4i`294?=h:9l1<75f9c83>>d029086=4?{%13g?b>3A9?86B<4782!75?39m86sf8`83>>of83:17bj3:1>vP6b:?4>=g52z?4>76a3W8;j6s|1e`94?4|Vh:01:4n0:~f44=8391=7=tL265>4}O9h90q)=?c;3a`>of83:17b5}#;9i1h45G3568H62128q/=?953g68yl>f2900el>50;9l65`=831v4l50;0xZ03m6s|1ec94?4|5>09vPn0:?4>d653;397~J450;9l65`=831b5o4?::`4>5<4290;w)=?c;f:?M53<2F88;4>{%313?5a<2wb4l4?::kb4?6=3f8;j7>5;|q:f?6=:rT2n638:9c8yv7ci3:1>v38:32e?[47n2wx=il50;0xZd6<5>0j<6srb0694?5=939p@>:9:0yK5d55;h;a>5<0;6>4?:1y'75e=l01C?9:4L265>4}#9;=1?k:4}h:b>5<=h4}r3gf?6=:rTj<638:`28yxd6n3:1?7?53zN003<6sA;j?6s+31a95f75<7s-9;o7j6;I170>J4{n0h0;66gn0;29?j47n3:17p}6b;296~X>j27<65o4}r3ge?6=:r7<6?>i;_03b>{t9mh1<750;195?5|D:>=66gn0;29?j47n3:17d7m:188f2<72:0;6=u+31a9`<=O;=>0@>:9:0y'571=;o>0qd6n:188md6=831d>=h50;9~w{t9mk1<74}|q:f?6=1rT2n638:8`89=<>j27o64l4=009=g=:9:02n63>4;;a?87a20h01?>59c9~w77>2909wS<>9:?14?47n2.9j=4=199m6``=82wx><950;0xZ77034;m6?>i;%0e4?4602d9ik4>;|q153<72;qU><84=06965`<,;l;6??7;o0fb?452z\150=:9:095rs330>5<5sW8:?63>2;03b>"5n909=55a2dd90>{t:881<71;296~X5981647hh56:p646=838pR???;<5965`<,;l;6??7;o0fb?1<58l1m=5+2g29e<=i:ll1=6s|a683>7}Yi>16=94n0:&1b53;c3?!4a83k27cd6<,;l;6l74n3ge>1=z{h91<77>52z\b6>;?2h:0(?h?:`;8j7ca2?1vl?50;0xZd7<5>0j<6*=f18b=>h5mo0<7psm1e094?772l0::vB<4782M7f;2wG?9955z&0b3<4>l1/?hh5b29'7ce=02.8j<4=e19'7cd=?2w/?=m51`48^=?=:r8=6?:5}[0fa?4|:009>7s`2dc94?"58109i45a21594>=h:l21<7*=0981a<=i:9=1=65`2d594?"58109i45a21596>=h:l<1<7*=0981a<=i:9=1?65`2d694?"58109i45a21590>=h:l91<7*=0981a<=i:9=1965`2d094?"58109i45a21592>=h:l;1<7*=0981a<=i:9=1;65fbe83>!4703hh7c=65bb9m651=921bnl4?:%035<#:921nn5a21597>=nj>0;6)07dl9:18'65>=jj1e>=955:9jf0<72-8;47ll;o033?0<3`h?6=4+21:9ff=i:9=1;65m1e194?5=939p@>:9:0yK5d55<<6gm1;29?ld52900c?k?:188f62c29086=4?{%13g?2b3A9?86g>1`83>>o69k0;66a>e183>>{e;=o1<7=50;2x 66d2=o0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th88k4?:283>5}#;9i1=h=4H267?l76i3:17d?>b;29?j7b83:17p}m1;297~Xe92788i4>1c9>71c=98k0q~l=:180[d5349?h7?>a:?00`<69k1v?k?:181[4b82788k4>e19~w62d2909w0=;d;3f4>;45<5s49?i7?j0:?00c<69k1vq~l>:181[d6349><7l>;|qa6?6=:rTi>63<518a6>{t:l:1<75<42808wA=;6;3xL4g43t.8ce9jf4<722ci>7>5;n0f4?6=3k9><7>53;191~"48j089=5fb083>>oe:3:17b50z&04f<3m2B8895f10c94?=n98h1<75`1d294?=zj:>n6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9h50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|b083>6}Yj816?9j510`8962b28;j7p}m2;297~Xe:2788i4>1`9>71c=98h0q~v3<4e82a5=:;=l1=e19>71`=98h0qp}m1;296~Xe92789=4m1:pf7<72;qUn?523429f7=z{;o;6=4={_0f4>;4=909i=5r}c3g1?6=;3;1?vB<4782M7f;2w/?=m51bf8mg7=831bn?4?::m1a5<722h89=4?:280>0}#;9i1?8>4ic394?=nj;0;66a=e183>>d44?:1y'75e=5<5<53;294~"48j0?i6F<459j54g=831b=:i:180>5<7s-9;o7?j3:J001=n98k1<75f10`94?=h9l:1<75rsc394?5|Vk;01>:k:03a?853m3;:m6s|b383>6}Yj;16?9j510c8962b28;i7p}=e183>7}Y:l:01>:i:0g3?xu4m67}Yj816?8>5b09~wg4=838pRo<4=273>g452z\1a5=:;<:1>h>4}|`2`3<72:0:6>uC35495~N6i:1v(>>l:0ag?ld62900eo<50;9l6`6=831i?8>50;197?3|,::h6>;?;h`2>5<:;;h32e?6=3`;:n7>5;n3f4?6=3th88h4?:283>5}#;9i18h5G3568m47f2900ee29K7125<5<4sWh:70=;d;32f>;4:k:03b?853m3;:n6s|2d294?4|V;o;70=;f;3f4>{t;=i1<74c7349?j7?>a:p71b=838p1>:j:0g3?853n3;:n6srsc394?4|Vk;01>;?:c38yvd52909wSl=;<164?d53ty9i=4?:3y]6`6<5:?;6?k?;|a5a1=8391=7=tL265>4}O9h90q)=?c;3``>oe93:17dl=:188k7c72900n>;?:180>6<2s-9;o7=:0:ka5?6=3`h96=44o3g3>5<o6=4<:183!57k3>n7E=;4:k25d<722c:=o4?::m2a5<722wi?9k50;194?6|,::h69k4H267?l76i3:17d?>b;29?j7b83:17pl<4g83>6<729q/?=m51d18L6233`;:m7>5;h32f?6=3f;n<7>5;|qa5?6=;rTi=63<4e825g=:;=o1=5<4sWh970=;d;32e>;45<5sW8n<63<4g82a5=z{:>h6=4={<17`?7b82788k4>1`9~w62c2909w0=;e;3f4>;45<5sWh:70=:0;`2?xue:3:1>vPm2:?015h>50;0xZ7c7349><77>5;n0f4?6=3k9?h7>53;294~"48j0?i6F<459j54g=831b=:j:180>5<7s-9;o7:j;I170>o69h0;66g>1c83>>i6m90;66sm35d94?5=83:p(>>l:0g0?M53<2c:=l4?::k25g<722e:i=4?::pf4<72:qUn<5235f954d<5:>n663<4e825d=:;=o1=52z?00a<6m916?9h510c8yv53l3:1>v3<4d82a5=:;=l1={tj;0;6?uQb39>706=j;1v?k?:181[4b82789=4=e19~yg7c13:1?7?53zN003<6sA;j?6s+31a95fb>i5m90;66l<5183>6<425}#;9i18h5G3568m47f2900e5<4290;w)=?c;3f7>N4<=1b=50;9~wg7=839pRo?4=26g>47e349?i7?>a:pf7<72:qUn?5235f954g<5:>n6h>4=26e>4c73ty88n4?:3y>71b=9l:01>:i:03b?xu4m652d28yxd4=l0;6>4?:1y'75e=5<5<53;294~"48j0?h6F<459j54g=831b=8=:180>5<7s-9;o7:k;I170>o69h0;66g>1c83>>i6m90;66sm37694?5=83:p(>>l:5f8L6233`;:m7>5;h32f?6=3f;n<7>5;|`023<72:0;6=u+31a90a=O;=>0e50z&04f<3l2B8895f10c94?=n98h1<75`1d294?=zj;oi6=4=:183!57k3;946F<459j54?=831d=h>50;9~w63c2908w0?k4;`2?852m3;n<63<61825g=z{:?m6=4<{<3g1?d6349=<7?j0:?027<69k1v>8>:18087c>3h:70=92;3f4>;4>=0:=o5rs240>5<4s4;o;7l>;<150?7b8278:;4>1c9~w6022908w0?k8;`2?851>3;n<63<69825g=z{:<<6=4={<3g=?d6349=47?j0:p6`g=838pR?kn;<3g=?4b82.9j=4=e89m6``=82wx>h650;0xZ7c?34;o47hh51:p6`1=838pR?k8;<3g3?4b82.9j=4=e89m6``=:2wx>h850;0xZ7c134;o:7hh53:p6`2=838pR?k;;<3g1?4b82.9j=4=e89m6``=<2wx>h=50;0xZ7c434;o87hh55:p6`4=838pR?k=;<3g7?4b82.9j=4=e89m6``=>2wx>h?50;0xZ7c6348nn7?j0:&1b5<5m01e>hh57:pfa<72;qUni521e;9f7=#:o:1nn5a2dd94>{tjk0;6>uQbc9>5a>=j;16?;6510c8 7`72ki0b?ki:09~wgg=839pRoo4=0f4>g4<5:<=65<4sWh270?k6;`1?851<3;:m6*=f18ag>h5mo087p}m7;297~Xe?27:h84m2:?027<69h1/>k>5bb9m6``=<2wxn;4?:2y]f3=:9m>1n?52372954g<,;l;6om4n3ge>0=z{k?1<7=t^c7894b42k801>;j:03b?!4a83hh7ci7?>b:?1ag<6901/>k>5bb9m6``=?2wv4l50;0xZ02n6s|20;94?5|V;;2709520;894b52kn0(?h?:33;?k4bn3:0q~<>7;297~X59>16;7<>7:?2`7<850;1xZ77134=1><84=0f1>gg<,;l;6??7;o0fb?453z\150=:?38:963>d38a=>"5n909=55a2dd97>{t:891<7=t^330?81=:89013?46:27:h?4m6:&1b5<5911e>hh55:p647=839pR??>;<59647<58n96o;4$3d3>77?3g8nj784}r024?6=;rT9==527;024>;6l;0i86*=f1815==i:ll1;6s|34a94?4|5>0jm63>d381ad=#:o:1?8l4n3ge>5=z{:?j6=4={<59e==:9m81>h64$3d3>63e3g8nj7?4}r16=?6=:r7<6l94=0f1>7c03-8m<7=:b:l1ac<53ty8954?:3y>3?g134;o>7hh53:p700=838p1:4n4:?2`7<5m=1/>k>534`8j7ca2=1v>;::18181=i:16=i<52d18 7`72:?i7cv38:`0894b52;o97)h5mo0=7p}<5283>7}:?3k:70?k2;0f5>"5n9089o5a2dd93>{zj;n=6=4::386I53>3;pD4$2d5>6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568H62128q/=?953g68yl>b2900e4=50;9j5;n03b?6=3th8884?:083>5}#;9i1=<64H267?j76?3:17p}6b;296~X>j27<65o4}r;`>5<5sW3h70958d9~w4bf2909w0=;5;323>;02090q~?kb;296~;02;:m7S4=68b4>{zj:02n6s|9b83>7}Y1j16?;l510`8yv47n3:1>vP=0g9>3?47n2wx>k?50;1xZ7`634=1m=5237`954g52z?4>7;tL265>4}O9h90qA=;7;cx 6`?291/?k853668 6cb2h:0(>h?:32e?!5bk33i7c=j8;38 6cc20i0b>k6:19'7c4=:o;0b>kn:19~ 66d28i?7d7m:188m5<22;0>wA=;6;3xL4g43t.8a89je5<722e950z&04f>o>;3:17d6n:188md6=831d>=h50;9~f622290:6=4?{%13g?7602B8895`10594?=z{0h1<7d`83>7}:;=?1=<94=68:7>{t9mh1<74}|`02g<72:0;6=u+31a95`3<@:>?7d?>a;29?l76j3:17b?j0;29?xu>j3:1>vP6b:?4>3?g7349=n7?>a:p73g=838p1:46c:?02g<6m91vqo=>3;291?4==rF88;4>{I3b7>{K;==1mv*39<86*"4n909h4m00;7)=i2;0e5>h4mh0;7p*<0b82g1=n1k0;66g6c;29?lg72900c?>i:188m7`62900n:4?:481>0}K;=<1=vF>a29~ 66d28k27do?:188k76a2900e4l50;9j=f<722c2?7>5;c594?3=83:p(>>l:ec8L6233`2n6=44i8194?=n0h0;66gn0;29?j47n3:17pl<4483>4<729q/?=m510:8L6233f;:;7>5;|q:f?6=:rT2n638:9c8yv?d2909wS7l;<59<`=z{8nj6=4={<171?76?27<64=4}r3gf?6=:r7<6?>i;_03b>{t9mi1<78m:180>5<7s-9;o7?j5:J001=n98k1<75f10`94?=h9l:1<75rs8`94?4|V0h01:46b:p=f<72;qU5n5237`954d52z\14c=:?38;j6s|2g394?5|V;l:7095a19>73d=98k0q~=9a;296~;020i01>8m:0g3?x{e;881<7;52;7xH62128qC=l=4}M173?g|,:l36=5+3g49722<,:on6l>4$2d3>76a3-9no77m;o1f4=#;o81>k?4n2gb>5=z,::h65<>i58o0;66g=f083>>d0290>6?4:{M172?7|@8k87p*<0b82e<=ni90;66a=0g83>>o>j3:17d7l:188m<5=831i;7>55;294~"48j0om6F<459j<`<722c2?7>5;h:b>5<>6=4>:183!57k3;:46F<459l541=831v4l50;0xZ03m6s|9b83>7}Y1j16;76j;|q2`d<72;q6?9;5105892<>;2wx=il50;0x92<58o1U>=h4}r3gg?6=:rTj<638:`28yxd4>k0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3ty2n7>52z\:f>;020h0q~7l:181[?d349=n7?>b:p65`=838pR?>i;<5965`53z\1b4=:?3k;70=9b;32e>{t;?k1<7;4>k0:i=5r}c0g`?6=:3:10e5<4290;w)=?c;6g?M53<2c:=l4?::k25g<722e:i=4?::a6a>=8331<7>t$22`>4ba3A9?86g>1`83>>o69k0;66g>1b83>>o69m0;66g>1d83>>o69o0;66g>2183>>o6:80;66a>e183>>{e;9l1<7650;2x 66d28nn7E=;4:k25d<722c:=o4?::k25f<722c:=i4?::k25`<722c:=k4?::k265<722e:i=4?::a6ag=8381<7>t$22`>44?3A9?86g>1883>>i6m90;66sm30394?4=83:p(>>l:00;?M53<2c:=44?::m2a5<722wx>ik50;0xZ7bb348oj7?j0:p6fd=838pR?mm;<0g2??d3ty9hn4?:3y]6ae<5;no6rTo463=cb8:f>;5l?02n63<0e8:f>;49:02n63<138:f>{t;9n1<72;03b>{t0m0;69uQ8e9>75b=:o;01>?<:3d2?856:38m=6s|30194?4|5:;86?>i;<121?76j2wx>i850;1x97b12;:m70;5lo0:=l5rs3f:>5<4s48o:7k279hl4>e19~w6772909w0=?d;;`?85693;n<6s|2e594?5|5:;>6189~w6732909w0=>2;;`?856=3;n<6s|31g94?4|5::m6i;50;1x97ed2;;270;5l10:><5+2g296a25<3s48ho7<>7:?1`c<69j16>i651328966a288;7)h5mo0:7p}=d383>1}::ji1><84=3fe>47c348o47?>f:?04c<69o1/>k>52e68j7ca2;1v?j>:18084dk38:963=d9825`=:;9l1=7b33g8nj7=4}r0g4?6=;r79on4=129>6a>=98n01>>i:03g?!4a838o86`=eg87?xu5ko0;6>u22ba9644<5;n36nk50;1x97ed2;;:70;48o0:=o5+2g296a25<4s48ho7<>0:?1`=<69h16?=h510c8 7`72;n?7c4;3`I53>3;pD2:m0``<722e85?4?::k0<4<722e5;hf;>5<l1<75f8083>>o5jh0;66g=bb83>>o5jl0;66a<8883>>o6:;0;66l:e;297?4={I3b7>{K;==1=v*>i58o0;66g6b;29?g1=8391<7>t$22`>a?<@:>?7A=;6;3x 4402:l?7pg7a;29?lg72900c?>i:188yg?a29096=4?{%13g?7502B8895f10;94?=h9l:1<75rs8`94?4|V0h014h510;8yv7ci3:1>v38:9c89<`=9l:0q~?kb;296~;02;:m7S4=68b4>{zj;hi6=4::386I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wx5o4?:3y]=g=:?33i7p}6c;296~X>k278:o4>1c9~w76a2909wS76a3ty9j<4?:2y]6c7<5>0j<63<6c825d=z{:4}|`1fa<72<0968uC35495~N6i:1v@>:8:`y'7c>=:2.8j;4<759'7`c=i91/?k>521d8 6cd20h0b>k7:09'7`b=1j1e?h751:&0b7<5n81e?ho50:'75e=9k80e4l50;9j=f<722cj<7>5;n03b?6=3`8m=7>5;c594?3=:3?p@>:9:0yK5d55;h;a>5<>d0290>6=4?{%13g?bf3A9?86g7e;29?l?42900e5o50;9je5<722e9t$22`>47?3A9?86a>1683>>{t1k0;6?uQ9c9>3?>f3ty2o7>52z\:g>;021o0q~?ka;296~;4<<0:=:527;;0?xu6lk0;6?u27;03b>X58o1v0e7}Y:9l01:4=0g9~w7`62908wSd6<5:7<2sE9?:7?tH0c0?xJ4<>0jw)=i8;08 6`12:=?7)=je;c3?!5a838;j6*h4m10:7)=jd;;`?k5b13;0(>h=:3d2?k5bi3:0q)=?c;3a6>o>j3:17d7l:188md6=831d>=h50;9j6c7=831i;7>55;091~J450;9l65`=831b5o4?::k:g?6=3`386=44b683>0<729q/?=m5d`9K712>o?i3:17do?:188k76a2900qo=;5;295?6=8r.8199K7125<5sW3i70958`9~w{t9mk1<747034=15>5rs0fa>5<5s4=1>=h4^32e?xu6lj0;6?uQa19>3?g73twi?;l50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6c825g=z{;:m6=4={_03b>;02;:m7p}=f083>6}Y:o;01:4n0:?02g<69h1v>8n:18181=1j16?;l51d28yxd?83:197<55zN003<6sA;j?6sC3559e~"4n1097)=i6;140>"4ml0j<6*"4mm02o6`>o>k3:17do?:188k76a2900e?h>:188f2<72<0968uC35495~N6i:1v(>>l:0c:?lg72900c?>i:188m:;;h:f>5<>of83:17b:;;n323?6=3ty2n7>52z\:f>;021k0q~7l:181[?d34=14h5rs0fb>5<5s49?97?>7:?4><552z?4>76a3W8;j6s|1ea94?4|Vh:01:4n0:~f60e29086=4?{%13g?7b<2B8895f10c94?=n98h1<75`1d294?=z{0h1<7j2wx5n4?:3y]=f=:;?h1={t:o;1<7=t^3d2?81=i916?;l510c8yv51i3:1>v38:8a8960e28o;7psm8383>0<52<6lu+3g:96>"4n?08;95+3dg9e5=#;o:1>=h4$2g`>>of83:17b=64?::`4>5<2290;w)=?c;fb?M53<2c3i7>5;h;0>5<>i58o0;66sm35794?7=83:p(>>l:03;?M53<2e:=:4?::p=g<72;qU5o527;:b?xu>k3:1>vP6c:?4>=c52z?000<69>16;77<;|q2`g<72;q6;7e59K7125<5<5sW3i70959c9~w47e3ty9095<4sW8m=638:`28960e28;j7p}<6`83>7}:?33h70=9b;3f4>{zj:396=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l86>>m;o1ff?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;?h1<7=50;2x 66d28o?7E=;4:k25d<722c:=o4?::m2a5<722wi?;j50;194?6|,::h6o69h0;66g>1c83>>i6m90;66s|9c83>7}Y1k16;77m;|q:g?6=:rT2o63<6e825g=z{h:1<77}Y:9l01:4=0g9~w66e2908wS=?b:?02g<69h16?;j510c8yv51k3:1>v38:8a8960c28o;7p}<6`83>7}:?3k;70=9b;3f4>{zj:;36=4::284I53>3;pD6133-9ni7o?;%1e4?47n2.8in46b:l0a=<63-9nh77l;o1f=?7<,:l96?h>;o1fe?65}#;9i1hl5G3568m=c=831b5>4?::k;e?6=3`k;6=44o32e>5<51;294~"48j0:=55G3568k4702900q~7m:181[?e34=14l5rs8a94?4|V0i01:47e:p5ag=838p1>:::034?81=1:1vi;|q2`f<72;qUm=527;c3?x{e;:;;h32e?6=3`;:n7>5;n3f4?6=3th8:o4?:283>5}#;9i1=h:4H267?l76i3:17d?>b;29?j7b83:17p}6b;296~X>j27<64l4}r;`>5<5sW3h70=9b;32f>{ti90;6?uQa19>70c=98h0q~;<16a?76i278:o4>1`9~w63c2909w095a19>70c=9l:0q~=9a;296~;020i01>8m:0g3?x{e?j0;6>4?:1y'75e=9l>0D>:;;h32e?6=3`;:n7>5;n3f4?6=3th9o94?:583>5}#;9i19=5G3568m47f2900e3290>6=4?{%13g?7b12B8895f10c94?=n98h1<75f10a94?=n98n1<75`1d294?=zj:2n6=4=:183!57k3;946F<459j54?=831d=h>50;9~f6c429096=4?{%13g?7502B8895f10;94?=h9l:1<75rb2;5>5<4290;w)=?c;6f?M53<2c:=l4?::k25g<722e:i=4?::a6f0=8391<7>t$22`>4c43A9?86g>1`83>>o69k0;66a>e183>>{e:j21<7=50;2x 66d28o87E=;4:k25d<722c:=o4?::m2a5<722wi>no50;194?6|,::h6o69h0;66g>1c83>>i6m90;66sm39f94?5=83:p(>>l:5g8L6233`;:m7>5;h32f?6=3f;n<7>5;|`0a7<72:0;6=u+31a90`=O;=>0e5<5sW9oi63;41;095<2sW93=638c;32e>;40m0:=l523d0954g<5?:1=<74}r5a>5<>sW=i70;5jm09j<522cd96c7<51:1>k?4=9096c7<5:396>>m;<12;5jk02n63=be8:f>;5jo02n6370;;a?8>520h01>7=:8`8967?20h0q~9i:180[1a342;64m4=96954g520i015:510a8yv4ei3:1?vP=b`9>6gd=1j16>n8510c8yv4ek3:1?vP=bb9>6gb=1j16>n6510c8yv4em3:1?vP=bd9>6g`=1j16>no510c8yv5?13:1>vP<889>7=c=9l:0q~?=2;297~X6:;16?4<5a19>74>=i91v:k50;1x9=2=9l:01>79:03b?85?l3;:n6s|39a94?4|5:2n61`<58o16;n4>1c9~w7e22909w0;5k?0:i=5rs3a4>5<5s48h87?>b:?1g=<6m91v?m6:18184d<3;:o63=c`82a5=z{1:1<71=5<5s4296?>i;<:7>47c3ty8=54?:3y>74>=:9l01>k=:03a?xu5jk0;6?u22c`965`<5;i=6oj521d897e?28;i7p}=bg83>7}::kl1>=h4=3ab>47e3ty8i<4?:3y>7`5=98301>k=:0g3?xu5j00;6?u22b695`6<5:3=6i7o?;<43>4c73ty8584?:2y>7<4=1j16?<659b9>7<0=9l:0qpl<7b83>7<729q/?=m513:8L6233`;:57>5;n3f4?6=3th84=4?:383>5}#;9i1=?64H267?l7613:17b?j0;29?xd4=l0;6>4?:1y'75e=5<5<53;294~"48j0:i>5G3568m47f2900e5<5290;w)=?c;31<>N4<=1b=<750;9l5`6=831v4<50;0xZ<4<5:=265m4}r1:7?6=:rT85>5236497<47>54z\0<7=:;o?1?5?4=25b>6>6349<:7=71:p7a`=838pR>ji;<142?5cm2wx?nm50;1xZ6ed349m97=lb:?03d<4kk1v>m8:181[5d?278j846m:181[5?j278;44<889~w6dd2909wS=mc:?0b0<4j91v>6i:181[5?n278j84<8g9~w6e32908wS=l4:?036<4k=16?:753b68yv5><3:1>vP<959>72?=;080q~=73;296~X40:16?:753938yv5?03:1>vP<899>72?=;120q~=md;296~X4jm16?k;53e08yv5b83:1>vP72?=;mo0q~=ld;296~X4km16?:753b`8yv5e<3:1>vP7c3=;k>0q~=8b;296~X4?k16?:m51d28yv50n3:1>vP<7g9>7=6=9l:0q~=n4;297~X4i=16?k;53`18961f2:k87p}60;296~X>8278;447b:p=4<72?qU5<523619;1h5523649`==z{:i>6=4={_1`1>;4n<08o85rse794?4|Vm?01>96:cg8yv5>?3:1>vP<969>72?=;0=0q~=n5;296~X4i<16?:753`18yv5c?3:1>vP72?=;m<0q~=6a;296~X41h16?:o538c8yv5>k3:1>vP<9b9>72?=;0i0q~=j5;290~X4m<16?:=53d6896`22:o?70=8a;1f0>{t;1k1<739356s|2eg94?4|5:l>6?jj;<145?4cm2wx>nl50;0x96`22;ii70=81;0`f>{t9:?1<7452349<57?<5:p50c=838p1>9<:07f?850i3;>i6s|13;94?4|5:=j6<<6;<14=?7512wx>hm50;0x96142;oh70=:e;32e>{t:o91<77`4349n;7?>b:p00<72;q6?:75459>72c=98h0q~:9:181850i3>?70=8e;32e>{t:mi1<77bd349<=77c3=?k16?:o57c9>727=0m16?:857c9~w6102909w0=86;0aa>;4?10:i=5rs043>5<5s4991v>>k:181850939;h631c9~w6c12909w0=j7;3f4>;4?10:=45rs3a2>5<5s49m979b9~w7e72909w0=8a;0a=>;4??09nn5rs25g>5<5s499:?03`<6m91v<;<:181850i3;>?63<78821a=z{;8j6=4={<147?45i278j84=2`9~w63c2909w0=86;5e?852m3;n<6s|2`c94?4|5:=86?on;<1e1?4fi2wx=?<50;0x9614288970=86;316>{t0:0;6?u236c93`=:;><14<5rs0;2>5<5s496?:03:?xu59o0;6?uQ20d896`22;;m7)h5mo0;7p}=1e83>7}Y:8n01>h::33g?!4a838:i6`=eg82?xu5:10;6?uQ23:896`22;837)h5mo097p}=2683>7}Y:;=01>h::304?!4a838:i6`=eg80?xu5:?0;6?uQ234896`22;8=7)h5mo0?7p}=2483>7}Y:;?01>h::306?!4a838:i6`=eg86?xu5:=0;6?uQ236896`22;8?7)h5mo0=7p}=2283>7}Y:;901>h::300?!4a838:i6`=eg84?xu5:;0;6?uQ230896`22;897)h5mo037p}=2083>7}Y:;;01>h::302?!4a838:i6`=eg8:?xu5:90;6?uQ232896`22;8;7)h5mo0j7p}=1b83>7}Y:8i01>h::33`?!4a838:i6`=eg8a?xu083:1>vP80:?036<4j2.9j=49f:l1ac<73ty=i7>52z\5a>;4?:0856*=f185b>h5mo0:7p}9d;297~X1l278;>4<8:?0b0<402.9j=49f:l1ac<53ty=o7>53z\5g>;4?:08:63"5n90=j6`=eg80?xu1i3:1?vP9a:?036<4<278j84<4:&1b5<1n2d9ik4;;|q5=?6=;rT=563<72807>;4n<08?6*=f185b>h5mo0>7p}98;297~X10278;>4<2:?0b0<4:2.9j=49f:l1ac<13ty=;7>53z\53>;4?:08=63"5n90=j6`=eg84?xu1>3:1?vP96:?036<48278j84<0:&1b5<1n2d9ik47;|q51?6=;rT=963<7281b>;4n<09j6*=f185b>h5mo027p}94;297~X1<278;>4=e:?0b0<5m2.9j=49f:l1ac53z\57>;4?:09h63"5n90=j6`=eg8a?xu1:3:1?vP92:?036<5k278j84=c:&1b5<1n2d9ik4l;|q55?6=;rT==63<7281f>;4n<09n6*=f185b>h5mo0o7p}88;297~X00278;>4;3:?0b0<3;2.9j=49f:l1ac53z\43>;4?:0?>63"5n90=j6`=eg8e?xu0>3:1>vP86:?0b0<392.9j=49f:l1ac<682wx;84?:3y]30=:;o?18=5+2g292c=i:ll1=<5rs6694?4|V>>01>h::2d8 7`72?l0b?ki:008yv142909wS9<;<1e1?5b3-8m<78i;o0fb?743ty<>7>52z\46>;4n<08h6*=f185b>h5mo0:86s|7083>7}Y?816?k;53b9'6c6=>o1e>hh5149~w3d=838pR;l4=2d6>63<,;l;6;h4n3ge>40|I|I/O|INPUT||||||||| -P10|nAS_IOB|O|I/O|OUTPUT||||||||| -P11|nDTACK_FSB|O|I/O|OUTPUT||||||||| -P12|A_FSB<9>|I|I/O|INPUT||||||||| -P13|nAS_FSB|I|I/O|INPUT||||||||| -P14|nBERR_FSB|O|I/O|OUTPUT||||||||| -P15|A_FSB<5>|I|I/O|INPUT||||||||| -P16|A_FSB<2>|I|I/O|INPUT||||||||| -P17|nOE|O|I/O|OUTPUT||||||||| -P18|nBERR_IOB|I|I/O|INPUT||||||||| -P19|A_FSB<6>|I|I/O|INPUT||||||||| -P20|A_FSB<7>|I|I/O|INPUT||||||||| -P21|GND||GND|||||||||| -P22|CLK2X_IOB|GCK|I/O/GCK1|||||||||| -P23|CLK_FSB|GCK|I/O/GCK2|||||||||| -P24|nRAS|O|I/O|OUTPUT||||||||| -P25|nLDS_FSB|I|I/O|INPUT||||||||| -P26|VCC||VCCIO|||||||||| -P27|CLK_IOB|GCK/I|I/O/GCK3|||||||||| -P28|TIE||I/O|||||||||| -P29|nADoutLE1|O|I/O|OUTPUT||||||||| -P30|TIE||I/O|||||||||| -P31|GND||GND|||||||||| -P32|TIE||I/O|||||||||| -P33|nVMA_IOB|O|I/O|OUTPUT||||||||| -P34|TIE||I/O|||||||||| -P35|RA<1>|O|I/O|OUTPUT||||||||| -P36|TIE||I/O|||||||||| -P37|TIE||I/O|||||||||| -P38|VCC||VCCIO|||||||||| -P39|RA<3>|O|I/O|OUTPUT||||||||| -P40|TIE||I/O|||||||||| -P41|TIE||I/O|||||||||| -P42|nROMCS|O|I/O|OUTPUT||||||||| -P43|TIE||I/O|||||||||| -P44|GND||GND|||||||||| -P45|TDI||TDI|||||||||| -P46|TIE||I/O|||||||||| -P47|TMS||TMS|||||||||| -P48|TCK||TCK|||||||||| -P49|E_IOB|I|I/O|INPUT||||||||| -P50|RA<5>|O|I/O|OUTPUT||||||||| -P51|VCC||VCCIO|||||||||| -P52|nUDS_FSB|I|I/O|INPUT||||||||| -P53|RA<6>|O|I/O|OUTPUT||||||||| -P54|nDTACK_IOB|I|I/O|INPUT||||||||| -P55|RA<7>|O|I/O|OUTPUT||||||||| -P56|A_FSB<4>|I|I/O|INPUT||||||||| -P57|VCC||VCCINT|||||||||| -P58|RA<8>|O|I/O|OUTPUT||||||||| -P59|nVPA_IOB|I|I/O|INPUT||||||||| -P60|RA<9>|O|I/O|OUTPUT||||||||| -P61|nWE_FSB|I|I/O|INPUT||||||||| -P62|GND||GND|||||||||| -P63|RA<11>|O|I/O|OUTPUT||||||||| -P64|A_FSB<8>|I|I/O|INPUT||||||||| -P65|RA<10>|O|I/O|OUTPUT||||||||| -P66|A_FSB<23>|I|I/O|INPUT||||||||| -P67|nADoutLE0|O|I/O|OUTPUT||||||||| -P68|A_FSB<21>|I|I/O|INPUT||||||||| -P69|GND||GND|||||||||| -P70|nAoutOE|O|I/O|OUTPUT||||||||| -P71|A_FSB<20>|I|I/O|INPUT||||||||| -P72|nDinLE|O|I/O|OUTPUT||||||||| -P73|A_FSB<19>|I|I/O|INPUT||||||||| -P74|nCAS|O|I/O|OUTPUT||||||||| -P75|GND||GND|||||||||| -P76|A_FSB<18>|I|I/O|INPUT||||||||| -P77|nRAMLWE|O|I/O|OUTPUT||||||||| -P78|A_FSB<3>|I|I/O|INPUT||||||||| -P79|nRAMUWE|O|I/O|OUTPUT||||||||| -P80|A_FSB<15>|I|I/O|INPUT||||||||| -P81|nROMWE|O|I/O|OUTPUT||||||||| -P82|A_FSB<13>|I|I/O|INPUT||||||||| -P83|TDO||TDO|||||||||| -P84|GND||GND|||||||||| -P85|nVPA_FSB|O|I/O|OUTPUT||||||||| -P86|A_FSB<11>|I|I/O|INPUT||||||||| -P87|RA<0>|O|I/O|OUTPUT||||||||| -P88|VCC||VCCIO|||||||||| -P89|A_FSB<10>|I|I/O|INPUT||||||||| -P90|A_FSB<1>|I|I/O|INPUT||||||||| -P91|RA<2>|O|I/O|OUTPUT||||||||| -P92|A_FSB<12>|I|I/O|INPUT||||||||| -P93|A_FSB<14>|I|I/O|INPUT||||||||| -P94|RA<4>|O|I/O|OUTPUT||||||||| -P95|A_FSB<16>|I|I/O|INPUT||||||||| -P96|A_FSB<17>|I|I/O|INPUT||||||||| -P97|nDinOE|O|I/O|OUTPUT||||||||| -P98|VCC||VCCINT|||||||||| -P99|nRES|GSR/I|I/O/GSR|||||||||| -P100|GND||GND|||||||||| - -To preserve the pinout above for future design iterations in -Project Navigator simply execute the (Lock Pins) process -located under the (Implement Design) process in a toolbox named -(Optional Implementation Tools) or invoke PIN2UCF from the -command line. The location constraints will be written into your -specified UCF file - - diff --git a/cpld/XC95144XL/MXSE.pnx b/cpld/XC95144XL/MXSE.pnx deleted file mode 100644 index 500291d..0000000 --- a/cpld/XC95144XL/MXSE.pnx +++ /dev/null @@ -1,18 +0,0 @@ - - - - - - -]> - diff --git a/cpld/XC95144XL/MXSE.rpt b/cpld/XC95144XL/MXSE.rpt deleted file mode 100644 index add4798..0000000 --- a/cpld/XC95144XL/MXSE.rpt +++ /dev/null @@ -1,1797 +0,0 @@ - -cpldfit: version P.20131013 Xilinx Inc. - Fitter Report -Design Name: MXSE Date: 12-11-2021, 6:24AM -Device Used: XC95144XL-10-TQ100 -Fitting Status: Successful - -************************* Mapped Resource Summary ************************** - -Macrocells Product Terms Function Block Registers Pins -Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -110/144 ( 76%) 439 /720 ( 61%) 244/432 ( 56%) 84 /144 ( 58%) 67 /81 ( 83%) - -** Function Block Resources ** - -Function Mcells FB Inps Pterms IO -Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 9/18 36/54 80/90 11/11* -FB2 18/18* 35/54 34/90 6/10 -FB3 14/18 35/54 77/90 6/10 -FB4 10/18 36/54 82/90 10/10* -FB5 17/18 35/54 47/90 4/10 -FB6 18/18* 24/54 37/90 10/10* -FB7 17/18 35/54 76/90 10/10* -FB8 7/18 8/54 6/90 10/10* - ----- ----- ----- ----- - 110/144 244/432 439/720 67/81 - -* - Resource is exhausted - -** Global Control Resources ** - -Signal 'CLK2X_IOB' mapped onto global clock net GCK1. -Signal 'CLK_FSB' mapped onto global clock net GCK2. -Signal 'CLK_IOB' mapped onto global clock net GCK3. -Global output enable net(s) unused. -Signal 'nRES' mapped onto global set/reset net GSR. - -** Pin Resources ** - -Signal Type Required Mapped | Pin Type Used Total -------------------------------------|------------------------------------ -Input : 31 31 | I/O : 63 73 -Output : 32 32 | GCK/IO : 3 3 -Bidirectional : 0 0 | GTS/IO : 0 4 -GCK : 3 3 | GSR/IO : 1 1 -GTS : 0 0 | -GSR : 1 1 | - ---- ---- - Total 67 67 - -** Power Data ** - -There are 110 macrocells in high performance mode (MCHP). -There are 0 macrocells in low power mode (MCLP). -End of Mapped Resource Summary - ************************** Errors and Warnings *************************** - -WARNING:Cpld - Unable to retrieve the path to the iSE Project Repository. Will - use the default filename of 'MXSE.ise'. - ************************* Summary of Mapped Logic ************************ - -** 32 Outputs ** - -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 23 32 FB1_2 11 I/O O STD FAST RESET -nBERR_FSB 3 9 FB1_6 14 I/O O STD FAST -nOE 1 2 FB1_11 17 I/O O STD FAST -nLDS_IOB 3 7 FB2_11 6 I/O O STD FAST RESET -nUDS_IOB 3 7 FB2_12 7 I/O O STD FAST RESET -nDoutOE 2 7 FB2_14 8 I/O O STD FAST RESET -nAS_IOB 1 5 FB2_17 10 I/O O STD FAST RESET -nRAS 3 8 FB3_5 24 I/O O STD FAST -nADoutLE1 2 3 FB3_11 29 I/O O STD FAST SET -nVMA_IOB 2 9 FB3_15 33 I/O O STD FAST RESET -RA<0> 2 3 FB4_2 87 I/O O STD FAST -RA<2> 2 3 FB4_8 91 I/O O STD FAST -RA<4> 2 3 FB4_12 94 I/O O STD FAST -nDinOE 2 6 FB4_17 97 I/O O STD FAST -RA<1> 2 3 FB5_2 35 I/O O STD FAST -RA<3> 2 3 FB5_8 39 I/O O STD FAST -nROMCS 2 5 FB5_12 42 I/O O STD FAST -nCAS 1 1 FB6_2 74 I/O O STD FAST RESET -nRAMLWE 1 5 FB6_6 77 I/O O STD FAST -nRAMUWE 1 5 FB6_9 79 I/O O STD FAST -nROMWE 1 2 FB6_12 81 I/O O STD FAST -nVPA_FSB 1 2 FB6_15 85 I/O O STD FAST -RA<5> 2 3 FB7_2 50 I/O O STD FAST -RA<6> 2 3 FB7_6 53 I/O O STD FAST -RA<7> 2 3 FB7_9 55 I/O O STD FAST -RA<8> 2 3 FB7_12 58 I/O O STD FAST -RA<9> 2 3 FB7_15 60 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -RA<10> 1 1 FB8_6 65 I/O O STD FAST -nADoutLE0 1 2 FB8_9 67 I/O O STD FAST -nAoutOE 0 0 FB8_12 70 I/O O STD FAST -nDinLE 1 2 FB8_15 72 I/O O STD FAST RESET - -** 78 Buried Nodes ** - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -$OpTx$FX_DC$606 5 12 FB1_4 STD -fsb/Ready1r 7 17 FB1_7 STD RESET -fsb/VPA__or00001/fsb/VPA__or00001_D2 8 20 FB1_10 STD -fsb/Ready2r 9 22 FB1_12 STD RESET -fsb/VPA 22 30 FB1_15 STD RESET -$OpTx$FX_DC$602 2 5 FB1_17 STD -iobm/VPArr 1 1 FB2_1 STD RESET -iobm/VPArf 1 1 FB2_2 STD RESET -iobm/RESrr 1 1 FB2_3 STD RESET -iobm/RESrf 1 1 FB2_4 STD RESET -iobm/IOREQr 1 1 FB2_5 STD RESET -cnt/RefCnt<3> 1 3 FB2_6 STD RESET -cnt/RefCnt<2> 1 2 FB2_7 STD RESET -cnt/RefCnt<1> 1 1 FB2_8 STD RESET -fsb/BERR1r 2 4 FB2_9 STD RESET -cnt/RefDone 2 10 FB2_10 STD RESET -cnt/TimeoutBPre 3 11 FB2_13 STD RESET -TimeoutB 3 12 FB2_15 STD RESET -TimeoutA 3 10 FB2_16 STD RESET -iobs/IOReady 4 8 FB2_18 STD RESET -IORW0 18 20 FB3_3 STD RESET -iobs/IOACTr 1 1 FB3_4 STD RESET -iobs/Clear1 1 3 FB3_6 STD RESET -fsb/ASrf 1 1 FB3_7 STD RESET -ALE0S 1 2 FB3_8 STD RESET -iobs/PS_FSM_FFd2 14 19 FB3_9 STD RESET -iobs/PS_FSM_FFd1 2 3 FB3_12 STD RESET -IOREQ 14 19 FB3_13 STD RESET -iobm/ETACK 1 6 FB3_14 STD RESET -iobs/IORW1 16 19 FB3_17 STD RESET -ram/BACTr 1 2 FB3_18 STD RESET -ram/RASEL 20 15 FB4_1 STD RESET -cs/nOverlay1 2 3 FB4_3 STD RESET -iobs/Load1 14 18 FB4_6 STD RESET -iobs/Once 17 18 FB4_10 STD RESET -ram/RAMDIS1 18 15 FB4_14 STD RESET -fsb/BERR0r 3 8 FB4_16 STD RESET -IOACT 10 15 FB5_1 STD RESET -iobm/IOS_FSM_FFd7 1 3 FB5_3 STD RESET -iobm/IOS_FSM_FFd6 1 1 FB5_4 STD RESET - -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -iobm/IOS_FSM_FFd5 1 1 FB5_5 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB5_6 STD RESET -iobm/BERRrr 1 1 FB5_7 STD RESET -iobm/BERRrf 1 1 FB5_9 STD RESET -cnt/RefCnt<0> 0 0 FB5_10 STD RESET -iobm/IOS_FSM_FFd8 2 4 FB5_11 STD SET -ALE0M 2 7 FB5_13 STD RESET -iobm/IOS_FSM_FFd2 4 9 FB5_14 STD RESET -BERR_IOBS 4 8 FB5_15 STD RESET -iobm/IOS_FSM_FFd3 5 10 FB5_16 STD RESET -IOBERR 8 11 FB5_18 STD RESET -iobm/Er2 1 1 FB6_1 STD RESET -iobm/DTACKrr 1 1 FB6_3 STD RESET -iobm/DTACKrf 1 1 FB6_4 STD RESET -RefAck 1 2 FB6_5 STD RESET -iobs/IOU1 2 2 FB6_7 STD RESET -iobs/IOL1 2 2 FB6_8 STD RESET -iobm/ES<3> 3 6 FB6_10 STD RESET -iobm/ES<1> 3 4 FB6_11 STD RESET -iobm/ES<0> 3 7 FB6_13 STD RESET -IOU0 3 5 FB6_14 STD RESET -IOL0 3 5 FB6_16 STD RESET -iobm/ES<4> 4 7 FB6_17 STD RESET -iobm/ES<2> 5 7 FB6_18 STD RESET -cnt/RefCnt<5> 1 5 FB7_1 STD RESET -cnt/RefCnt<4> 1 4 FB7_3 STD RESET -cs/nOverlay0 2 7 FB7_4 STD RESET -ram/RS_FSM_FFd3 11 14 FB7_5 STD RESET -fsb/Ready0r 3 8 FB7_7 STD RESET -ram/RS_FSM_FFd1 5 10 FB7_8 STD RESET -ram/Once 5 10 FB7_10 STD RESET -ram/RAMDIS2 7 15 FB7_11 STD RESET -ram/RS_FSM_FFd2 13 14 FB7_13 STD RESET -cnt/RefCnt<7> 1 7 FB7_14 STD RESET -cnt/RefCnt<6> 1 6 FB7_16 STD RESET -ram/RAMReady 16 15 FB7_17 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB8_17 STD RESET -iobm/Er 1 1 FB8_18 STD RESET - -** 35 Inputs ** - -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<9> FB1_3 12 I/O I -nAS_FSB FB1_5 13 I/O I -A_FSB<5> FB1_8 15 I/O I -A_FSB<2> FB1_9 16 I/O I -nBERR_IOB FB1_12 18 I/O I -A_FSB<6> FB1_14 19 I/O I -A_FSB<7> FB1_15 20 I/O I -CLK2X_IOB FB1_17 22~ GCK/I/O GCK -nRES FB2_2 99~ GSR/I/O GSR/I -A_FSB<22> FB2_15 9 I/O I -CLK_FSB FB3_2 23~ GCK/I/O GCK -nLDS_FSB FB3_6 25 I/O I -CLK_IOB FB3_8 27~ GCK/I/O GCK/I -A_FSB<10> FB4_5 89 I/O I -A_FSB<1> FB4_6 90 I/O I -A_FSB<12> FB4_9 92 I/O I -A_FSB<14> FB4_11 93 I/O I -A_FSB<16> FB4_14 95 I/O I -A_FSB<17> FB4_15 96 I/O I -E_IOB FB5_17 49 I/O I -A_FSB<18> FB6_5 76 I/O I -A_FSB<3> FB6_8 78 I/O I -A_FSB<15> FB6_11 80 I/O I -A_FSB<13> FB6_14 82 I/O I -A_FSB<11> FB6_17 86 I/O I -nUDS_FSB FB7_5 52 I/O I -nDTACK_IOB FB7_8 54 I/O I -A_FSB<4> FB7_11 56 I/O I -nVPA_IOB FB7_14 59 I/O I -nWE_FSB FB7_17 61 I/O I -A_FSB<8> FB8_5 64 I/O I -A_FSB<23> FB8_8 66 I/O I -A_FSB<21> FB8_11 68 I/O I -A_FSB<20> FB8_14 71 I/O I -A_FSB<19> FB8_17 73 I/O I - -Legend: -Pin No. - ~ - User Assigned - ************************** Function Block Details ************************ -Legend: -Total Pt - Total product terms used by the macrocell signal -Imp Pt - Product terms imported from other macrocells -Exp Pt - Product terms exported to other macrocells - in direction shown -Unused Pt - Unused local product terms remaining in macrocell -Loc - Location where logic was mapped in device -Pin Type/Use - I - Input GCK - Global Clock - O - Output GTS - Global Output Enable - (b) - Buried macrocell GSR - Global Set/Reset -X - Signal used as input to the macrocell logic. -Pin No. - ~ - User Assigned - *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/5 0 FB1_1 (b) (b) -nDTACK_FSB 23 18<- 0 0 FB1_2 11 I/O O -(unused) 0 0 /\5 0 FB1_3 12 I/O I -$OpTx$FX_DC$606 5 3<- /\3 0 FB1_4 (b) (b) -(unused) 0 0 /\3 2 FB1_5 13 I/O I -nBERR_FSB 3 0 \/2 0 FB1_6 14 I/O O -fsb/Ready1r 7 2<- 0 0 FB1_7 (b) (b) -(unused) 0 0 0 5 FB1_8 15 I/O I -(unused) 0 0 \/3 2 FB1_9 16 I/O I -fsb/VPA__or00001/fsb/VPA__or00001_D2 - 8 3<- 0 0 FB1_10 (b) (b) -nOE 1 0 \/4 0 FB1_11 17 I/O O -fsb/Ready2r 9 4<- 0 0 FB1_12 18 I/O I -(unused) 0 0 \/5 0 FB1_13 (b) (b) -(unused) 0 0 \/5 0 FB1_14 19 I/O I -fsb/VPA 22 17<- 0 0 FB1_15 20 I/O I -(unused) 0 0 /\5 0 FB1_16 (b) (b) -$OpTx$FX_DC$602 2 0 /\2 1 FB1_17 22 GCK/I/O GCK -(unused) 0 0 \/5 0 FB1_18 (b) (b) - -Signals Used by Logic in Function Block - 1: $OpTx$FX_DC$602 13: A_FSB<20> 25: fsb/BERR1r - 2: $OpTx$FX_DC$606 14: A_FSB<21> 26: fsb/Ready0r - 3: A_FSB<10> 15: A_FSB<22> 27: fsb/Ready1r - 4: A_FSB<11> 16: A_FSB<23> 28: fsb/Ready2r - 5: A_FSB<12> 17: A_FSB<8> 29: fsb/VPA - 6: A_FSB<13> 18: A_FSB<9> 30: fsb/VPA__or00001/fsb/VPA__or00001_D2 - 7: A_FSB<14> 19: BERR_IOBS 31: iobs/IOReady - 8: A_FSB<15> 20: TimeoutA 32: nADoutLE1 - 9: A_FSB<16> 21: TimeoutB 33: nAS_FSB - 10: A_FSB<17> 22: cs/nOverlay1 34: nDTACK_FSB - 11: A_FSB<18> 23: fsb/ASrf 35: nWE_FSB - 12: A_FSB<19> 24: fsb/BERR0r 36: ram/RAMReady - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -nDTACK_FSB X.XXXXXXXXXXXXXXXXXX.XXXXXXX..XXXXXX.... 32 -$OpTx$FX_DC$606 .....XX.XXXXXXX......X.........X..X..... 12 -nBERR_FSB ............XXXX..X.X..XX.......X....... 9 -fsb/Ready1r .....XX.XXXXXXXX.....XX...X...XXX.X..... 17 -fsb/VPA__or00001/fsb/VPA__or00001_D2 - ..XXXXXXXXXXXXXXXX.X.X.....X......X..... 20 -nOE ................................X.X..... 2 -fsb/Ready2r ..XXXXXXXXXXXXXXXX.X.XX....X....X.X..... 22 -fsb/VPA XXXXXXXXXXXXXXXXXXX..XXXXXX.XXX.X..X.... 30 -$OpTx$FX_DC$602 ............XXXX....X................... 5 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/VPArr 1 0 0 4 FB2_1 (b) (b) -iobm/VPArf 1 0 0 4 FB2_2 99 GSR/I/O GSR/I -iobm/RESrr 1 0 0 4 FB2_3 (b) (b) -iobm/RESrf 1 0 0 4 FB2_4 (b) (b) -iobm/IOREQr 1 0 0 4 FB2_5 1 GTS/I/O (b) -cnt/RefCnt<3> 1 0 0 4 FB2_6 2 GTS/I/O (b) -cnt/RefCnt<2> 1 0 0 4 FB2_7 (b) (b) -cnt/RefCnt<1> 1 0 0 4 FB2_8 3 GTS/I/O (b) -fsb/BERR1r 2 0 0 3 FB2_9 4 GTS/I/O (b) -cnt/RefDone 2 0 0 3 FB2_10 (b) (b) -nLDS_IOB 3 0 0 2 FB2_11 6 I/O O -nUDS_IOB 3 0 0 2 FB2_12 7 I/O O -cnt/TimeoutBPre 3 0 0 2 FB2_13 (b) (b) -nDoutOE 2 0 0 3 FB2_14 8 I/O O -TimeoutB 3 0 0 2 FB2_15 9 I/O I -TimeoutA 3 0 0 2 FB2_16 (b) (b) -nAS_IOB 1 0 0 4 FB2_17 10 I/O O -iobs/IOReady 4 0 0 1 FB2_18 (b) (b) - -Signals Used by Logic in Function Block - 1: BERR_IOBS 13: cnt/RefCnt<3> 25: iobm/IOS_FSM_FFd5 - 2: IOBERR 14: cnt/RefCnt<4> 26: iobm/IOS_FSM_FFd6 - 3: IOL0 15: cnt/RefCnt<5> 27: iobm/IOS_FSM_FFd7 - 4: IOREQ 16: cnt/RefCnt<6> 28: iobs/IOACTr - 5: IORW0 17: cnt/RefCnt<7> 29: iobs/IOReady - 6: IOU0 18: cnt/RefDone 30: iobs/Once - 7: RefAck 19: cnt/TimeoutBPre 31: iobs/PS_FSM_FFd2 - 8: TimeoutA 20: fsb/ASrf 32: nADoutLE1 - 9: TimeoutB 21: fsb/BERR1r 33: nAS_FSB - 10: cnt/RefCnt<0> 22: iobm/IOS_FSM_FFd2 34: nRES - 11: cnt/RefCnt<1> 23: iobm/IOS_FSM_FFd3 35: nVPA_IOB - 12: cnt/RefCnt<2> 24: iobm/IOS_FSM_FFd4 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPArr ..................................X..... 1 -iobm/VPArf ..................................X..... 1 -iobm/RESrr .................................X...... 1 -iobm/RESrf .................................X...... 1 -iobm/IOREQr ...X.................................... 1 -cnt/RefCnt<3> .........XXX............................ 3 -cnt/RefCnt<2> .........XX............................. 2 -cnt/RefCnt<1> .........X.............................. 1 -fsb/BERR1r X..................XX...........X....... 4 -cnt/RefDone ......X..XXXXXXXXX...................... 10 -nLDS_IOB ..X.X.................XXXXX............. 7 -nUDS_IOB ....XX................XXXXX............. 7 -cnt/TimeoutBPre .........XXXXXXXX.XX............X....... 11 -nDoutOE ....X................XXXXXX............. 7 -TimeoutB ........XXXXXXXXX.XX............X....... 12 -TimeoutA .......X.XXXXXXX...X............X....... 10 -nAS_IOB ......................XXXXX............. 5 -iobs/IOReady .X.................X.......XXXXXX....... 8 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 \/4 1 FB3_1 (b) (b) -(unused) 0 0 \/5 0 FB3_2 23 GCK/I/O GCK -IORW0 18 13<- 0 0 FB3_3 (b) (b) -iobs/IOACTr 1 0 /\4 0 FB3_4 (b) (b) -nRAS 3 0 0 2 FB3_5 24 I/O O -iobs/Clear1 1 0 0 4 FB3_6 25 I/O I -fsb/ASrf 1 0 0 4 FB3_7 (b) (b) -ALE0S 1 0 \/4 0 FB3_8 27 GCK/I/O GCK/I -iobs/PS_FSM_FFd2 14 9<- 0 0 FB3_9 28 I/O (b) -(unused) 0 0 /\5 0 FB3_10 (b) (b) -nADoutLE1 2 0 \/2 1 FB3_11 29 I/O O -iobs/PS_FSM_FFd1 2 2<- \/5 0 FB3_12 30 I/O (b) -IOREQ 14 9<- 0 0 FB3_13 (b) (b) -iobm/ETACK 1 0 /\4 0 FB3_14 32 I/O (b) -nVMA_IOB 2 0 \/2 1 FB3_15 33 I/O O -(unused) 0 0 \/5 0 FB3_16 (b) (b) -iobs/IORW1 16 11<- 0 0 FB3_17 34 I/O (b) -ram/BACTr 1 0 /\4 0 FB3_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<13> 13: RefAck 25: iobs/IORW1 - 2: A_FSB<14> 14: cs/nOverlay1 26: iobs/Load1 - 3: A_FSB<16> 15: fsb/ASrf 27: iobs/Once - 4: A_FSB<17> 16: iobm/ES<0> 28: iobs/PS_FSM_FFd1 - 5: A_FSB<18> 17: iobm/ES<1> 29: iobs/PS_FSM_FFd2 - 6: A_FSB<19> 18: iobm/ES<2> 30: nADoutLE1 - 7: A_FSB<20> 19: iobm/ES<3> 31: nAS_FSB - 8: A_FSB<21> 20: iobm/ES<4> 32: nVMA_IOB - 9: A_FSB<22> 21: iobm/VPArf 33: nWE_FSB - 10: A_FSB<23> 22: iobm/VPArr 34: ram/RAMDIS1 - 11: IOACT 23: iobs/Clear1 35: ram/RAMDIS2 - 12: IORW0 24: iobs/IOACTr - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -IORW0 XXXXXXXXXX.X.XX.........X.XXXXX.X....... 20 -iobs/IOACTr ..........X............................. 1 -nRAS .......XXX..XX................X..XX..... 8 -iobs/Clear1 ...........................XXX.......... 3 -fsb/ASrf ..............................X......... 1 -ALE0S ...........................XX........... 2 -iobs/PS_FSM_FFd2 XXXXXXXXXX...XX........X..XXXXX.X....... 19 -nADoutLE1 ......................X..X...X.......... 3 -iobs/PS_FSM_FFd1 .......................X...XX........... 3 -IOREQ XXXXXXXXXX...XX........X..XXXXX.X....... 19 -iobm/ETACK ...............XXXXX...........X........ 6 -nVMA_IOB ..........X....XXXXXXX.........X........ 9 -iobs/IORW1 XXXXXXXXXX...XX.........X.XXXXX.X....... 19 -ram/BACTr ..............X...............X......... 2 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 36/18 -Number of signals used by logic mapping into function block: 36 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -ram/RASEL 20 15<- 0 0 FB4_1 (b) (b) -RA<0> 2 2<- /\5 0 FB4_2 87 I/O O -cs/nOverlay1 2 0 /\2 1 FB4_3 (b) (b) -(unused) 0 0 0 5 FB4_4 (b) -(unused) 0 0 \/4 1 FB4_5 89 I/O I -iobs/Load1 14 9<- 0 0 FB4_6 90 I/O I -(unused) 0 0 /\5 0 FB4_7 (b) (b) -RA<2> 2 0 \/2 1 FB4_8 91 I/O O -(unused) 0 0 \/5 0 FB4_9 92 I/O I -iobs/Once 17 12<- 0 0 FB4_10 (b) (b) -(unused) 0 0 /\5 0 FB4_11 93 I/O I -RA<4> 2 0 \/3 0 FB4_12 94 I/O O -(unused) 0 0 \/5 0 FB4_13 (b) (b) -ram/RAMDIS1 18 13<- 0 0 FB4_14 95 I/O I -(unused) 0 0 /\5 0 FB4_15 96 I/O I -fsb/BERR0r 3 0 \/2 0 FB4_16 (b) (b) -nDinOE 2 2<- \/5 0 FB4_17 97 I/O O -(unused) 0 0 \/5 0 FB4_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<10> 13: A_FSB<23> 25: iobs/Once - 2: A_FSB<12> 14: A_FSB<3> 26: iobs/PS_FSM_FFd1 - 3: A_FSB<13> 15: A_FSB<5> 27: iobs/PS_FSM_FFd2 - 4: A_FSB<14> 16: TimeoutB 28: nADoutLE1 - 5: A_FSB<16> 17: cnt/RefCnt<5> 29: nAS_FSB - 6: A_FSB<17> 18: cnt/RefCnt<6> 30: nWE_FSB - 7: A_FSB<18> 19: cnt/RefCnt<7> 31: ram/BACTr - 8: A_FSB<19> 20: cnt/RefDone 32: ram/Once - 9: A_FSB<1> 21: cs/nOverlay0 33: ram/RASEL - 10: A_FSB<20> 22: cs/nOverlay1 34: ram/RS_FSM_FFd1 - 11: A_FSB<21> 23: fsb/ASrf 35: ram/RS_FSM_FFd2 - 12: A_FSB<22> 24: fsb/BERR0r 36: ram/RS_FSM_FFd3 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RASEL ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -RA<0> X.......X.......................X....... 3 -cs/nOverlay1 ....................X.X.....X........... 3 -iobs/Load1 ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<2> .X...........X..................X....... 3 -iobs/Once ..XXXXXX.XXXX........XX.XXXXXX.......... 18 -RA<4> ...X..........X.................X....... 3 -ram/RAMDIS1 ..........XXX...XXXX.XX.....X.XX.XXX.... 15 -fsb/BERR0r .........XXXX..X......XX....X........... 8 -nDinOE .........XXXX...............XX.......... 6 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -IOACT 10 5<- 0 0 FB5_1 (b) (b) -RA<1> 2 0 /\3 0 FB5_2 35 I/O O -iobm/IOS_FSM_FFd7 1 0 0 4 FB5_3 (b) (b) -iobm/IOS_FSM_FFd6 1 0 0 4 FB5_4 (b) (b) -iobm/IOS_FSM_FFd5 1 0 0 4 FB5_5 36 I/O (b) -iobm/IOS_FSM_FFd4 1 0 0 4 FB5_6 37 I/O (b) -iobm/BERRrr 1 0 0 4 FB5_7 (b) (b) -RA<3> 2 0 0 3 FB5_8 39 I/O O -iobm/BERRrf 1 0 0 4 FB5_9 40 I/O (b) -cnt/RefCnt<0> 0 0 0 5 FB5_10 (b) (b) -iobm/IOS_FSM_FFd8 2 0 0 3 FB5_11 41 I/O (b) -nROMCS 2 0 0 3 FB5_12 42 I/O O -ALE0M 2 0 0 3 FB5_13 (b) (b) -iobm/IOS_FSM_FFd2 4 0 0 1 FB5_14 43 I/O (b) -BERR_IOBS 4 0 0 1 FB5_15 46 I/O (b) -iobm/IOS_FSM_FFd3 5 0 0 0 FB5_16 (b) (b) -(unused) 0 0 \/5 0 FB5_17 49 I/O I -IOBERR 8 5<- \/2 0 FB5_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<11> 13: fsb/ASrf 25: iobm/IOS_FSM_FFd7 - 2: A_FSB<13> 14: iobm/BERRrf 26: iobm/IOS_FSM_FFd8 - 3: A_FSB<20> 15: iobm/BERRrr 27: iobm/RESrf - 4: A_FSB<21> 16: iobm/DTACKrf 28: iobm/RESrr - 5: A_FSB<22> 17: iobm/DTACKrr 29: iobs/IOACTr - 6: A_FSB<23> 18: iobm/ETACK 30: iobs/Once - 7: A_FSB<2> 19: iobm/IOREQr 31: iobs/PS_FSM_FFd2 - 8: A_FSB<4> 20: iobm/IOS_FSM_FFd1 32: nADoutLE1 - 9: BERR_IOBS 21: iobm/IOS_FSM_FFd3 33: nAS_FSB - 10: CLK_IOB 22: iobm/IOS_FSM_FFd4 34: nBERR_IOB - 11: IOBERR 23: iobm/IOS_FSM_FFd5 35: ram/RASEL - 12: cs/nOverlay1 24: iobm/IOS_FSM_FFd6 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -IOACT .........X...XXXXXX.XXXXXXXX............ 15 -RA<1> X.....X...........................X..... 3 -iobm/IOS_FSM_FFd7 .........X........X......X.............. 3 -iobm/IOS_FSM_FFd6 ........................X............... 1 -iobm/IOS_FSM_FFd5 .......................X................ 1 -iobm/IOS_FSM_FFd4 ......................X................. 1 -iobm/BERRrr .................................X...... 1 -RA<3> .X.....X..........................X..... 3 -iobm/BERRrf .................................X...... 1 -cnt/RefCnt<0> ........................................ 0 -iobm/IOS_FSM_FFd8 .........X........XX.....X.............. 4 -nROMCS ..XXXX.....X............................ 5 -ALE0M ..................X.XXXXXX.............. 7 -iobm/IOS_FSM_FFd2 .........X...XXXXX..X.....XX............ 9 -BERR_IOBS ........X.X.X...............XXXXX....... 8 -iobm/IOS_FSM_FFd3 .........X...XXXXX..XX....XX............ 10 -IOBERR .........XX..XXXXX..X.....XX.....X...... 11 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 24/30 -Number of signals used by logic mapping into function block: 24 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -iobm/Er2 1 0 0 4 FB6_1 (b) (b) -nCAS 1 0 0 4 FB6_2 74 I/O O -iobm/DTACKrr 1 0 0 4 FB6_3 (b) (b) -iobm/DTACKrf 1 0 0 4 FB6_4 (b) (b) -RefAck 1 0 0 4 FB6_5 76 I/O I -nRAMLWE 1 0 0 4 FB6_6 77 I/O O -iobs/IOU1 2 0 0 3 FB6_7 (b) (b) -iobs/IOL1 2 0 0 3 FB6_8 78 I/O I -nRAMUWE 1 0 0 4 FB6_9 79 I/O O -iobm/ES<3> 3 0 0 2 FB6_10 (b) (b) -iobm/ES<1> 3 0 0 2 FB6_11 80 I/O I -nROMWE 1 0 0 4 FB6_12 81 I/O O -iobm/ES<0> 3 0 0 2 FB6_13 (b) (b) -IOU0 3 0 0 2 FB6_14 82 I/O I -nVPA_FSB 1 0 0 4 FB6_15 85 I/O O -IOL0 3 0 0 2 FB6_16 (b) (b) -iobm/ES<4> 4 0 0 1 FB6_17 86 I/O I -iobm/ES<2> 5 0 0 0 FB6_18 (b) (b) - -Signals Used by Logic in Function Block - 1: fsb/VPA 9: iobs/IOL1 17: nLDS_FSB - 2: iobm/ES<0> 10: iobs/IOU1 18: nUDS_FSB - 3: iobm/ES<1> 11: iobs/Load1 19: nWE_FSB - 4: iobm/ES<2> 12: iobs/PS_FSM_FFd1 20: ram/RAMDIS1 - 5: iobm/ES<3> 13: iobs/PS_FSM_FFd2 21: ram/RAMDIS2 - 6: iobm/ES<4> 14: nADoutLE1 22: ram/RASEL - 7: iobm/Er 15: nAS_FSB 23: ram/RS_FSM_FFd1 - 8: iobm/Er2 16: nDTACK_IOB 24: ram/RS_FSM_FFd2 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/Er2 ......X................................. 1 -nCAS .....................X.................. 1 -iobm/DTACKrr ...............X........................ 1 -iobm/DTACKrf ...............X........................ 1 -RefAck ......................XX................ 2 -nRAMLWE ..............X.X.XXX................... 5 -iobs/IOU1 ..........X......X...................... 2 -iobs/IOL1 ..........X.....X....................... 2 -nRAMUWE ..............X..XXXX................... 5 -iobm/ES<3> .XXXX.XX................................ 6 -iobm/ES<1> .XX...XX................................ 4 -nROMWE ..............X...X..................... 2 -iobm/ES<0> .XXXXXXX................................ 7 -IOU0 .........X.XXX...X...................... 5 -nVPA_FSB X.............X......................... 2 -IOL0 ........X..XXX..X....................... 5 -iobm/ES<4> .XXXXXXX................................ 7 -iobm/ES<2> .XXXXXXX................................ 7 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 35/19 -Number of signals used by logic mapping into function block: 35 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -cnt/RefCnt<5> 1 0 /\1 3 FB7_1 (b) (b) -RA<5> 2 0 0 3 FB7_2 50 I/O O -cnt/RefCnt<4> 1 0 0 4 FB7_3 (b) (b) -cs/nOverlay0 2 0 \/3 0 FB7_4 (b) (b) -ram/RS_FSM_FFd3 11 6<- 0 0 FB7_5 52 I/O I -RA<6> 2 0 /\3 0 FB7_6 53 I/O O -fsb/Ready0r 3 0 0 2 FB7_7 (b) (b) -ram/RS_FSM_FFd1 5 0 0 0 FB7_8 54 I/O I -RA<7> 2 0 \/3 0 FB7_9 55 I/O O -ram/Once 5 3<- \/3 0 FB7_10 (b) (b) -ram/RAMDIS2 7 3<- \/1 0 FB7_11 56 I/O I -RA<8> 2 1<- \/4 0 FB7_12 58 I/O O -ram/RS_FSM_FFd2 13 8<- 0 0 FB7_13 (b) (b) -cnt/RefCnt<7> 1 0 /\4 0 FB7_14 59 I/O I -RA<9> 2 0 \/1 2 FB7_15 60 I/O O -cnt/RefCnt<6> 1 1<- \/5 0 FB7_16 (b) (b) -ram/RAMReady 16 11<- 0 0 FB7_17 61 I/O I -(unused) 0 0 /\5 0 FB7_18 (b) (b) - -Signals Used by Logic in Function Block - 1: A_FSB<15> 13: A_FSB<9> 25: fsb/ASrf - 2: A_FSB<16> 14: cnt/RefCnt<0> 26: fsb/Ready0r - 3: A_FSB<17> 15: cnt/RefCnt<1> 27: nAS_FSB - 4: A_FSB<18> 16: cnt/RefCnt<2> 28: ram/BACTr - 5: A_FSB<19> 17: cnt/RefCnt<3> 29: ram/Once - 6: A_FSB<20> 18: cnt/RefCnt<4> 30: ram/RAMDIS2 - 7: A_FSB<21> 19: cnt/RefCnt<5> 31: ram/RAMReady - 8: A_FSB<22> 20: cnt/RefCnt<6> 32: ram/RASEL - 9: A_FSB<23> 21: cnt/RefCnt<7> 33: ram/RS_FSM_FFd1 - 10: A_FSB<6> 22: cnt/RefDone 34: ram/RS_FSM_FFd2 - 11: A_FSB<7> 23: cs/nOverlay0 35: ram/RS_FSM_FFd3 - 12: A_FSB<8> 24: cs/nOverlay1 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/RefCnt<5> .............XXXXX...................... 5 -RA<5> X........X.....................X........ 3 -cnt/RefCnt<4> .............XXXX....................... 4 -cs/nOverlay0 .....XXXX.............X.X.X............. 7 -ram/RS_FSM_FFd3 ......XXX.........XXXX.XX.X.X...XXX..... 14 -RA<6> .X........X....................X........ 3 -fsb/Ready0r ......XXX..............XXXX...X......... 8 -ram/RS_FSM_FFd1 ......XXX..............XX.X.X...XXX..... 10 -RA<7> ..X........X...................X........ 3 -ram/Once ......XXX..............XX.X.X...XXX..... 10 -ram/RAMDIS2 ......XXX.........XXXX.XX.X.XX..XXX..... 15 -RA<8> ...X........X..................X........ 3 -ram/RS_FSM_FFd2 ......XXX.........XXXX.XX.XX....XXX..... 14 -cnt/RefCnt<7> .............XXXXXXX.................... 7 -RA<9> ....XX.........................X........ 3 -cnt/RefCnt<6> .............XXXXXX..................... 6 -ram/RAMReady ......XXX.........XXXX.XX.XXX...XXX..... 15 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 8/46 -Number of signals used by logic mapping into function block: 8 -Signal Total Imp Exp Unused Loc Pin Pin Pin -Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB8_1 (b) -RA<11> 1 0 0 4 FB8_2 63 I/O O -(unused) 0 0 0 5 FB8_3 (b) -(unused) 0 0 0 5 FB8_4 (b) -(unused) 0 0 0 5 FB8_5 64 I/O I -RA<10> 1 0 0 4 FB8_6 65 I/O O -(unused) 0 0 0 5 FB8_7 (b) -(unused) 0 0 0 5 FB8_8 66 I/O I -nADoutLE0 1 0 0 4 FB8_9 67 I/O O -(unused) 0 0 0 5 FB8_10 (b) -(unused) 0 0 0 5 FB8_11 68 I/O I -nAoutOE 0 0 0 5 FB8_12 70 I/O O -(unused) 0 0 0 5 FB8_13 (b) -(unused) 0 0 0 5 FB8_14 71 I/O I -nDinLE 1 0 0 4 FB8_15 72 I/O O -(unused) 0 0 0 5 FB8_16 (b) -iobm/IOS_FSM_FFd1 1 0 0 4 FB8_17 73 I/O I -iobm/Er 1 0 0 4 FB8_18 (b) (b) - -Signals Used by Logic in Function Block - 1: ALE0M 4: A_FSB<21> 7: iobm/IOS_FSM_FFd3 - 2: ALE0S 5: E_IOB 8: iobm/IOS_FSM_FFd4 - 3: A_FSB<19> 6: iobm/IOS_FSM_FFd2 - -Signal 1 2 3 4 FB -Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> ..X..................................... 1 -RA<10> ...X.................................... 1 -nADoutLE0 XX...................................... 2 -nAoutOE ........................................ 0 -nDinLE ......XX................................ 2 -iobm/IOS_FSM_FFd1 .....X.................................. 1 -iobm/Er ....X................................... 1 - 0----+----1----+----2----+----3----+----4 - 0 0 0 0 - ******************************* Equations ******************************** - -********** Mapped Logic ********** - - -$OpTx$FX_DC$602 <= ((NOT TimeoutB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20))); - - -$OpTx$FX_DC$606 <= ((A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20)) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB)); - -FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,CLK2X_IOB,'0','0'); -ALE0M_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8)); - -FDCPE_ALE0S: FDCPE port map (ALE0S,ALE0S_D,CLK_FSB,'0','0'); -ALE0S_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FTCPE_BERR_IOBS: FTCPE port map (BERR_IOBS,BERR_IOBS_T,CLK_FSB,'0','0'); -BERR_IOBS_T <= ((BERR_IOBS AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND BERR_IOBS AND NOT IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT BERR_IOBS AND IOBERR AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,CLK2X_IOB,'0','0'); -IOACT_D <= ((NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/BERRrf AND iobm/BERRrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd8 AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/RESrf AND iobm/RESrr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOREQr) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND iobm/ETACK AND - NOT iobm/IOS_FSM_FFd8) - OR (NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND - NOT iobm/IOS_FSM_FFd6 AND CLK_IOB AND NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOREQr AND - iobm/BERRrf AND iobm/BERRrr)); - -FTCPE_IOBERR: FTCPE port map (IOBERR,IOBERR_T,CLK2X_IOB,'0','0'); -IOBERR_T <= ((nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/DTACKrf AND iobm/DTACKrr) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/RESrf AND iobm/RESrr) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (NOT nBERR_IOB AND NOT IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/ETACK) - OR (nBERR_IOB AND IOBERR AND iobm/IOS_FSM_FFd3 AND - CLK_IOB AND iobm/BERRrf AND iobm/BERRrr)); - -FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,CLK_FSB,'0','0',IOL0_CE); -IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) - OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - -FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,CLK_FSB,'0','0'); -IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1)); - -FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,CLK_FSB,'0','0'); -IORW0_T <= ((EXP22_.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - NOT IORW0 AND nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT iobs/Once AND - NOT IORW0 AND nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND - NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (IORW0 AND NOT iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT IORW0 AND iobs/IORW1 AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND IORW0 AND NOT nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Once AND NOT IORW0 AND nWE_FSB AND - NOT nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1)); - -FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,CLK_FSB,'0','0',IOU0_CE); -IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) - OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1); - - -RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(1))); - - -RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(2))); - - -RA(2) <= ((A_FSB(12) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(3))); - - -RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); - - -RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); - - -RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); - - -RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(7))); - - -RA(7) <= ((A_FSB(8) AND ram/RASEL) - OR (A_FSB(17) AND NOT ram/RASEL)); - - -RA(8) <= ((A_FSB(9) AND ram/RASEL) - OR (A_FSB(18) AND NOT ram/RASEL)); - - -RA(9) <= ((A_FSB(20) AND ram/RASEL) - OR (A_FSB(19) AND NOT ram/RASEL)); - - -RA(10) <= A_FSB(21); - - -RA(11) <= A_FSB(19); - -FDCPE_RefAck: FDCPE port map (RefAck,RefAck_D,CLK_FSB,'0','0'); -RefAck_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - -FTCPE_TimeoutA: FTCPE port map (TimeoutA,TimeoutA_T,CLK_FSB,'0','0'); -TimeoutA_T <= ((TimeoutA AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutA AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4)) - OR (NOT TimeoutA AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND fsb/ASrf)); - -FTCPE_TimeoutB: FTCPE port map (TimeoutB,TimeoutB_T,CLK_FSB,'0','0'); -TimeoutB_T <= ((TimeoutB AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT nAS_FSB AND - NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND - NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT TimeoutB AND cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cnt/RefCnt0: FTCPE port map (cnt/RefCnt(0),'1',CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt1: FTCPE port map (cnt/RefCnt(1),cnt/RefCnt(0),CLK_FSB,'0','0'); - -FTCPE_cnt/RefCnt2: FTCPE port map (cnt/RefCnt(2),cnt/RefCnt_T(2),CLK_FSB,'0','0'); -cnt/RefCnt_T(2) <= (cnt/RefCnt(0) AND cnt/RefCnt(1)); - -FTCPE_cnt/RefCnt3: FTCPE port map (cnt/RefCnt(3),cnt/RefCnt_T(3),CLK_FSB,'0','0'); -cnt/RefCnt_T(3) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2)); - -FTCPE_cnt/RefCnt4: FTCPE port map (cnt/RefCnt(4),cnt/RefCnt_T(4),CLK_FSB,'0','0'); -cnt/RefCnt_T(4) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3)); - -FTCPE_cnt/RefCnt5: FTCPE port map (cnt/RefCnt(5),cnt/RefCnt_T(5),CLK_FSB,'0','0'); -cnt/RefCnt_T(5) <= (cnt/RefCnt(0) AND cnt/RefCnt(1) AND cnt/RefCnt(2) AND - cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt6: FTCPE port map (cnt/RefCnt(6),cnt/RefCnt_T(6),CLK_FSB,'0','0'); -cnt/RefCnt_T(6) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(1) AND - cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FTCPE_cnt/RefCnt7: FTCPE port map (cnt/RefCnt(7),cnt/RefCnt_T(7),CLK_FSB,'0','0'); -cnt/RefCnt_T(7) <= (cnt/RefCnt(0) AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(1) AND cnt/RefCnt(2) AND cnt/RefCnt(3) AND cnt/RefCnt(4)); - -FDCPE_cnt/RefDone: FDCPE port map (cnt/RefDone,cnt/RefDone_D,CLK_FSB,'0','0'); -cnt/RefDone_D <= ((NOT cnt/RefDone AND NOT RefAck) - OR (NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND - NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND - NOT cnt/RefCnt(7))); - -FTCPE_cnt/TimeoutBPre: FTCPE port map (cnt/TimeoutBPre,cnt/TimeoutBPre_T,CLK_FSB,'0','0'); -cnt/TimeoutBPre_T <= ((cnt/TimeoutBPre AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT cnt/TimeoutBPre AND NOT nAS_FSB AND NOT cnt/RefCnt(0) AND - NOT cnt/RefCnt(5) AND NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND - NOT cnt/RefCnt(3) AND NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7)) - OR (NOT cnt/TimeoutBPre AND NOT cnt/RefCnt(0) AND NOT cnt/RefCnt(5) AND - NOT cnt/RefCnt(6) AND NOT cnt/RefCnt(1) AND NOT cnt/RefCnt(2) AND NOT cnt/RefCnt(3) AND - NOT cnt/RefCnt(4) AND NOT cnt/RefCnt(7) AND fsb/ASrf)); - -FTCPE_cs/nOverlay0: FTCPE port map (cs/nOverlay0,cs/nOverlay0_T,CLK_FSB,NOT nRES,'0'); -cs/nOverlay0_T <= ((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND NOT nAS_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay0 AND fsb/ASrf)); - -FDCPE_cs/nOverlay1: FDCPE port map (cs/nOverlay1,cs/nOverlay0,CLK_FSB,'0','0',cs/nOverlay1_CE); -cs/nOverlay1_CE <= (nAS_FSB AND NOT fsb/ASrf); - -FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT CLK_FSB,'0','0'); - -FDCPE_fsb/BERR0r: FDCPE port map (fsb/BERR0r,fsb/BERR0r_D,CLK_FSB,'0','0'); -fsb/BERR0r_D <= ((NOT TimeoutB AND NOT fsb/BERR0r) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT fsb/BERR0r)); - -FDCPE_fsb/BERR1r: FDCPE port map (fsb/BERR1r,fsb/BERR1r_D,CLK_FSB,'0','0'); -fsb/BERR1r_D <= ((NOT BERR_IOBS AND NOT fsb/BERR1r) - OR (nAS_FSB AND NOT fsb/ASrf)); - -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,CLK_FSB,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND NOT ram/RAMReady)); - -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,CLK_FSB,'0','0'); -fsb/Ready1r_D <= ((A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady)); - -FDCPE_fsb/Ready2r: FDCPE port map (fsb/Ready2r,fsb/Ready2r_D,CLK_FSB,'0','0'); -fsb/Ready2r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); - -FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,CLK_FSB,'0','0'); -fsb/VPA_D <= ((EXP18_.EXP) - OR (BERR_IOBS AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR0r AND fsb/VPA AND fsb/ASrf) - OR (fsb/BERR1r AND fsb/VPA AND fsb/ASrf) - OR (fsb/VPA AND fsb/ASrf AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND fsb/ASrf AND NOT $OpTx$FX_DC$602) - OR ($OpTx$FX_DC$602.EXP) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND NOT nAS_FSB AND - $OpTx$FX_DC$606) - OR (NOT fsb/Ready1r AND fsb/VPA AND NOT iobs/IOReady AND fsb/ASrf AND - $OpTx$FX_DC$606) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND fsb/VPA AND fsb/ASrf AND NOT ram/RAMReady) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND fsb/VPA AND NOT nAS_FSB AND NOT ram/RAMReady) - OR (BERR_IOBS AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR0r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/BERR1r AND fsb/VPA AND NOT nAS_FSB) - OR (fsb/VPA AND NOT nAS_FSB AND - fsb/VPA__or00001/fsb/VPA__or00001_D2) - OR (fsb/VPA AND NOT nAS_FSB AND NOT $OpTx$FX_DC$602)); - - -fsb/VPA__or00001/fsb/VPA__or00001_D2 <= ((A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(9) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r) - OR (A_FSB(8) AND A_FSB(15) AND NOT A_FSB(14) AND A_FSB(13) AND - NOT A_FSB(12) AND NOT A_FSB(11) AND NOT A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r)); - -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/BERRrr: FDCPE port map (iobm/BERRrr,NOT nBERR_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/DTACKrr: FDCPE port map (iobm/DTACKrr,NOT nDTACK_IOB,CLK2X_IOB,'0','0'); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),CLK2X_IOB,'0','0'); -iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),CLK2X_IOB,'0','0'); -iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) - OR (NOT iobm/Er AND iobm/Er2)); - -FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),CLK2X_IOB,'0','0'); -iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) - OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) - OR (NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) - OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); - -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),CLK2X_IOB,'0','0'); -iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); - -FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),CLK2X_IOB,'0','0'); -iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND NOT iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4))); - -FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,CLK2X_IOB,'0','0'); -iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4)); - -FDCPE_iobm/Er: FDCPE port map (iobm/Er,E_IOB,NOT CLK_IOB,'0','0'); - -FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/ETACK) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (iobm/IOS_FSM_FFd3 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); - -FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/ETACK) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/BERRrf AND - iobm/BERRrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/DTACKrf AND - iobm/DTACKrr) - OR (NOT iobm/IOS_FSM_FFd4 AND CLK_IOB AND iobm/RESrf AND - iobm/RESrr)); - -FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd7,CLK2X_IOB,'0','0'); - -FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd7_D <= (NOT CLK_IOB AND iobm/IOREQr AND iobm/IOS_FSM_FFd8); - -FDCPE_iobm/IOS_FSM_FFd8: FDCPE port map (iobm/IOS_FSM_FFd8,iobm/IOS_FSM_FFd8_D,CLK2X_IOB,'0','0'); -iobm/IOS_FSM_FFd8_D <= ((NOT iobm/IOS_FSM_FFd8 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT CLK_IOB AND iobm/IOREQr AND NOT iobm/IOS_FSM_FFd1)); - -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/RESrr: FDCPE port map (iobm/RESrr,NOT nRES,CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArf: FDCPE port map (iobm/VPArf,NOT nVPA_IOB,NOT CLK2X_IOB,'0','0'); - -FDCPE_iobm/VPArr: FDCPE port map (iobm/VPArr,NOT nVPA_IOB,CLK2X_IOB,'0','0'); - -FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,CLK_FSB,'0','0'); -iobs/Clear1_D <= (iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND NOT nADoutLE1); - -FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,CLK_FSB,'0','0'); - -FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,CLK_FSB,'0','0'); -iobs/IORW1_T <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (nVMA_IOB_OBUF.EXP) - OR (NOT nWE_FSB AND NOT iobs/IORW1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(23) AND NOT A_FSB(20)) - OR (nWE_FSB AND iobs/IORW1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/IORW1)); - -FTCPE_iobs/IOReady: FTCPE port map (iobs/IOReady,iobs/IOReady_T,CLK_FSB,'0','0'); -iobs/IOReady_T <= ((iobs/IOReady AND nAS_FSB AND NOT fsb/ASrf) - OR (iobs/Once AND IOBERR AND iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND NOT nAS_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND nADoutLE1) - OR (iobs/Once AND NOT IOBERR AND NOT iobs/IOReady AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/IOACTr AND fsb/ASrf AND nADoutLE1)); - -FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,CLK_FSB,'0','0',iobs/Load1); - -FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,CLK_FSB,'0','0'); -iobs/Load1_D <= ((iobs/Once) - OR (NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19)) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17)) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16)) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1) - OR (NOT A_FSB(23) AND NOT A_FSB(20)) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1)); - -FDCPE_iobs/Once: FDCPE port map (iobs/Once,iobs/Once_D,CLK_FSB,'0','0'); -iobs/Once_D <= ((RA_2_OBUF.EXP) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd2 AND NOT nADoutLE1) - OR (NOT iobs/Once AND iobs/PS_FSM_FFd1 AND NOT nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND NOT iobs/Once) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT iobs/Once AND nWE_FSB) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/Once) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd2) - OR (A_FSB(22) AND NOT iobs/Once AND iobs/PS_FSM_FFd1)); - -FDCPE_iobs/PS_FSM_FFd1: FDCPE port map (iobs/PS_FSM_FFd1,iobs/PS_FSM_FFd1_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd1_D <= ((iobs/PS_FSM_FFd2) - OR (iobs/PS_FSM_FFd1 AND iobs/IOACTr)); - -FDCPE_iobs/PS_FSM_FFd2: FDCPE port map (iobs/PS_FSM_FFd2,iobs/PS_FSM_FFd2_D,CLK_FSB,'0','0'); -iobs/PS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay1 AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(19) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND nWE_FSB AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(18) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(17) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(21) AND NOT A_FSB(16) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND A_FSB(21) AND - NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - cs/nOverlay1 AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - iobs/IOACTr) - OR (NOT iobs/PS_FSM_FFd2 AND iobs/PS_FSM_FFd1 AND - NOT iobs/IOACTr) - OR (iobs/Once AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(20) AND NOT iobs/PS_FSM_FFd2 AND - NOT iobs/PS_FSM_FFd1 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/PS_FSM_FFd2 AND NOT iobs/PS_FSM_FFd1 AND - NOT fsb/ASrf AND nADoutLE1)); - - -nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); - -FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,CLK_FSB,'0','0'); -nADoutLE1_D <= ((iobs/Load1) - OR (NOT iobs/Clear1 AND NOT nADoutLE1)); - -FDCPE_nAS_IOB: FDCPE port map (nAS_IOB,nAS_IOB_D,NOT CLK2X_IOB,'0','0'); -nAS_IOB_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7); - - -nAoutOE <= '0'; - - -nBERR_FSB <= ((nAS_FSB) - OR (NOT BERR_IOBS AND NOT TimeoutB AND NOT fsb/BERR0r AND NOT fsb/BERR1r) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND - NOT BERR_IOBS AND NOT fsb/BERR0r AND NOT fsb/BERR1r)); - -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT CLK_FSB,'0','0'); - -FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,CLK_FSB,'0','0'); -nDTACK_FSB_D <= ((EXP21_.EXP) - OR (A_FSB(23) AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND NOT fsb/Ready1r AND - NOT iobs/IOReady AND nDTACK_FSB) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(14) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR ($OpTx$FX_DC$606.EXP) - OR (A_FSB(13) AND A_FSB(22) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT cs/nOverlay1 AND NOT nWE_FSB AND - NOT fsb/Ready1r AND NOT iobs/IOReady AND nDTACK_FSB) - OR (A_FSB(14) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND - A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - cs/nOverlay1 AND NOT nWE_FSB AND NOT fsb/Ready1r AND NOT iobs/IOReady AND - nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(9) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND A_FSB(13) AND - A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND - A_FSB(16) AND cs/nOverlay1 AND NOT nWE_FSB AND NOT TimeoutA AND NOT fsb/Ready2r AND - nDTACK_FSB) - OR (BERR_IOBS AND nDTACK_FSB) - OR (fsb/BERR0r AND nDTACK_FSB) - OR (fsb/BERR1r AND nDTACK_FSB) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (nDTACK_FSB AND NOT $OpTx$FX_DC$602)); - -FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT CLK2X_IOB,'0','0'); -nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); - - -nDinOE <= ((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(22) AND NOT A_FSB(21) AND A_FSB(20) AND nWE_FSB AND - NOT nAS_FSB)); - -FDCPE_nDoutOE: FDCPE port map (nDoutOE,nDoutOE_D,CLK2X_IOB,'0','0'); -nDoutOE_D <= ((NOT IORW0) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7 AND - NOT iobm/IOS_FSM_FFd2)); - -FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB,nLDS_IOB_D,NOT CLK2X_IOB,'0','0'); -nLDS_IOB_D <= ((NOT IOL0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); - - -nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); - - -nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RAMDIS1)); - - -nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RAMDIS1)); - - -nRAS <= NOT (((RefAck) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RAMDIS1))); - - -nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20)) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT cs/nOverlay1))); - - -nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); - -FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB,nUDS_IOB_D,NOT CLK2X_IOB,'0','0'); -nUDS_IOB_D <= ((NOT IOU0) - OR (IORW0 AND NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND - NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IOS_FSM_FFd7)); - -FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB,nVMA_IOB_T,CLK2X_IOB,'0','0'); -nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4)) - OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPArf AND iobm/VPArr)); - - -nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); - -FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,CLK_FSB,'0','0'); -ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); - -FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,CLK_FSB,'0','0'); -ram/Once_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (ram/Once AND nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3)); - -FDCPE_ram/RAMDIS1: FDCPE port map (ram/RAMDIS1,ram/RAMDIS1_D,CLK_FSB,'0','0'); -ram/RAMDIS1_D <= ((RA_4_OBUF.EXP) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd1 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - NOT ram/BACTr AND fsb/ASrf)); - -FTCPE_ram/RAMDIS2: FTCPE port map (ram/RAMDIS2,ram/RAMDIS2_T,CLK_FSB,'0','0'); -ram/RAMDIS2_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND - NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) - OR (ram/RAMDIS2 AND nAS_FSB AND NOT fsb/ASrf) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND - ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7)) - OR (ram/Once AND NOT cnt/RefDone AND NOT ram/RAMDIS2 AND - ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND - cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND ram/Once AND - NOT cnt/RefDone AND NOT ram/RAMDIS2 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7))); - -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,CLK_FSB,'0','0'); -ram/RAMReady_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (cnt/RefCnt(5).EXP) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND - NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(21) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1)); - -FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,CLK_FSB,'0','0'); -ram/RASEL_D <= ((A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (nDinOE_OBUF.EXP) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND fsb/ASrf) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(22) AND NOT A_FSB(21) AND NOT cnt/RefDone AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT cnt/RefDone AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/BACTr AND fsb/ASrf) - OR (A_FSB(23) AND NOT cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7))); - -FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT ram/Once AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); - -FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd2_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND NOT fsb/ASrf) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(7) AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay1 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND - NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf) - OR (NOT cnt/RefDone AND nAS_FSB AND ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd1 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - NOT fsb/ASrf) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (cnt/RefDone AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(5) AND ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT cnt/RefCnt(6) AND ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/BACTr AND - NOT cnt/RefCnt(7))); - -FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,CLK_FSB,'0','0'); -ram/RS_FSM_FFd3_T <= ((A_FSB(22) AND NOT A_FSB(21) AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (A_FSB(22) AND cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) - OR (NOT A_FSB(22) AND NOT cs/nOverlay1 AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT cnt/RefDone AND NOT nAS_FSB AND ram/RS_FSM_FFd2 AND - ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND - cnt/RefCnt(7)) - OR (NOT cnt/RefDone AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND cnt/RefCnt(5) AND cnt/RefCnt(6) AND cnt/RefCnt(7) AND - fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (ram/Once AND cnt/RefDone AND NOT ram/RS_FSM_FFd2 AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(5)) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(6)) - OR (ram/Once AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT cnt/RefCnt(7))); - -Register Legend: - FDCPE (Q,D,C,CLR,PRE,CE); - FTCPE (Q,D,C,CLR,PRE,CE); - LDCP (Q,D,G,CLR,PRE); - - ****************************** Device Pin Out ***************************** - -Device : XC95144XL-10-TQ100 - - - -------------------------------------------------- - /100 98 96 94 92 90 88 86 84 82 80 78 76 \ - | 99 97 95 93 91 89 87 85 83 81 79 77 | - | 1 75 | - | 2 74 | - | 3 73 | - | 4 72 | - | 5 71 | - | 6 70 | - | 7 69 | - | 8 68 | - | 9 67 | - | 10 66 | - | 11 65 | - | 12 64 | - | 13 XC95144XL-10-TQ100 63 | - | 14 62 | - | 15 61 | - | 16 60 | - | 17 59 | - | 18 58 | - | 19 57 | - | 20 56 | - | 21 55 | - | 22 54 | - | 23 53 | - | 24 52 | - | 25 51 | - | 27 29 31 33 35 37 39 41 43 45 47 49 | - \26 28 30 32 34 36 38 40 42 44 46 48 50 / - -------------------------------------------------- - - -Pin Signal Pin Signal -No. Name No. Name - 1 KPR 51 VCC - 2 KPR 52 nUDS_FSB - 3 KPR 53 RA<6> - 4 KPR 54 nDTACK_IOB - 5 VCC 55 RA<7> - 6 nLDS_IOB 56 A_FSB<4> - 7 nUDS_IOB 57 VCC - 8 nDoutOE 58 RA<8> - 9 A_FSB<22> 59 nVPA_IOB - 10 nAS_IOB 60 RA<9> - 11 nDTACK_FSB 61 nWE_FSB - 12 A_FSB<9> 62 GND - 13 nAS_FSB 63 RA<11> - 14 nBERR_FSB 64 A_FSB<8> - 15 A_FSB<5> 65 RA<10> - 16 A_FSB<2> 66 A_FSB<23> - 17 nOE 67 nADoutLE0 - 18 nBERR_IOB 68 A_FSB<21> - 19 A_FSB<6> 69 GND - 20 A_FSB<7> 70 nAoutOE - 21 GND 71 A_FSB<20> - 22 CLK2X_IOB 72 nDinLE - 23 CLK_FSB 73 A_FSB<19> - 24 nRAS 74 nCAS - 25 nLDS_FSB 75 GND - 26 VCC 76 A_FSB<18> - 27 CLK_IOB 77 nRAMLWE - 28 KPR 78 A_FSB<3> - 29 nADoutLE1 79 nRAMUWE - 30 KPR 80 A_FSB<15> - 31 GND 81 nROMWE - 32 KPR 82 A_FSB<13> - 33 nVMA_IOB 83 TDO - 34 KPR 84 GND - 35 RA<1> 85 nVPA_FSB - 36 KPR 86 A_FSB<11> - 37 KPR 87 RA<0> - 38 VCC 88 VCC - 39 RA<3> 89 A_FSB<10> - 40 KPR 90 A_FSB<1> - 41 KPR 91 RA<2> - 42 nROMCS 92 A_FSB<12> - 43 KPR 93 A_FSB<14> - 44 GND 94 RA<4> - 45 TDI 95 A_FSB<16> - 46 KPR 96 A_FSB<17> - 47 TMS 97 nDinOE - 48 TCK 98 VCC - 49 E_IOB 99 nRES - 50 RA<5> 100 GND - - -Legend : NC = Not Connected, unbonded pin - PGND = Unused I/O configured as additional Ground pin - TIE = Unused I/O floating -- must tie to VCC, GND or other signal - KPR = Unused I/O with weak keeper (leave unconnected) - VCC = Dedicated Power Pin - GND = Dedicated Ground Pin - TDI = Test Data In, JTAG pin - TDO = Test Data Out, JTAG pin - TCK = Test Clock, JTAG pin - TMS = Test Mode Select, JTAG pin - PROHIBITED = User reserved pin - **************************** Compiler Options **************************** - -Following is a list of all global compiler options used by the fitter run. - -Device(s) Specified : xc95144xl-10-TQ100 -Optimization Method : SPEED -Multi-Level Logic Optimization : ON -Ignore Timing Specifications : OFF -Default Register Power Up Value : LOW -Keep User Location Constraints : ON -What-You-See-Is-What-You-Get : OFF -Exhaustive Fitting : OFF -Keep Unused Inputs : OFF -Slew Rate : FAST -Power Mode : STD -Ground on Unused IOs : OFF -Set I/O Pin Termination : KEEPER -Global Clock Optimization : ON -Global Set/Reset Optimization : ON -Global Ouput Enable Optimization : ON -Input Limit : 54 -Pterm Limit : 25 diff --git a/cpld/XC95144XL/MXSE.tspec b/cpld/XC95144XL/MXSE.tspec deleted file mode 100644 index fa7edb3..0000000 --- a/cpld/XC95144XL/MXSE.tspec +++ /dev/null @@ -1,393 +0,0 @@ -TS_CLK2X_IOB:FROM:IOBERR.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOBERR.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd3.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd7.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd7.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd8.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:IOACT.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK2X_IOB:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:666 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/Once.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:RefAck.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefDone.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Once.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:BERR_IOBS.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:IORW0.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:IORW0.D:400 -TS_CLK_FSB:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:400 -TS_CLK_FSB:FROM:iobs/IOL1.Q:TO:IOL0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:400 -TS_CLK_FSB:FROM:iobs/IOU1.Q:TO:IOU0.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:TimeoutB.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/TimeoutBPre.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/TimeoutBPre.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/BERR0r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/BERR1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/Ready2r.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/VPA.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:ram/RAMDIS2.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutA.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready2r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:BERR_IOBS.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR0r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/BERR1r.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:TimeoutB.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RASEL.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RS_FSM_FFd3.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<5>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<6>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<1>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<2>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<3>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<4>.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<0>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<1>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<2>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<3>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<4>.Q:TO:cnt/RefCnt<7>.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:RefAck.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:RefAck.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/IOACTr.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/Once.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:IOREQ.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMDIS1.D:400 -TS_CLK_FSB:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/Once.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefDone.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<5>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<6>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:cnt/RefCnt<7>.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:400 -TS_CLK_FSB:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK_FSB:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:400 -TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:IOACT.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArf.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/VPArr.Q:TO:nVMA_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:iobm/IOS_FSM_FFd4.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:iobm/IOS_FSM_FFd5.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:iobm/IOS_FSM_FFd6.D:666 -TS_CLK2X_IOB:FROM:nVMA_IOB_OBUF.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOREQr.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd8.Q:TO:ALE0M.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nAS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDinLE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDinLE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDoutOE_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nLDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd4.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd5.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd6.Q:TO:nUDS_IOB_OBUF.D:666 -TS_CLK2X_IOB:FROM:iobm/IOS_FSM_FFd7.Q:TO:nUDS_IOB_OBUF.D:666 diff --git a/cpld/XC95144XL/MXSE.vm6 b/cpld/XC95144XL/MXSE.vm6 deleted file mode 100644 index b8ad9c0..0000000 --- a/cpld/XC95144XL/MXSE.vm6 +++ /dev/null @@ -1,8379 +0,0 @@ -NDS Database: version P.20131013 - -NDS_INFO | xc9500xl | 95144XL100 | XC95144XL-10-TQ100 - -DEVICE | 95144XL | 95144XL100 | - -NETWORK | MXSE | 0 | 0 | 16391 - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 9483 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<8> | 9484 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 9485 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 9486 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 9487 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 9488 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 9489 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 9490 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 9491 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 9492 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 9493 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 9494 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 9495 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 9496 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 9497 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 9498 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | CLK2X_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK2X_IOB | 9499 | PI | 577 | 0 | MXSE_COPY_0_COPY_0 | CLK2X_IOB;CLK2X_IOB;CLK2X_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nVMA_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2155877376 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nVMA_IOB_OBUF.SI | nVMA_IOB_OBUF | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOB_OBUF.D1 | 9519 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOB_OBUF.D2 | 9520 | ? | 648 | 4096 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> -SPPTERM | 9 | IV_TRUE | nVMA_IOB_OBUF | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | IOACT | IV_TRUE | iobm/VPArf | IV_TRUE | iobm/VPArr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nVMA_IOB_OBUF.EXP | 10009 | ? | 615 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -SRFF_INSTANCE | nVMA_IOB_OBUF.REG | nVMA_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOB_OBUF.D | 9518 | ? | 648 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOB_OBUF.Q | 9521 | ? | 661 | 0 | nVMA_IOB_OBUF | NULL | NULL | nVMA_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_FSB | 9500 | PI | 578 | 0 | MXSE_COPY_0_COPY_0 | CLK_FSB;CLK_FSB;CLK_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV - -INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 9506 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/nOverlay1 | MXSE_COPY_0_COPY_0 | 2155873280 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cs/nOverlay1.SI | cs/nOverlay1 | 0 | 6 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay1.D1 | 9523 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay1.D2 | 9524 | ? | 590 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay1.EXP | 10024 | ? | 0 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay1.REG | cs/nOverlay1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay1.D | 9522 | ? | 590 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cs/nOverlay1.CE | 9525 | ? | 592 | 4096 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay1.Q | 9526 | ? | 645 | 0 | cs/nOverlay1 | NULL | NULL | cs/nOverlay1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | MXSE_COPY_0_COPY_0 | 2155877376 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 9528 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 9529 | ? | 593 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_7_OBUF.EXP -SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/Once.EXP | 10059 | ? | 619 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 9527 | ? | 593 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 9530 | ? | 645 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/RefDone | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefDone.SI | cnt/RefDone | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefDone.D1 | 9532 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefDone.D2 | 9533 | ? | 594 | 4096 | cnt/RefDone | NULL | NULL | cnt/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | cnt/RefDone | IV_FALSE | RefAck -SPPTERM | 8 | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | cnt/RefDone.REG | cnt/RefDone | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefDone.D | 9531 | ? | 594 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefDone.Q | 9534 | ? | 645 | 0 | cnt/RefDone | NULL | NULL | cnt/RefDone.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 9502 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Once | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Once.SI | iobs/Once | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Once.D1 | 9536 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Once.D2 | 9537 | ? | 595 | 4096 | iobs/Once | NULL | NULL | iobs/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP28_.EXP -SPPTERM | 1 | IV_TRUE | EXP29_.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Once -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Once.REG | iobs/Once | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Once.D | 9535 | ? | 595 | 0 | iobs/Once | NULL | NULL | iobs/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Once.Q | 9538 | ? | 640 | 0 | iobs/Once | NULL | NULL | iobs/Once.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | BERR_IOBS | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | BERR_IOBS.SI | BERR_IOBS | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | BERR_IOBS.D1 | 9540 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | BERR_IOBS.D2 | 9541 | ? | 596 | 4096 | BERR_IOBS | NULL | NULL | BERR_IOBS.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | BERR_IOBS | IV_FALSE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | BERR_IOBS | IV_TRUE | IOBERR | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | BERR_IOBS.REG | BERR_IOBS | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | BERR_IOBS.D | 9539 | ? | 596 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | BERR_IOBS.Q | 9542 | ? | 620 | 0 | BERR_IOBS | NULL | NULL | BERR_IOBS.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 9501 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | CLK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | CLK_IOB | 9507 | PI | 579 | 0 | MXSE_COPY_0_COPY_0 | CLK_IOB;CLK_IOB;CLK_IOB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IOBERR | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 17 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 9544 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 9545 | ? | 650 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP33_.EXP -SPPTERM | 5 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 5 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IOBERR.EXP | 10051 | ? | 665 | 0 | IOBERR | NULL | NULL | IOBERR.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 9543 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 9546 | ? | 650 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | IORW0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IORW0.SI | IORW0 | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORW0.D1 | 9548 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORW0.D2 | 9549 | ? | 597 | 4096 | IORW0 | NULL | NULL | IORW0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP23_.EXP -SPPTERM | 1 | IV_TRUE | iobs/IOACTr.EXP -SPPTERM | 5 | IV_TRUE | IORW0 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 5 | IV_FALSE | IORW0 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | IORW0.REG | IORW0 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORW0.D | 9547 | ? | 597 | 0 | IORW0 | NULL | NULL | IORW0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORW0.Q | 9550 | ? | 682 | 0 | IORW0 | NULL | NULL | IORW0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nRES_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 2 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 9503 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 9 | 5 | II_FSRINV -NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay0 | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cs/nOverlay0.SI | cs/nOverlay0 | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0 | 9332 | ? | 598 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.Q | cs/nOverlay0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay0.D1 | 9552 | ? | 598 | 4096 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay0.D2 | 9553 | ? | 598 | 6144 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay0 | IV_TRUE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cs/nOverlay0.EXP | 10056 | ? | 624 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cs/nOverlay0.REG | cs/nOverlay0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay0.D | 9551 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 3 | 8 | SRFF_R -NODE | FSR-IO_5 | 9331 | ? | 599 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 9 | 5 | II_FSRINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay0.Q | 9554 | ? | 598 | 0 | cs/nOverlay0 | NULL | NULL | cs/nOverlay0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 9504 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOL0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 9556 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 9557 | ? | 600 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOL1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 9555 | ? | 600 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOL0.CE | 9558 | ? | 601 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 9559 | ? | 681 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 9505 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped | IOU0 | MXSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 5 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 9561 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 9562 | ? | 602 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | ALE1 -SPPTERM | 2 | IV_TRUE | iobs/IOU1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 9560 | ? | 602 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | IOU0.CE | 9563 | ? | 603 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 9564 | ? | 682 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutA | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | TimeoutA.SI | TimeoutA | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutA.D1 | 9566 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutA.D2 | 9567 | ? | 604 | 4096 | TimeoutA | NULL | NULL | TimeoutA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutA | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> -SPPTERM | 9 | IV_FALSE | TimeoutA | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutA.REG | TimeoutA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutA.D | 9565 | ? | 604 | 0 | TimeoutA | NULL | NULL | TimeoutA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutA.Q | 9568 | ? | 620 | 0 | TimeoutA | NULL | NULL | TimeoutA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | TimeoutB | MXSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | TimeoutB.SI | TimeoutB | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | TimeoutB.D1 | 9570 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | TimeoutB.D2 | 9571 | ? | 605 | 4096 | TimeoutB | NULL | NULL | TimeoutB.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | TimeoutB | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 11 | IV_FALSE | TimeoutB | IV_TRUE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | TimeoutB.REG | TimeoutB | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | TimeoutB.D | 9569 | ? | 605 | 0 | TimeoutB | NULL | NULL | TimeoutB.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | TimeoutB.Q | 9572 | ? | 620 | 0 | TimeoutB | NULL | NULL | TimeoutB.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/TimeoutBPre | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/TimeoutBPre.SI | cnt/TimeoutBPre | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimeoutBPre | 9337 | ? | 606 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/TimeoutBPre.Q | cnt/TimeoutBPre | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimeoutBPre.D1 | 9574 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimeoutBPre.D2 | 9575 | ? | 606 | 4096 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/TimeoutBPre | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> -SPPTERM | 10 | IV_FALSE | cnt/TimeoutBPre | IV_FALSE | cnt/RefCnt<0> | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | cnt/RefCnt<1> | IV_FALSE | cnt/RefCnt<2> | IV_FALSE | cnt/RefCnt<3> | IV_FALSE | cnt/RefCnt<4> | IV_FALSE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/TimeoutBPre.REG | cnt/TimeoutBPre | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimeoutBPre.D | 9573 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimeoutBPre.Q | 9576 | ? | 606 | 0 | cnt/TimeoutBPre | NULL | NULL | cnt/TimeoutBPre.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR0r | MXSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | fsb/BERR0r.SI | fsb/BERR0r | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR0r.D1 | 9578 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR0r.D2 | 9579 | ? | 607 | 4096 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/BERR0r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | fsb/BERR0r.EXP | 10033 | ? | 0 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | fsb/BERR0r.REG | fsb/BERR0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR0r.D | 9577 | ? | 607 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR0r.Q | 9580 | ? | 620 | 0 | fsb/BERR0r | NULL | NULL | fsb/BERR0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/BERR1r | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/BERR1r.SI | fsb/BERR1r | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/BERR1r.D1 | 9582 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/BERR1r.D2 | 9583 | ? | 608 | 4096 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR1r -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | fsb/BERR1r.REG | fsb/BERR1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/BERR1r.D | 9581 | ? | 608 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/BERR1r.Q | 9584 | ? | 620 | 0 | fsb/BERR1r | NULL | NULL | fsb/BERR1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready0r | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready0r.SI | fsb/Ready0r | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready0r.D1 | 9586 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready0r.D2 | 9587 | ? | 609 | 4096 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_FALSE | ram/RAMReady - -SRFF_INSTANCE | fsb/Ready0r.REG | fsb/Ready0r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready0r.D | 9585 | ? | 609 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready0r.Q | 9588 | ? | 620 | 0 | fsb/Ready0r | NULL | NULL | fsb/Ready0r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready1r | MXSE_COPY_0_COPY_0 | 2155873536 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready1r.SI | fsb/Ready1r | 0 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready1r.D1 | 9590 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready1r.D2 | 9591 | ? | 610 | 4096 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 5 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady - -SRFF_INSTANCE | fsb/Ready1r.REG | fsb/Ready1r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready1r.D | 9589 | ? | 610 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready1r.Q | 9592 | ? | 620 | 0 | fsb/Ready1r | NULL | NULL | fsb/Ready1r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | fsb/Ready2r | MXSE_COPY_0_COPY_0 | 2155873536 | 22 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/Ready2r.SI | fsb/Ready2r | 0 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/Ready2r.D1 | 9594 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/Ready2r.D2 | 9595 | ? | 611 | 4096 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | fsb/Ready2r.REG | fsb/Ready2r | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/Ready2r.D | 9593 | ? | 611 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/Ready2r.Q | 9596 | ? | 620 | 0 | fsb/Ready2r | NULL | NULL | fsb/Ready2r.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | fsb/VPA | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA.SI | fsb/VPA | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA.D1 | 9598 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA.D2 | 9599 | ? | 612 | 4096 | fsb/VPA | NULL | NULL | fsb/VPA.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP19_.EXP -SPPTERM | 1 | IV_TRUE | EXP20_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | $OpTx$FX_DC$602.UIM - -SRFF_INSTANCE | fsb/VPA.REG | fsb/VPA | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA.D | 9597 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA.Q | 9600 | ? | 612 | 0 | fsb/VPA | NULL | NULL | fsb/VPA.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 9345 | ? | 600 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 9602 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 9603 | ? | 613 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 9601 | ? | 613 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 9604 | ? | 614 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 9605 | ? | 600 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobs/IORW1 | MXSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 9607 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 9608 | ? | 615 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP25_.EXP -SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/IORW1 - -SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 9606 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 9609 | ? | 615 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IOReady | MXSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOReady.SI | iobs/IOReady | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOReady.D1 | 9611 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOReady.D2 | 9612 | ? | 616 | 4096 | iobs/IOReady | NULL | NULL | iobs/IOReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/IOReady | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | iobs/Once | IV_TRUE | IOBERR | IV_TRUE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | ALE1 -SPPTERM | 7 | IV_TRUE | iobs/Once | IV_FALSE | IOBERR | IV_FALSE | iobs/IOReady | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/IOReady.REG | iobs/IOReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOReady.D | 9610 | ? | 616 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOReady.Q | 9613 | ? | 620 | 0 | iobs/IOReady | NULL | NULL | iobs/IOReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 9349 | ? | 602 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 9615 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 9616 | ? | 617 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 - -SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 9614 | ? | 617 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 9617 | ? | 618 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE -SPPTERM | 1 | IV_TRUE | iobs/Load1 -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 9618 | ? | 602 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RAMDIS2 | MXSE_COPY_0_COPY_0 | 2155877376 | 18 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/RAMDIS2.SI | ram/RAMDIS2 | 0 | 17 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once.EXP | 10070 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS2.D1 | 9620 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS2.D2 | 9621 | ? | 619 | 4096 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/Once.EXP -SPPTERM | 3 | IV_TRUE | ram/RAMDIS2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 10 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf -SPPTERM | 13 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RAMDIS2.EXP | 10060 | ? | 0 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | ram/RASEL - -SRFF_INSTANCE | ram/RAMDIS2.REG | ram/RAMDIS2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS2.D | 9619 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS2.Q | 9622 | ? | 619 | 0 | ram/RAMDIS2 | NULL | NULL | ram/RAMDIS2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9624 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9625 | ? | 620 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP14_.EXP -SPPTERM | 1 | IV_TRUE | EXP15_.EXP -SPPTERM | 2 | IV_TRUE | BERR_IOBS | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR0r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | fsb/BERR1r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | $OpTx$FX_DC$602.UIM - -SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 9623 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 9626 | ? | 620 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | MXSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RASEL.D1 | 9628 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RASEL.D2 | 9629 | ? | 621 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_0_OBUF.EXP -SPPTERM | 1 | IV_TRUE | EXP32_.EXP -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RASEL.D | 9627 | ? | 621 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RASEL.Q | 9630 | ? | 646 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155877632 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9632 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9633 | ? | 622 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_8_OBUF.EXP -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<7>.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_TRUE | ram/BACTr -SPPTERM | 4 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | ram/BACTr | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 9631 | ? | 622 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 9634 | ? | 645 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9636 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9637 | ? | 623 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 9635 | ? | 623 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 9638 | ? | 645 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | ram/RS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155877632 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay0.EXP | 10067 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay0.EXP | cs/nOverlay0 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9640 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9641 | ? | 624 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay0.EXP -SPPTERM | 1 | IV_TRUE | RA_6_OBUF.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_TRUE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> -SPPTERM | 5 | IV_TRUE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> - -SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 9639 | ? | 624 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 9642 | ? | 645 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/PS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd2.SI | iobs/PS_FSM_FFd2 | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D1 | 9644 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd2.D2 | 9645 | ? | 625 | 4096 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ALE0S.EXP -SPPTERM | 1 | IV_TRUE | EXP24_.EXP -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | iobs/IOACTr -SPPTERM | 4 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/PS_FSM_FFd2.REG | iobs/PS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd2.D | 9643 | ? | 625 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd2.Q | 9646 | ? | 643 | 0 | iobs/PS_FSM_FFd2 | NULL | NULL | iobs/PS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | iobm/ES<0> | MXSE_COPY_0_COPY_0 | 2155877632 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 9648 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 9649 | ? | 651 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_TRUE | iobm/Er -SPPTERM | 6 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/ES<4> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 9647 | ? | 651 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 9650 | ? | 661 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<1> | MXSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 9652 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 9653 | ? | 652 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 9651 | ? | 652 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 9654 | ? | 661 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9656 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9657 | ? | 653 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 -SPPTERM | 3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 4 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 9655 | ? | 653 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 9658 | ? | 682 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobm/ES<2> | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 9660 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 9661 | ? | 654 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> -SPPTERM | 2 | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> -SPPTERM | 3 | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 9659 | ? | 654 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 9662 | ? | 661 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/PS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/PS_FSM_FFd1.SI | iobs/PS_FSM_FFd1 | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D1 | 9664 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/PS_FSM_FFd1.D2 | 9665 | ? | 626 | 4096 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nADoutLE1_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/PS_FSM_FFd1.EXP | 10007 | ? | 640 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/PS_FSM_FFd1.REG | iobs/PS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/PS_FSM_FFd1.D | 9663 | ? | 626 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/PS_FSM_FFd1.Q | 9666 | ? | 643 | 0 | iobs/PS_FSM_FFd1 | NULL | NULL | iobs/PS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 9668 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 9669 | ? | 655 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/Er -SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/Er2 - -SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 9667 | ? | 655 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 9670 | ? | 661 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/ES<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/ES<4>.SI | iobm/ES<4> | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<4>.D1 | 9672 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<4>.D2 | 9673 | ? | 656 | 4096 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/ES<4> | IV_FALSE | iobm/Er | IV_TRUE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_TRUE | iobm/Er -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<3> | IV_FALSE | iobm/Er2 -SPPTERM | 5 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> - -SRFF_INSTANCE | iobm/ES<4>.REG | iobm/ES<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<4>.D | 9671 | ? | 656 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<4>.Q | 9674 | ? | 661 | 0 | iobm/ES<4> | NULL | NULL | iobm/ES<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9676 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9677 | ? | 657 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 9675 | ? | 657 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 9678 | ? | 682 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9680 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9681 | ? | 658 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 9679 | ? | 658 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 9682 | ? | 682 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9684 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9685 | ? | 659 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 9683 | ? | 659 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 9686 | ? | 682 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd7 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9688 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9689 | ? | 660 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_TRUE | iobm/IOS_FSM_FFd8 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 9687 | ? | 660 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 9690 | ? | 682 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<0> | MXSE_COPY_0_COPY_0 | 2155877376 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<0>.SI | cnt/RefCnt<0> | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<0>.D1 | 9692 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<0>.D2 | 9693 | ? | 627 | 4096 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_DC - -SRFF_INSTANCE | cnt/RefCnt<0>.REG | cnt/RefCnt<0> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<0>.D | 9691 | ? | 627 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<0>.Q | 9694 | ? | 637 | 0 | cnt/RefCnt<0> | NULL | NULL | cnt/RefCnt<0>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<5> | MXSE_COPY_0_COPY_0 | 2155877376 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/RefCnt<5>.SI | cnt/RefCnt<5> | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<5>.D1 | 9696 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<5>.D2 | 9697 | ? | 628 | 4096 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<5>.EXP | 10055 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | cnt/RefDone | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | cnt/RefCnt<5>.REG | cnt/RefCnt<5> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<5>.D | 9695 | ? | 628 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<5>.Q | 9698 | ? | 645 | 0 | cnt/RefCnt<5> | NULL | NULL | cnt/RefCnt<5>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<6> | MXSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/RefCnt<6>.SI | cnt/RefCnt<6> | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<6>.D1 | 9700 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<6>.D2 | 9701 | ? | 629 | 4096 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_9_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<6>.EXP | 10064 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | cnt/RefCnt<6>.REG | cnt/RefCnt<6> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<6>.D | 9699 | ? | 629 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<6>.Q | 9702 | ? | 645 | 0 | cnt/RefCnt<6> | NULL | NULL | cnt/RefCnt<6>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | MXSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IOACTr.EXP | 10014 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.EXP | iobs/IOACTr | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 9704 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 9705 | ? | 630 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOACT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IOACTr.EXP | 10003 | ? | 597 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 15 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 9703 | ? | 630 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 9706 | ? | 640 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<1> | MXSE_COPY_0_COPY_0 | 2155877376 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<1>.SI | cnt/RefCnt<1> | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<1>.D1 | 9708 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<1>.D2 | 9709 | ? | 631 | 4096 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<0> - -SRFF_INSTANCE | cnt/RefCnt<1>.REG | cnt/RefCnt<1> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<1>.D | 9707 | ? | 631 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<1>.Q | 9710 | ? | 637 | 0 | cnt/RefCnt<1> | NULL | NULL | cnt/RefCnt<1>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<2> | MXSE_COPY_0_COPY_0 | 2155877376 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<2>.SI | cnt/RefCnt<2> | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<2>.D1 | 9712 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<2>.D2 | 9713 | ? | 632 | 4096 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> - -SRFF_INSTANCE | cnt/RefCnt<2>.REG | cnt/RefCnt<2> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<2>.D | 9711 | ? | 632 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<2>.Q | 9714 | ? | 637 | 0 | cnt/RefCnt<2> | NULL | NULL | cnt/RefCnt<2>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<3> | MXSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<3>.SI | cnt/RefCnt<3> | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<3>.D1 | 9716 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<3>.D2 | 9717 | ? | 633 | 4096 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> - -SRFF_INSTANCE | cnt/RefCnt<3>.REG | cnt/RefCnt<3> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<3>.D | 9715 | ? | 633 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<3>.Q | 9718 | ? | 637 | 0 | cnt/RefCnt<3> | NULL | NULL | cnt/RefCnt<3>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<4> | MXSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/RefCnt<4>.SI | cnt/RefCnt<4> | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<4>.D1 | 9720 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<4>.D2 | 9721 | ? | 634 | 4096 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> - -SRFF_INSTANCE | cnt/RefCnt<4>.REG | cnt/RefCnt<4> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<4>.D | 9719 | ? | 634 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<4>.Q | 9722 | ? | 637 | 0 | cnt/RefCnt<4> | NULL | NULL | cnt/RefCnt<4>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/ETACK | MXSE_COPY_0_COPY_0 | 2155873280 | 17 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | iobm/ETACK.SI | iobm/ETACK | 0 | 16 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF | 9318 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 9359 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 9360 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 9362 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 9364 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<4> | 9365 | ? | 661 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ES<4>.Q | iobm/ES<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ETACK.D1 | 9724 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ETACK.D2 | 9725 | ? | 661 | 4096 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | nVMA_IOB_OBUF | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<3> | IV_TRUE | iobm/ES<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/ETACK.EXP | 10008 | ? | 640 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 - -SRFF_INSTANCE | iobm/ETACK.REG | iobm/ETACK | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ETACK.D | 9723 | ? | 661 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ETACK.Q | 9726 | ? | 667 | 0 | iobm/ETACK | NULL | NULL | iobm/ETACK.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/IOREQr | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOREQr.SI | iobm/IOREQr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOREQr.D1 | 9728 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOREQr.D2 | 9729 | ? | 662 | 4096 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IOREQ - -SRFF_INSTANCE | iobm/IOREQr.REG | iobm/IOREQr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOREQr.D | 9727 | ? | 662 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOREQr.Q | 9730 | ? | 670 | 0 | iobm/IOREQr | NULL | NULL | iobm/IOREQr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd8 | MXSE_COPY_0_COPY_0 | 2155873024 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd8.SI | iobm/IOS_FSM_FFd8 | 0 | 4 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D1 | 9732 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd8.D2 | 9733 | ? | 664 | 4096 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_FALSE | iobm/IOS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | CLK_IOB_IBUF | IV_TRUE | iobm/IOREQr | IV_FALSE | iobm/IOS_FSM_FFd1 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd8.REG | iobm/IOS_FSM_FFd8 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd8.D | 9731 | ? | 664 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd8.Q | 9734 | ? | 670 | 0 | iobm/IOS_FSM_FFd8 | NULL | NULL | iobm/IOS_FSM_FFd8.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Load1 | MXSE_COPY_0_COPY_0 | 2155873536 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 9736 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 9737 | ? | 635 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Once -SPPTERM | 1 | IV_FALSE | ALE1 -SPPTERM | 1 | IV_TRUE | EXP26_.EXP -SPPTERM | 1 | IV_TRUE | EXP27_.EXP -SPPTERM | 2 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 - -SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 9735 | ? | 635 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 9738 | ? | 647 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | MXSE_COPY_0_COPY_0 | 2155873536 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/BACTr.EXP | 10022 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 8 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 9740 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 9741 | ? | 636 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/BACTr.EXP | 10011 | ? | 615 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF - -SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 9739 | ? | 636 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 9742 | ? | 645 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOACT | MXSE_COPY_0_COPY_0 | 2155873536 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 9385 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR.EXP | 10054 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.EXP | IOBERR | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 9744 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 9745 | ? | 665 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RA_1_OBUF.EXP -SPPTERM | 1 | IV_TRUE | IOBERR.EXP -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 -SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOREQr -SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/ETACK | IV_FALSE | iobm/IOS_FSM_FFd8 -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr - -SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 9743 | ? | 665 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 9746 | ? | 648 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/RefCnt<7> | MXSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/RefCnt<7>.EXP | 10073 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.EXP | cnt/RefCnt<7> | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/RefCnt<7>.SI | cnt/RefCnt<7> | 0 | 18 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/RefCnt<7>.D1 | 9748 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/RefCnt<7>.D2 | 9749 | ? | 637 | 4096 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/RefCnt<7>.EXP | 10062 | ? | 622 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | cnt/RefCnt<7>.REG | cnt/RefCnt<7> | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/RefCnt<7>.D | 9747 | ? | 637 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/RefCnt<7>.Q | 9750 | ? | 645 | 0 | cnt/RefCnt<7> | NULL | NULL | cnt/RefCnt<7>.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | E_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E_IOB | 9508 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IOB_IBUF | 9387 | ? | 587 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | E_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 9752 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 9753 | ? | 587 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | E_IOB_IBUF - -SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 9751 | ? | 587 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_4 | 9370 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 9754 | ? | 666 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | RefAck | MXSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | RefAck.SI | RefAck | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefAck.D1 | 9756 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefAck.D2 | 9757 | ? | 638 | 4096 | RefAck | NULL | NULL | RefAck.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | RefAck.REG | RefAck | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefAck.D | 9755 | ? | 638 | 0 | RefAck | NULL | NULL | RefAck.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefAck.Q | 9758 | ? | 594 | 0 | RefAck | NULL | NULL | RefAck.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/Er2 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er2 | 9390 | ? | 656 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er2.Q | iobm/Er2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/Er2.SI | iobm/Er2 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 9388 | ? | 666 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er2.D1 | 9760 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er2.D2 | 9761 | ? | 666 | 4096 | iobm/Er2 | NULL | NULL | iobm/Er2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/Er - -SRFF_INSTANCE | iobm/Er2.REG | iobm/Er2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er2.D | 9759 | ? | 666 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er2.Q | 9762 | ? | 656 | 0 | iobm/Er2 | NULL | NULL | iobm/Er2.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK | 9380 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.Q | iobm/ETACK | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9764 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9765 | ? | 667 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/ETACK -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 9763 | ? | 667 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 9766 | ? | 680 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -TNAME | CLK_IOB | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 9509 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPArf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArf | 9393 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArf.Q | iobm/VPArf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArf.SI | iobm/VPArf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArf.D1 | 9768 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArf.D2 | 9769 | ? | 668 | 4096 | iobm/VPArf | NULL | NULL | iobm/VPArf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArf.REG | iobm/VPArf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArf.D | 9767 | ? | 668 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArf.Q | 9770 | ? | 648 | 0 | iobm/VPArf | NULL | NULL | iobm/VPArf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/VPArr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPArr | 9394 | ? | 648 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/VPArr.Q | iobm/VPArr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/VPArr.SI | iobm/VPArr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 9392 | ? | 669 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPArr.D1 | 9772 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPArr.D2 | 9773 | ? | 669 | 4096 | iobm/VPArr | NULL | NULL | iobm/VPArr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF - -SRFF_INSTANCE | iobm/VPArr.REG | iobm/VPArr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPArr.D | 9771 | ? | 669 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPArr.Q | 9774 | ? | 648 | 0 | iobm/VPArr | NULL | NULL | iobm/VPArr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | MXSE_COPY_0_COPY_0 | 2155873536 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOREQr | 9381 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOREQr.Q | iobm/IOREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 9776 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 9777 | ? | 670 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOREQr -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 - -SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 9775 | ? | 670 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 9778 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | MXSE_COPY_0_COPY_0 | 2155873280 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ALE0S.EXP | 10015 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.EXP | ALE0S | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 9780 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 9781 | ? | 639 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ALE0S.EXP | 10004 | ? | 625 | 0 | ALE0S | NULL | NULL | ALE0S.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 9779 | ? | 639 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 9782 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOREQ | MXSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOREQ | 9397 | ? | 662 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOREQ.Q | IOREQ | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | IOREQ.SI | IOREQ | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1.EXP | 10018 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.EXP | iobs/PS_FSM_FFd1 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ETACK.EXP | 10019 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/ETACK.EXP | iobm/ETACK | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOREQ.D1 | 9784 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOREQ.D2 | 9785 | ? | 640 | 4096 | IOREQ | NULL | NULL | IOREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd1.EXP -SPPTERM | 1 | IV_TRUE | iobm/ETACK.EXP -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 3 | IV_TRUE | iobs/Once | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 - -SRFF_INSTANCE | IOREQ.REG | IOREQ | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOREQ.D | 9783 | ? | 640 | 0 | IOREQ | NULL | NULL | IOREQ.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOREQ.Q | 9786 | ? | 662 | 0 | IOREQ | NULL | NULL | IOREQ.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 9788 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 9789 | ? | 641 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 9787 | ? | 641 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 9790 | ? | 645 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/BERRrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrf.SI | iobm/BERRrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrf.D1 | 9792 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrf.D2 | 9793 | ? | 671 | 4096 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrf.REG | iobm/BERRrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrf.D | 9791 | ? | 671 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrf.Q | 9794 | ? | 667 | 0 | iobm/BERRrf | NULL | NULL | iobm/BERRrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/BERRrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/BERRrr.SI | iobm/BERRrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/BERRrr.D1 | 9796 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/BERRrr.D2 | 9797 | ? | 672 | 4096 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF - -SRFF_INSTANCE | iobm/BERRrr.REG | iobm/BERRrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/BERRrr.D | 9795 | ? | 672 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/BERRrr.Q | 9798 | ? | 667 | 0 | iobm/BERRrr | NULL | NULL | iobm/BERRrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 9510 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/DTACKrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrf.SI | iobm/DTACKrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrf.D1 | 9800 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrf.D2 | 9801 | ? | 673 | 4096 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrf.REG | iobm/DTACKrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrf.D | 9799 | ? | 673 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrf.Q | 9802 | ? | 667 | 0 | iobm/DTACKrf | NULL | NULL | iobm/DTACKrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/DTACKrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/DTACKrr.SI | iobm/DTACKrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 9401 | ? | 674 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DTACKrr.D1 | 9804 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DTACKrr.D2 | 9805 | ? | 674 | 4096 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF - -SRFF_INSTANCE | iobm/DTACKrr.REG | iobm/DTACKrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DTACKrr.D | 9803 | ? | 674 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DTACKrr.Q | 9806 | ? | 667 | 0 | iobm/DTACKrr | NULL | NULL | iobm/DTACKrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 9404 | ? | 664 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9808 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9809 | ? | 675 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 9807 | ? | 675 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 9810 | ? | 664 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/RESrf | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrf.SI | iobm/RESrf | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrf.D1 | 9812 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrf.D2 | 9813 | ? | 676 | 4096 | iobm/RESrf | NULL | NULL | iobm/RESrf.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrf.REG | iobm/RESrf | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrf.D | 9811 | ? | 676 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrf.Q | 9814 | ? | 667 | 0 | iobm/RESrf | NULL | NULL | iobm/RESrf.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/RESrr | MXSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobm/RESrr.SI | iobm/RESrr | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRES_IBUF | 9330 | ? | 677 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRES_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/RESrr.D1 | 9816 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/RESrr.D2 | 9817 | ? | 677 | 4096 | iobm/RESrr | NULL | NULL | iobm/RESrr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | nRES_IBUF - -SRFF_INSTANCE | iobm/RESrr.REG | iobm/RESrr | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/RESrr.D | 9815 | ? | 677 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/RESrr.Q | 9818 | ? | 667 | 0 | iobm/RESrr | NULL | NULL | iobm/RESrr.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | MXSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 9820 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 9821 | ? | 643 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 - -SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 9819 | ? | 643 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 9822 | ? | 647 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RAMDIS1 | MXSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMDIS1.SI | ram/RAMDIS1 | 0 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMDIS1.D1 | 9824 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMDIS1.D2 | 9825 | ? | 644 | 4096 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP30_.EXP -SPPTERM | 1 | IV_TRUE | EXP31_.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | ram/RAMDIS1.REG | ram/RAMDIS1 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMDIS1.D | 9823 | ? | 644 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMDIS1.Q | 9826 | ? | 0 | 0 | ram/RAMDIS1 | NULL | NULL | ram/RAMDIS1.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMReady | MXSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMReady.SI | ram/RAMReady | 0 | 12 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6>.EXP | 10075 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.EXP | cnt/RefCnt<6> | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMReady.D1 | 9828 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMReady.D2 | 9829 | ? | 645 | 4096 | ram/RAMReady | NULL | NULL | ram/RAMReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<6>.EXP -SPPTERM | 1 | IV_TRUE | EXP34_.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RAMReady.REG | ram/RAMReady | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMReady.D | 9827 | ? | 645 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMReady.Q | 9830 | ? | 620 | 0 | ram/RAMReady | NULL | NULL | ram/RAMReady.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<1> | 9511 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_1_IBUF | 9410 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<2> | 9512 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<3> | 9513 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<4> | 9514 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<5> | 9515 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<6> | 9516 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | MXSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<7> | 9517 | PI | 0 | 0 | MXSE_COPY_0_COPY_0 | A_FSB | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nAS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nAS_IOB_OBUF.SI | nAS_IOB_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOB_OBUF.D1 | 9832 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOB_OBUF.D2 | 9833 | ? | 678 | 4096 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | nAS_IOB_OBUF.REG | nAS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOB_OBUF.D | 9831 | ? | 678 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOB_OBUF.Q | 9834 | ? | 0 | 0 | nAS_IOB_OBUF | NULL | NULL | nAS_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 9836 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 9837 | ? | 646 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | ram/RASEL - -SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 9835 | ? | 646 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_1 | 9320 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 9838 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | MXSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 9840 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 9841 | ? | 679 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 - -SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 9839 | ? | 679 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 9842 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped | nDoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155873280 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 9391 | ? | 680 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 9844 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 9845 | ? | 680 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IORW0 -SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd2 - -SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 9843 | ? | 680 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_2 | 9315 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 9846 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nLDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nLDS_IOB_OBUF.SI | nLDS_IOB_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 9333 | ? | 681 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOB_OBUF.D1 | 9848 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOB_OBUF.D2 | 9849 | ? | 681 | 4096 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IOL0 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | nLDS_IOB_OBUF.REG | nLDS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOB_OBUF.D | 9847 | ? | 681 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOB_OBUF.Q | 9850 | ? | 0 | 0 | nLDS_IOB_OBUF | NULL | NULL | nLDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nUDS_IOB_OBUF | MXSE_COPY_0_COPY_0 | 2424308736 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nUDS_IOB_OBUF.SI | nUDS_IOB_OBUF | 0 | 7 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 9334 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOB_OBUF.D1 | 9852 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOB_OBUF.D2 | 9853 | ? | 682 | 4096 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | IOU0 -SPPTERM | 4 | IV_TRUE | IORW0 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IOS_FSM_FFd7 - -SRFF_INSTANCE | nUDS_IOB_OBUF.REG | nUDS_IOB_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOB_OBUF.D | 9851 | ? | 682 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK-IO_3 | 9316 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK2X_IOB_IBUF | 7 | 5 | II_FCLKINV -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOB_OBUF.Q | 9854 | ? | 0 | 0 | nUDS_IOB_OBUF | NULL | NULL | nUDS_IOB_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK2X_IOB - -MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_0_OBUF.EXP | 10036 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.EXP | RA_0_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1.EXP | 10037 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.EXP | cs/nOverlay1 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_0_OBUF.D1 | 9856 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_0_OBUF.D2 | 9857 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cs/nOverlay1.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_0_OBUF.EXP | 10023 | ? | 621 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_0_OBUF.D | 9855 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_0_OBUF.Q | 9858 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 15 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_1_OBUF.EXP | 10052 | ? | 665 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.EXP | RA_1_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_2_IBUF | 9411 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 9366 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 9367 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 9368 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 9371 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd8 | 9382 | ? | 670 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd8.Q | iobm/IOS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_1_OBUF.D1 | 9860 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_1_OBUF.D2 | 9861 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_11_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_1_OBUF.EXP | 10049 | ? | 665 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 8 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | CLK_IOB_IBUF | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd8 | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_1_OBUF.D | 9859 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_1_OBUF.Q | 9862 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 10 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 10 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_3_IBUF | 9412 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_2_OBUF.D1 | 9864 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_2_OBUF.D2 | 9865 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_12_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_2_OBUF.EXP | 10027 | ? | 595 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once - -SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_2_OBUF.D | 9863 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_2_OBUF.Q | 9866 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_3_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_3_OBUF.SI | RA_3_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_4_IBUF | 9413 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_3_OBUF.D1 | 9868 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_3_OBUF.D2 | 9869 | ? | 0 | 4096 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF - -SRFF_INSTANCE | RA_3_OBUF.REG | RA_3_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_3_OBUF.D | 9867 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_3_OBUF.Q | 9870 | ? | 0 | 0 | RA_3_OBUF | NULL | NULL | RA_3_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 11 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_5_IBUF | 9414 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_4_OBUF.D1 | 9872 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_4_OBUF.D2 | 9873 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_4_OBUF.EXP | 10030 | ? | 644 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_4_OBUF.D | 9871 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_4_OBUF.Q | 9874 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_6_IBUF | 9415 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_5_OBUF.D1 | 9876 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_5_OBUF.D2 | 9877 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF - -SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_5_OBUF.D | 9875 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_5_OBUF.Q | 9878 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_6_OBUF.EXP | 10068 | ? | 624 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.EXP | RA_6_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_7_IBUF | 9416 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_6_OBUF.D1 | 9880 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_6_OBUF.D2 | 9881 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL -SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_6_OBUF.EXP | 10057 | ? | 624 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_6_OBUF.D | 9879 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_6_OBUF.Q | 9882 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_7_OBUF.EXP | 10069 | ? | 593 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.EXP | RA_7_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_7_OBUF.D1 | 9884 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_7_OBUF.D2 | 9885 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_7_OBUF.EXP | 10058 | ? | 593 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 9 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_TRUE | fsb/ASrf - -SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_7_OBUF.D | 9883 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_7_OBUF.Q | 9886 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_8_OBUF.EXP | 10072 | ? | 622 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.EXP | RA_8_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 13 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2.EXP | 10071 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.EXP | ram/RAMDIS2 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_8_OBUF.D1 | 9888 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_8_OBUF.D2 | 9889 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RAMDIS2.EXP -SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_8_OBUF.EXP | 10061 | ? | 622 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<5> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<6> | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/RS_FSM_FFd3 - -SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_8_OBUF.D | 9887 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_8_OBUF.Q | 9890 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 9 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | RA_9_OBUF.EXP | 10074 | ? | 629 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.EXP | RA_9_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 9 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RASEL | 9354 | ? | 646 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<0> | 9372 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<0>.Q | cnt/RefCnt<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<1> | 9376 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<1>.Q | cnt/RefCnt<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<2> | 9377 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<2>.Q | cnt/RefCnt<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<3> | 9378 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<3>.Q | cnt/RefCnt<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<4> | 9379 | ? | 637 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<4>.Q | cnt/RefCnt<4> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RA_9_OBUF.D1 | 9892 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RA_9_OBUF.D2 | 9893 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL -SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | RA_9_OBUF.EXP | 10063 | ? | 629 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | cnt/RefCnt<0> | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<1> | IV_TRUE | cnt/RefCnt<2> | IV_TRUE | cnt/RefCnt<3> | IV_TRUE | cnt/RefCnt<4> - -SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RA_9_OBUF.D | 9891 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RA_9_OBUF.Q | 9894 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nBERR_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 20 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nBERR_FSB_OBUF.EXP | 9993 | ? | 610 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 20 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9896 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9897 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -SPPTERM | 4 | IV_FALSE | BERR_IOBS | IV_FALSE | TimeoutB | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 9981 | ? | 610 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 13 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 -SPPTERM | 13 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_FALSE | ALE1 - -SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 9895 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 9898 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nOE_OBUF.EXP | 9995 | ? | 611 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 21 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 9900 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 9901 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nOE_OBUF.EXP | 9983 | ? | 611 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 9899 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 9902 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 9904 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 9905 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 9903 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 9906 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nVPA_FSB_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9908 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9909 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF - -SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 9907 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 9910 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 9395 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 9396 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9912 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9913 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S - -SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 9911 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 9914 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | nDinOE_OBUF | MXSE_COPY_0_COPY_0 | 2155872256 | 14 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 14 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r.EXP | 10046 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.EXP | fsb/BERR0r | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 9916 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 9917 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | fsb/BERR0r.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nDinOE_OBUF.EXP | 10034 | ? | 621 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 8 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> - -SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 9915 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 9918 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 8 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefAck | 9389 | ? | 594 | 0 | MXSE_COPY_0_COPY_0 | NULL | RefAck.Q | RefAck | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 9920 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 9921 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | RefAck -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 9919 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 9922 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 9924 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 9925 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 9923 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 9926 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_21_IBUF$BUF0 | MXSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_21_IBUF$BUF0.SI | A_FSB_21_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D1 | 9928 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_21_IBUF$BUF0.D2 | 9929 | ? | 0 | 4096 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_21_IBUF - -SRFF_INSTANCE | A_FSB_21_IBUF$BUF0.REG | A_FSB_21_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_21_IBUF$BUF0.D | 9927 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_21_IBUF$BUF0.Q | 9930 | ? | 0 | 0 | A_FSB_21_IBUF$BUF0 | NULL | NULL | A_FSB_21_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | MXSE_COPY_0_COPY_0 | 2155873024 | 7 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nADoutLE1_OBUF.EXP | 10017 | ? | 626 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.EXP | nADoutLE1_OBUF | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 6 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 9407 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 9383 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 9375 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9932 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9933 | ? | 647 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/Load1 -SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nADoutLE1_OBUF.EXP | 10006 | ? | 626 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | iobs/PS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/PS_FSM_FFd1 | IV_TRUE | iobs/IOACTr - -SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 9931 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLKIO_0 | 9319 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_FSB_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 9934 | ? | 647 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -TNAME | CLK_FSB - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 9344 | ? | 613 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9936 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9937 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 9935 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 9938 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 9348 | ? | 617 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS2 | 9350 | ? | 619 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS2.Q | ram/RAMDIS2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMDIS1 | 9408 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMDIS1.Q | ram/RAMDIS1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 5 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | ram/RAMDIS2 | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMDIS1 - -SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 9939 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 9942 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | MXSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 9944 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 9945 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay1 - -SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 9943 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 9946 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | nAoutOE_OBUF | MXSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 0 | 2 -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 9948 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 9949 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 0 | IV_ZERO - -SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 9947 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 9950 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q - -OUTPUT_INSTANCE | 0 | nVMA_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOB_OBUF$Q | 9317 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.Q | nVMA_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 9448 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 9352 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 9449 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOB_OBUF | 9417 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAS_IOB_OBUF.Q | nAS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 9450 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 9418 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 9451 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 9419 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 9452 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 9420 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 9453 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nLDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOB_OBUF | 9421 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nLDS_IOB_OBUF.Q | nLDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 9454 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nUDS_IOB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOB_OBUF | 9422 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nUDS_IOB_OBUF.Q | nUDS_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 9455 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_0_OBUF | 9423 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 9456 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_1_OBUF | 9424 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 9457 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_2_OBUF | 9425 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 9458 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_3_OBUF | 9426 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_3_OBUF.Q | RA_3_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 9459 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_4_OBUF | 9427 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 9460 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_5_OBUF | 9428 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 9461 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_6_OBUF | 9429 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 9462 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_7_OBUF | 9430 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 9463 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_8_OBUF | 9431 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 9464 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | RA_9_OBUF | 9432 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 9465 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBERR_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 9433 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 9466 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 9434 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 9467 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 9435 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 9468 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nVPA_FSB | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 9436 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 9469 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 9437 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 9470 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 9438 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 9471 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 9439 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 9472 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 9440 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 9473 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_21_IBUF$BUF0 | 9441 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | A_FSB_21_IBUF$BUF0.Q | A_FSB_21_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 9474 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 9442 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 9475 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 9444 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 9476 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 9445 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 9477 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMCS | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 9446 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 9478 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | MXSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF$Q | 9447 | ? | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 9479 | PO | 0 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -MACROCELL_INSTANCE | SoftPfbk | fsb/VPA__or00001/fsb/VPA__or00001_D2 | MXSE_COPY_0_COPY_0 | 2181038080 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 21 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D1 | 9952 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D2 | 9953 | ? | 612 | 4096 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP17_.EXP -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -SRFF_INSTANCE | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.D | 9951 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | 9954 | ? | 612 | 0 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | NULL | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$602 | MXSE_COPY_0_COPY_0 | 2181038080 | 25 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | $OpTx$FX_DC$602.SI | $OpTx$FX_DC$602 | 0 | 25 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutB | 9336 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutB.Q | TimeoutB | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$FX_DC$602.D1 | 9956 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$FX_DC$602.D2 | 9957 | ? | 620 | 4096 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_FALSE | TimeoutB -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$FX_DC$602.EXP | 9987 | ? | 612 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM - -SRFF_INSTANCE | $OpTx$FX_DC$602.REG | $OpTx$FX_DC$602 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$FX_DC$602.D | 9955 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$FX_DC$602.Q | 9958 | ? | 620 | 0 | $OpTx$FX_DC$602 | NULL | NULL | $OpTx$FX_DC$602.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | SoftPfbk | $OpTx$FX_DC$606 | MXSE_COPY_0_COPY_0 | 2181038080 | 28 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | $OpTx$FX_DC$606.SI | $OpTx$FX_DC$606 | 0 | 28 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | $OpTx$FX_DC$606.D1 | 9960 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | $OpTx$FX_DC$606.D2 | 9961 | ? | 612 | 4096 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | EXP16_.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF -SPPTERM | 9 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | $OpTx$FX_DC$606.EXP | 9979 | ? | 620 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 21 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_TRUE | $OpTx$FX_DC$602.UIM - -SRFF_INSTANCE | $OpTx$FX_DC$606.REG | $OpTx$FX_DC$606 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | $OpTx$FX_DC$606.D | 9959 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | $OpTx$FX_DC$606.Q | 9962 | ? | 612 | 0 | $OpTx$FX_DC$606 | NULL | NULL | $OpTx$FX_DC$606.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | NULL | EXP14_ | MXSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP14_.EXP | 9989 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 17 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP14_.EXP | 9977 | ? | 620 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP21_.EXP -SPPTERM | 4 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ram/RAMReady -SPPTERM | 12 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP15_ | MXSE_COPY_0_COPY_0 | 2147483648 | 25 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP15_.EXP | 9990 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 25 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.EXP | 9991 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.EXP | $OpTx$FX_DC$606 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP15_.EXP | 9978 | ? | 620 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$606.EXP -SPPTERM | 12 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 14 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 14 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | fsb/Ready1r | IV_FALSE | iobs/IOReady | IV_TRUE | nDTACK_FSB_OBUF.UIM | IV_FALSE | ALE1 -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP16_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP16_.EXP | 9992 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP16_.EXP | 9980 | ? | 612 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 9 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF -SPPTERM | 11 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 -SPPTERM | 11 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP17_ | MXSE_COPY_0_COPY_0 | 2147483648 | 20 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP17_.EXP | 9994 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 20 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP17_.EXP | 9982 | ? | 612 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r -SPPTERM | 19 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r - -MACROCELL_INSTANCE | NULL | EXP18_ | MXSE_COPY_0_COPY_0 | 2147483648 | 30 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 30 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP18_.EXP | 9984 | ? | 612 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | fsb/Ready1r | IV_TRUE | fsb/ASrf | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_TRUE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM -SPPTERM | 23 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | BERR_IOBS | IV_FALSE | fsb/BERR0r | IV_FALSE | fsb/BERR1r | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | IV_TRUE | $OpTx$FX_DC$602.UIM | IV_FALSE | $OpTx$FX_DC$606.UIM - -MACROCELL_INSTANCE | NULL | EXP19_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP19_.EXP | 9997 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP19_.EXP | EXP19_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP19_.SI | EXP19_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | BERR_IOBS | 9325 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | BERR_IOBS.Q | BERR_IOBS | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR0r | 9338 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR0r.Q | fsb/BERR0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/BERR1r | 9339 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/BERR1r.Q | fsb/BERR1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | 9480 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA__or00001/fsb/VPA__or00001_D2.Q | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.UIM | 9481 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.Q | $OpTx$FX_DC$602 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP18_.EXP | 9996 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP19_.EXP | 9985 | ? | 612 | 0 | EXP19_ | NULL | NULL | EXP19_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP18_.EXP -SPPTERM | 3 | IV_TRUE | BERR_IOBS | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | fsb/BERR0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | fsb/BERR1r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_TRUE | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM -SPPTERM | 3 | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | $OpTx$FX_DC$602.UIM - -MACROCELL_INSTANCE | NULL | EXP20_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP20_.EXP | 9998 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP20_.EXP | EXP20_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP20_.SI | EXP20_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready1r | 9341 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready1r.Q | fsb/Ready1r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/VPA | 9343 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/VPA.Q | fsb/VPA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOReady | 9347 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IOReady.Q | iobs/IOReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$606.UIM | 9482 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$606.Q | $OpTx$FX_DC$606 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready0r | 9340 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready0r.Q | fsb/Ready0r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMReady | 9409 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RAMReady.Q | ram/RAMReady | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | $OpTx$FX_DC$602.EXP | 9999 | ? | 612 | 0 | MXSE_COPY_0_COPY_0 | NULL | $OpTx$FX_DC$602.EXP | $OpTx$FX_DC$602 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP20_.EXP | 9986 | ? | 612 | 0 | EXP20_ | NULL | NULL | EXP20_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | $OpTx$FX_DC$602.EXP -SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | $OpTx$FX_DC$606.UIM -SPPTERM | 5 | IV_FALSE | fsb/Ready1r | IV_TRUE | fsb/VPA | IV_FALSE | iobs/IOReady | IV_TRUE | fsb/ASrf | IV_TRUE | $OpTx$FX_DC$606.UIM -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_TRUE | fsb/ASrf | IV_FALSE | ram/RAMReady -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | fsb/Ready0r | IV_TRUE | fsb/VPA | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RAMReady - -MACROCELL_INSTANCE | NULL | EXP21_ | MXSE_COPY_0_COPY_0 | 2147483648 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP21_.EXP | 10000 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP21_.EXP | EXP21_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP21_.SI | EXP21_ | 0 | 21 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 9299 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 9301 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 9304 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 9305 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 9306 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | TimeoutA | 9335 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | TimeoutA.Q | TimeoutA | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/Ready2r | 9342 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/Ready2r.Q | fsb/Ready2r | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_FSB_OBUF.UIM | 9353 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_8_IBUF | 9300 | ? | 620 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP21_.EXP | 9988 | ? | 620 | 0 | EXP21_ | NULL | NULL | EXP21_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_9_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | A_FSB_12_IBUF | IV_TRUE | A_FSB_11_IBUF | IV_TRUE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM -SPPTERM | 20 | IV_TRUE | A_FSB_8_IBUF | IV_TRUE | A_FSB_15_IBUF | IV_FALSE | A_FSB_14_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_12_IBUF | IV_FALSE | A_FSB_11_IBUF | IV_FALSE | A_FSB_10_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | TimeoutA | IV_FALSE | fsb/Ready2r | IV_TRUE | nDTACK_FSB_OBUF.UIM - -MACROCELL_INSTANCE | NULL | EXP22_ | MXSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP22_.SI | EXP22_ | 0 | 18 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP22_.EXP | 10001 | ? | 597 | 0 | EXP22_ | NULL | NULL | EXP22_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_14_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 16 | IV_TRUE | A_FSB_13_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP23_ | MXSE_COPY_0_COPY_0 | 2147483648 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP23_.EXP | 10013 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP23_.EXP | EXP23_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP23_.SI | EXP23_ | 0 | 13 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORW0 | 9328 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | IORW0.Q | IORW0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 9310 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | EXP22_.EXP | 10012 | ? | 597 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP22_.EXP | EXP22_ | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP23_.EXP | 10002 | ? | 597 | 0 | EXP23_ | NULL | NULL | EXP23_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | EXP22_.EXP -SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_TRUE | IORW0 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 10 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Once | IV_FALSE | IORW0 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP24_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP24_.EXP | 10016 | ? | 625 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP24_.EXP | EXP24_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP24_.SI | EXP24_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP24_.EXP | 10005 | ? | 625 | 0 | EXP24_ | NULL | NULL | EXP24_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 | IV_TRUE | ALE1 - -MACROCELL_INSTANCE | NULL | EXP25_ | MXSE_COPY_0_COPY_0 | 2147483648 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP25_.EXP | 10021 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP25_.EXP | EXP25_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP25_.SI | EXP25_ | 0 | 11 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 9346 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOB_OBUF.EXP | 10020 | ? | 615 | 0 | MXSE_COPY_0_COPY_0 | NULL | nVMA_IOB_OBUF.EXP | nVMA_IOB_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP25_.EXP | 10010 | ? | 615 | 0 | EXP25_ | NULL | NULL | EXP25_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nVMA_IOB_OBUF.EXP -SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/IORW1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 2 | IV_FALSE | iobs/PS_FSM_FFd2 | IV_FALSE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP26_ | MXSE_COPY_0_COPY_0 | 2147483648 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP26_.EXP | 10038 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP26_.EXP | EXP26_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP26_.SI | EXP26_ | 0 | 6 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP26_.EXP | 10025 | ? | 635 | 0 | EXP26_ | NULL | NULL | EXP26_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP27_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP27_.EXP | 10039 | ? | 635 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP27_.EXP | EXP27_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP27_.SI | EXP27_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 9302 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 9303 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP27_.EXP | 10026 | ? | 635 | 0 | EXP27_ | NULL | NULL | EXP27_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF -SPPTERM | 3 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | cs/nOverlay1 - -MACROCELL_INSTANCE | NULL | EXP28_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP28_.EXP | 10041 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP28_.EXP | EXP28_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP28_.SI | EXP28_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd1 | 9363 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd1.Q | iobs/PS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/PS_FSM_FFd2 | 9358 | ? | 643 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/PS_FSM_FFd2.Q | iobs/PS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 9443 | ? | 647 | 0 | MXSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_2_OBUF.EXP | 10040 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.EXP | RA_2_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP28_.EXP | 10028 | ? | 595 | 0 | EXP28_ | NULL | NULL | EXP28_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_2_OBUF.EXP -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd2 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/Once | IV_TRUE | iobs/PS_FSM_FFd1 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | iobs/Once - -MACROCELL_INSTANCE | NULL | EXP29_ | MXSE_COPY_0_COPY_0 | 2147483648 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP29_.EXP | 10042 | ? | 595 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP29_.EXP | EXP29_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP29_.SI | EXP29_ | 0 | 8 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 9311 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Once | 9324 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobs/Once.Q | iobs/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 9312 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 9313 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 9314 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 9329 | ? | 640 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP29_.EXP | 10029 | ? | 595 | 0 | EXP29_ | NULL | NULL | EXP29_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/Once -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Once | IV_TRUE | nWE_FSB_IBUF - -MACROCELL_INSTANCE | NULL | EXP30_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP30_.EXP | 10044 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP30_.EXP | EXP30_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP30_.SI | EXP30_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RA_4_OBUF.EXP | 10043 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.EXP | RA_4_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP30_.EXP | 10031 | ? | 644 | 0 | EXP30_ | NULL | NULL | EXP30_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | RA_4_OBUF.EXP -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP31_ | MXSE_COPY_0_COPY_0 | 2147483648 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP31_.EXP | 10045 | ? | 644 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP31_.EXP | EXP31_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP31_.SI | EXP31_ | 0 | 14 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5> | 9373 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.Q | cnt/RefCnt<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<6> | 9374 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<6>.Q | cnt/RefCnt<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<7> | 9386 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<7>.Q | cnt/RefCnt<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP31_.EXP | 10032 | ? | 644 | 0 | EXP31_ | NULL | NULL | EXP31_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 6 | IV_TRUE | ram/Once | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 6 | IV_FALSE | cnt/RefDone | IV_TRUE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd3 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> -SPPTERM | 7 | IV_FALSE | cnt/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | cnt/RefCnt<5> | IV_TRUE | cnt/RefCnt<6> | IV_TRUE | cnt/RefCnt<7> | IV_FALSE | fsb/ASrf - -MACROCELL_INSTANCE | NULL | EXP32_ | MXSE_COPY_0_COPY_0 | 2147483648 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP32_.EXP | 10048 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP32_.EXP | EXP32_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP32_.SI | EXP32_ | 0 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 9308 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay1 | 9321 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cs/nOverlay1.Q | cs/nOverlay1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 9322 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 9309 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDinOE_OBUF.EXP | 10047 | ? | 621 | 0 | MXSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP32_.EXP | 10035 | ? | 621 | 0 | EXP32_ | NULL | NULL | EXP32_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | ram/Once | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf -SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay1 | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr - -MACROCELL_INSTANCE | NULL | EXP33_ | MXSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP33_.EXP | 10053 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP33_.EXP | EXP33_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP33_.SI | EXP33_ | 0 | 10 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 9326 | ? | 672 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 9327 | ? | 650 | 0 | MXSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 9361 | ? | 682 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | CLK_IOB_IBUF | 9369 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | CLK_IOB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrf | 9402 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrf.Q | iobm/DTACKrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DTACKrr | 9403 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/DTACKrr.Q | iobm/DTACKrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrf | 9405 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrf.Q | iobm/RESrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/RESrr | 9406 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/RESrr.Q | iobm/RESrr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrf | 9399 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrf.Q | iobm/BERRrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/BERRrr | 9400 | ? | 667 | 0 | MXSE_COPY_0_COPY_0 | NULL | iobm/BERRrr.Q | iobm/BERRrr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP33_.EXP | 10050 | ? | 650 | 0 | EXP33_ | NULL | NULL | EXP33_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_TRUE | nBERR_IOB_IBUF | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/BERRrf | IV_TRUE | iobm/BERRrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/DTACKrf | IV_TRUE | iobm/DTACKrr -SPPTERM | 6 | IV_FALSE | nBERR_IOB_IBUF | IV_FALSE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | CLK_IOB_IBUF | IV_TRUE | iobm/RESrf | IV_TRUE | iobm/RESrr - -MACROCELL_INSTANCE | NULL | EXP34_ | MXSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | EXP34_.EXP | 10076 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | EXP34_.EXP | EXP34_ | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | EXP34_.SI | EXP34_ | 0 | 9 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 9355 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 9357 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 9356 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 9307 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefDone | 9323 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefDone.Q | cnt/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 9351 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 9384 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 9398 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/RefCnt<5>.EXP | 10066 | ? | 645 | 0 | MXSE_COPY_0_COPY_0 | NULL | cnt/RefCnt<5>.EXP | cnt/RefCnt<5> | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | EXP34_.EXP | 10065 | ? | 645 | 0 | EXP34_ | NULL | NULL | EXP34_.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 1 | IV_TRUE | cnt/RefCnt<5>.EXP -SPPTERM | 2 | IV_TRUE | ram/RS_FSM_FFd2 | IV_FALSE | ram/RS_FSM_FFd3 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd2 | IV_TRUE | ram/RS_FSM_FFd1 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd1 | IV_TRUE | ram/RS_FSM_FFd3 -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | cnt/RefDone | IV_FALSE | ram/RS_FSM_FFd1 | IV_FALSE | ram/BACTr | IV_TRUE | fsb/ASrf - -FB_INSTANCE | FOOBAR1_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP14_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 11 | 49152 -FBPIN | 3 | EXP15_ | 1 | A_FSB_9_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 4 | $OpTx$FX_DC$606 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP16_ | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 14 | 49152 -FBPIN | 7 | fsb/Ready1r | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | EXP17_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 10 | fsb/VPA__or00001/fsb/VPA__or00001_D2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 17 | 49152 -FBPIN | 12 | fsb/Ready2r | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | EXP18_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | EXP19_ | 1 | A_FSB_6_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | fsb/VPA | 1 | A_FSB_7_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | EXP20_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | $OpTx$FX_DC$602 | 1 | CLK2X_IOB_IBUF | 1 | NULL | 0 | 22 | 57344 -FBPIN | 18 | EXP21_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR2_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/VPArr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | iobm/VPArf | 1 | nRES_IBUF | 1 | NULL | 0 | 99 | 51200 -FBPIN | 3 | iobm/RESrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/RESrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOREQr | 1 | NULL | 0 | NULL | 0 | 1 | 53248 -FBPIN | 6 | cnt/RefCnt<3> | 1 | NULL | 0 | NULL | 0 | 2 | 53248 -FBPIN | 7 | cnt/RefCnt<2> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/RefCnt<1> | 1 | NULL | 0 | NULL | 0 | 3 | 53248 -FBPIN | 9 | fsb/BERR1r | 1 | NULL | 0 | NULL | 0 | 4 | 53248 -FBPIN | 10 | cnt/RefDone | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nLDS_IOB_OBUF | 1 | NULL | 0 | nLDS_IOB | 1 | 6 | 49152 -FBPIN | 12 | nUDS_IOB_OBUF | 1 | NULL | 0 | nUDS_IOB | 1 | 7 | 49152 -FBPIN | 13 | cnt/TimeoutBPre | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 8 | 49152 -FBPIN | 15 | TimeoutB | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | TimeoutA | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nAS_IOB_OBUF | 1 | NULL | 0 | nAS_IOB | 1 | 10 | 49152 -FBPIN | 18 | iobs/IOReady | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR3_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | EXP22_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | EXP23_ | 1 | CLK_FSB_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 3 | IORW0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/IOACTr | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 24 | 49152 -FBPIN | 6 | iobs/Clear1 | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ALE0S | 1 | CLK_IOB_IBUF | 1 | NULL | 0 | 27 | 57344 -FBPIN | 9 | iobs/PS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 28 | 49152 -FBPIN | 10 | EXP24_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 29 | 49152 -FBPIN | 12 | iobs/PS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 | 30 | 49152 -FBPIN | 13 | IOREQ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/ETACK | 1 | NULL | 0 | NULL | 0 | 32 | 49152 -FBPIN | 15 | nVMA_IOB_OBUF | 1 | NULL | 0 | nVMA_IOB | 1 | 33 | 49152 -FBPIN | 16 | EXP25_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 34 | 49152 -FBPIN | 18 | ram/BACTr | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR4_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RASEL | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 87 | 49152 -FBPIN | 3 | cs/nOverlay1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | EXP26_ | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 89 | 49152 -FBPIN | 6 | iobs/Load1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 90 | 49152 -FBPIN | 7 | EXP27_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 91 | 49152 -FBPIN | 9 | EXP28_ | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 92 | 49152 -FBPIN | 10 | iobs/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | EXP29_ | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 93 | 49152 -FBPIN | 12 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 94 | 49152 -FBPIN | 13 | EXP30_ | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/RAMDIS1 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 95 | 49152 -FBPIN | 15 | EXP31_ | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 96 | 49152 -FBPIN | 16 | fsb/BERR0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 97 | 49152 -FBPIN | 18 | EXP32_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR5_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | IOACT | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 35 | 49152 -FBPIN | 3 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | iobm/IOS_FSM_FFd5 | 1 | NULL | 0 | NULL | 0 | 36 | 49152 -FBPIN | 6 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 | 37 | 49152 -FBPIN | 7 | iobm/BERRrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | RA_3_OBUF | 1 | NULL | 0 | RA<3> | 1 | 39 | 49152 -FBPIN | 9 | iobm/BERRrf | 1 | NULL | 0 | NULL | 0 | 40 | 49152 -FBPIN | 10 | cnt/RefCnt<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/IOS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 | 41 | 49152 -FBPIN | 12 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 42 | 49152 -FBPIN | 13 | ALE0M | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 | 43 | 49152 -FBPIN | 15 | BERR_IOBS | 1 | NULL | 0 | NULL | 0 | 46 | 49152 -FBPIN | 16 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | EXP33_ | 1 | E_IOB_IBUF | 1 | NULL | 0 | 49 | 49152 -FBPIN | 18 | IOBERR | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR6_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/Er2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 74 | 49152 -FBPIN | 3 | iobm/DTACKrr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobm/DTACKrf | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | RefAck | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 77 | 49152 -FBPIN | 7 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobs/IOL1 | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 78 | 49152 -FBPIN | 9 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 79 | 49152 -FBPIN | 10 | iobm/ES<3> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobm/ES<1> | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 80 | 49152 -FBPIN | 12 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 81 | 49152 -FBPIN | 13 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | IOU0 | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 82 | 49152 -FBPIN | 15 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 85 | 49152 -FBPIN | 16 | IOL0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/ES<4> | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 86 | 49152 -FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/RefCnt<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 50 | 49152 -FBPIN | 3 | cnt/RefCnt<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cs/nOverlay0 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RS_FSM_FFd3 | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 52 | 49152 -FBPIN | 6 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 53 | 49152 -FBPIN | 7 | fsb/Ready0r | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | ram/RS_FSM_FFd1 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 54 | 49152 -FBPIN | 9 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 55 | 49152 -FBPIN | 10 | ram/Once | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | ram/RAMDIS2 | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 56 | 49152 -FBPIN | 12 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 58 | 49152 -FBPIN | 13 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/RefCnt<7> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 59 | 49152 -FBPIN | 15 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 60 | 49152 -FBPIN | 16 | cnt/RefCnt<6> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/RAMReady | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 61 | 49152 -FBPIN | 18 | EXP34_ | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR8_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 5 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 64 | 49152 -FBPIN | 6 | A_FSB_21_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 65 | 49152 -FBPIN | 8 | NULL | 0 | A_FSB_23_IBUF | 1 | NULL | 0 | 66 | 49152 -FBPIN | 9 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 67 | 49152 -FBPIN | 11 | NULL | 0 | A_FSB_21_IBUF | 1 | NULL | 0 | 68 | 49152 -FBPIN | 12 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 70 | 49152 -FBPIN | 14 | NULL | 0 | A_FSB_20_IBUF | 1 | NULL | 0 | 71 | 49152 -FBPIN | 15 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 72 | 49152 -FBPIN | 17 | iobm/IOS_FSM_FFd1 | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 73 | 49152 -FBPIN | 18 | iobm/Er | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | INPUTPINS_FOOBAR9_ | MXSE_COPY_0_COPY_0 | 0 | 0 | 0 - -BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> | 11 | A_FSB<13> | 10 | A_FSB<14> | 9 | A_FSB<15> | 8 | A_FSB<16> | 7 | A_FSB<17> | 6 | A_FSB<18> | 5 | A_FSB<19> | 4 | A_FSB<1> | 22 | A_FSB<20> | 3 | A_FSB<21> | 2 | A_FSB<22> | 1 | A_FSB<23> | 0 | A_FSB<2> | 21 | A_FSB<3> | 20 | A_FSB<4> | 19 | A_FSB<5> | 18 | A_FSB<6> | 17 | A_FSB<7> | 16 | A_FSB<8> | 15 | A_FSB<9> | 14 -BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 - -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | A_FSB<10> | 89 | 1 | nDTACK_FSB_OBUF.UIM | NULL | 2 | cs/nOverlay1 | NULL | 3 | $OpTx$FX_DC$606.UIM | NULL | 4 | A_FSB<16> | 95 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready1r | NULL | 7 | A_FSB<13> | 82 | 8 | fsb/BERR1r | NULL | 9 | fsb/VPA__or00001/fsb/VPA__or00001_D2.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 10 | ALE1 | NULL | 11 | fsb/Ready2r | NULL | 13 | A_FSB<20> | 71 | 14 | fsb/VPA | NULL | 15 | TimeoutA | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 16 | $OpTx$FX_DC$602.UIM | NULL | 17 | iobs/IOReady | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 29 | ram/RAMReady | NULL | 30 | A_FSB<14> | 93 | 32 | A_FSB<8> | 64 | 33 | fsb/ASrf | NULL | 37 | TimeoutB | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 38 | A_FSB<22> | 9 | 41 | A_FSB<19> | 73 | 42 | A_FSB<15> | 80 | 43 | A_FSB<11> | 86 | 44 | A_FSB<9> | 12 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 46 | A_FSB<18> | 76 | 47 | nWE_FSB | 61 | 48 | A_FSB<23> | 66 | 49 | A_FSB<12> | 92 | 50 | fsb/BERR0r | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 52 | fsb/Ready0r | NULL - -FB_IMUX_INDEX | FOOBAR1_ | 144 | 1 | 56 | 3 | 164 | 197 | 6 | 151 | 26 | 9 | 46 | 11 | -1 | 189 | 14 | 33 | 16 | 35 | -1 | -1 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | 86 | -1 | 124 | 152 | -1 | 209 | 42 | -1 | -1 | -1 | 32 | 202 | -1 | -1 | 183 | 155 | 147 | 210 | -1 | 175 | 213 | 205 | 150 | 69 | -1 | 114 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR2_ | 0 | cnt/RefCnt<5> | NULL | 2 | IORW0 | NULL | 3 | nAS_FSB | 13 | 4 | iobm/IOS_FSM_FFd5 | NULL | 5 | cnt/RefCnt<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | cnt/RefCnt<2> | NULL | 7 | cnt/RefCnt<1> | NULL | 8 | nVPA_IOB | 59 | 9 | iobs/Once | NULL | 10 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 12 | cnt/TimeoutBPre | NULL | 13 | iobm/IOS_FSM_FFd2 | NULL | 14 | BERR_IOBS | NULL | 15 | IOL0 | NULL | 17 | IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 19 | cnt/RefCnt<6> | NULL | 21 | nRES | 99 | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | IOREQ | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 28 | RefAck | NULL | 30 | cnt/RefCnt<0> | NULL | 31 | iobs/PS_FSM_FFd2 | NULL | 33 | fsb/BERR1r | NULL | 36 | iobm/IOS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 37 | TimeoutB | NULL | 38 | cnt/RefDone | NULL | 39 | iobm/IOS_FSM_FFd7 | NULL | 40 | iobs/IOReady | NULL | 43 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 44 | cnt/RefCnt<4> | NULL | 46 | cnt/RefCnt<7> | NULL | 49 | IOU0 | NULL | 51 | iobm/IOS_FSM_FFd4 | NULL | 52 | TimeoutA | NULL - -FB_IMUX_INDEX | FOOBAR2_ | 108 | -1 | 38 | 212 | 76 | 23 | 24 | 25 | 217 | 63 | 46 | -1 | 30 | 85 | 86 | 105 | -1 | 89 | -1 | 123 | -1 | 176 | 39 | 42 | 48 | -1 | -1 | -1 | 94 | -1 | 81 | 44 | -1 | 26 | -1 | -1 | 75 | 32 | 27 | 74 | 35 | -1 | -1 | 87 | 110 | -1 | 121 | -1 | -1 | 103 | -1 | 77 | 33 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | iobm/VPArr | NULL | 1 | iobm/VPArf | NULL | 2 | cs/nOverlay1 | NULL | 4 | A_FSB<16> | 95 | 5 | A_FSB<21> | 68 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | A_FSB<13> | 82 | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | iobm/ES<3> | NULL | 10 | iobm/ES<1> | NULL | 12 | iobm/ES<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | ram/RAMDIS1 | NULL | 14 | nVMA_IOB_OBUF | NULL | 15 | A_FSB<18> | 76 | 16 | iobs/IORW1 | NULL | 17 | iobm/ES<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 19 | iobs/Load1 | NULL | 21 | A_FSB<17> | 96 | 24 | nAS_FSB | 13 | 28 | RefAck | NULL | 29 | A_FSB<23> | 66 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 30 | A_FSB<14> | 93 | 33 | fsb/ASrf | NULL | 34 | ram/RAMDIS2 | NULL | 35 | iobm/ES<4> | NULL | 36 | iobs/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 37 | iobs/Clear1 | NULL | 38 | iobs/IOACTr | NULL | 39 | IORW0 | NULL | 41 | ALE1 | NULL | 43 | A_FSB<19> | 73 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 45 | IOACT | NULL | 47 | nWE_FSB | 61 | 48 | iobs/PS_FSM_FFd1 | NULL | 50 | A_FSB<20> | 71 | 52 | A_FSB<22> | 9 - -FB_IMUX_INDEX | FOOBAR3_ | 18 | 19 | 56 | -1 | 164 | 197 | -1 | 151 | 44 | 99 | 100 | -1 | 102 | 67 | 50 | 175 | 52 | 107 | -1 | 59 | -1 | 168 | -1 | -1 | 212 | -1 | -1 | -1 | 94 | 205 | 152 | -1 | -1 | 42 | 118 | 106 | 63 | 41 | 39 | 38 | -1 | 46 | -1 | 183 | -1 | 72 | -1 | 213 | 47 | -1 | 189 | -1 | 202 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/RASEL | NULL | 2 | A_FSB<1> | 90 | 3 | cs/nOverlay0 | NULL | 4 | nWE_FSB | 61 | 6 | fsb/ASrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 7 | ram/RS_FSM_FFd1 | NULL | 8 | iobs/PS_FSM_FFd2 | NULL | 9 | cnt/RefDone | NULL | 10 | A_FSB<22> | 9 | 11 | iobs/PS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 14 | TimeoutB | NULL | 15 | fsb/BERR0r | NULL | 17 | ram/BACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | cnt/RefCnt<6> | NULL | 20 | A_FSB<12> | 92 | 21 | A_FSB<17> | 96 | 22 | ram/RS_FSM_FFd3 | NULL | 23 | A_FSB<18> | 76 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 24 | nAS_FSB | 13 | 27 | A_FSB<3> | 78 | 28 | A_FSB<10> | 89 | 29 | A_FSB<20> | 71 | 30 | A_FSB<14> | 93 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 33 | cs/nOverlay1 | NULL | 34 | A_FSB<5> | 15 | 35 | A_FSB<23> | 66 | 36 | iobs/Once | NULL | 41 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | A_FSB<19> | 73 | 46 | A_FSB<13> | 82 | 47 | A_FSB<21> | 68 | 48 | A_FSB<16> | 95 | 49 | ram/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 50 | cnt/RefCnt<5> | NULL - -FB_IMUX_INDEX | FOOBAR4_ | 54 | -1 | 146 | 111 | 213 | -1 | 42 | 115 | 44 | 27 | 202 | 47 | 120 | 121 | 32 | 69 | -1 | 53 | -1 | 123 | 150 | 168 | 112 | 175 | 212 | -1 | -1 | 167 | 144 | 189 | 152 | -1 | -1 | 56 | 216 | 205 | 63 | -1 | -1 | -1 | -1 | 46 | -1 | 183 | -1 | -1 | 151 | 197 | 164 | 117 | 108 | -1 | -1 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | nBERR_IOB | 18 | 2 | iobm/RESrr | NULL | 3 | iobm/RESrf | NULL | 4 | iobm/IOREQr | NULL | 5 | iobm/IOS_FSM_FFd4 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | iobm/BERRrr | NULL | 7 | A_FSB<13> | 82 | 8 | iobm/BERRrf | NULL | 9 | iobs/Once | NULL | 10 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 13 | iobm/ETACK | NULL | 14 | A_FSB<4> | 56 | 15 | iobm/IOS_FSM_FFd3 | NULL | 16 | iobm/IOS_FSM_FFd1 | NULL | 20 | iobm/DTACKrf | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 22 | iobs/IOACTr | NULL | 23 | fsb/ASrf | NULL | 24 | nAS_FSB | 13 | 27 | BERR_IOBS | NULL | 29 | iobm/IOS_FSM_FFd5 | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 30 | CLK_IOB | 27 | 31 | iobs/PS_FSM_FFd2 | NULL | 32 | iobm/IOS_FSM_FFd8 | NULL | 33 | cs/nOverlay1 | NULL | 35 | A_FSB<23> | 66 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | iobm/IOS_FSM_FFd6 | NULL | 38 | A_FSB<22> | 9 | 39 | iobm/IOS_FSM_FFd7 | NULL | 43 | A_FSB<11> | 86 | 45 | A_FSB<2> | 16 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 46 | IOBERR | NULL | 47 | A_FSB<21> | 68 | 50 | A_FSB<20> | 71 | 51 | iobm/DTACKrr | NULL | 52 | ram/RASEL | NULL - -FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 20 | 21 | 22 | 77 | 78 | 151 | 80 | 63 | 46 | -1 | -1 | 49 | 223 | 87 | 142 | -1 | -1 | -1 | 93 | -1 | 39 | 42 | 212 | -1 | -1 | 86 | -1 | 76 | 248 | 44 | 82 | 56 | -1 | 205 | 75 | -1 | 202 | 74 | -1 | -1 | -1 | 147 | -1 | 218 | 89 | 197 | -1 | -1 | 189 | 92 | 54 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/Er2 | NULL | 4 | nWE_FSB | 61 | 5 | iobs/Load1 | NULL | 6 | iobs/IOU1 | NULL | 7 | iobs/IOL1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 8 | iobs/PS_FSM_FFd2 | NULL | 11 | iobs/PS_FSM_FFd1 | NULL | 16 | iobm/ES<4> | NULL | 17 | iobm/Er | NULL | 24 | nAS_FSB | 13 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 27 | iobm/ES<1> | NULL | 33 | nLDS_FSB | 25 | 34 | ram/RAMDIS2 | NULL | 37 | ram/RS_FSM_FFd1 | NULL | 41 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 42 | nUDS_FSB | 52 | 43 | iobm/ES<0> | NULL | 45 | ram/RAMDIS1 | NULL | 46 | nDTACK_IOB | 54 | 47 | iobm/ES<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 48 | ram/RS_FSM_FFd2 | NULL | 49 | fsb/VPA | NULL | 52 | ram/RASEL | NULL | 53 | iobm/ES<2> | NULL - -FB_IMUX_INDEX | FOOBAR6_ | 90 | -1 | -1 | -1 | 213 | 59 | 96 | 97 | 44 | -1 | -1 | 47 | -1 | -1 | -1 | -1 | 106 | 143 | -1 | -1 | -1 | -1 | -1 | -1 | 212 | -1 | -1 | 100 | -1 | -1 | -1 | -1 | -1 | 242 | 118 | -1 | -1 | 115 | -1 | -1 | -1 | 46 | 243 | 102 | -1 | 67 | 231 | 99 | 120 | 14 | -1 | -1 | 54 | 107 - - -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | ram/RASEL | NULL | 1 | A_FSB<9> | 12 | 2 | cs/nOverlay1 | NULL | 3 | nAS_FSB | 13 | 4 | A_FSB<16> | 95 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 5 | A_FSB<21> | 68 | 6 | fsb/Ready0r | NULL | 7 | A_FSB<19> | 73 | 8 | A_FSB<17> | 96 | 9 | cnt/RefDone | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 10 | ram/RAMDIS2 | NULL | 11 | A_FSB<15> | 80 | 12 | ram/RS_FSM_FFd2 | NULL | 13 | cnt/RefCnt<7> | NULL | 15 | cnt/RefCnt<6> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | ram/RAMReady | NULL | 23 | A_FSB<18> | 76 | 29 | A_FSB<20> | 71 | 30 | cnt/RefCnt<0> | NULL | 32 | A_FSB<8> | 64 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 33 | fsb/ASrf | NULL | 34 | cnt/RefCnt<1> | NULL | 35 | A_FSB<23> | 66 | 37 | ram/RS_FSM_FFd1 | NULL | 39 | A_FSB<7> | 20 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 42 | cnt/RefCnt<2> | NULL | 43 | ram/RS_FSM_FFd3 | NULL | 44 | cnt/RefCnt<4> | NULL | 45 | A_FSB<6> | 19 | 47 | cnt/RefCnt<5> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 48 | cnt/RefCnt<3> | NULL | 49 | ram/Once | NULL | 50 | ram/BACTr | NULL | 51 | cs/nOverlay0 | NULL | 52 | A_FSB<22> | 9 - -FB_IMUX_INDEX | FOOBAR7_ | 54 | 210 | 56 | 212 | 164 | 197 | 114 | 183 | 168 | 27 | 118 | 155 | 120 | 121 | -1 | 123 | 124 | -1 | -1 | -1 | -1 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | 189 | 81 | -1 | 209 | 42 | 25 | 205 | -1 | 115 | -1 | 230 | -1 | -1 | 24 | 112 | 110 | 228 | -1 | 108 | 23 | 117 | 53 | 111 | 202 | -1 - - -FB_ORDER_OF_INPUTS | FOOBAR8_ | 6 | E_IOB | 49 | 7 | ALE0S | NULL | 12 | ALE0M | NULL | 41 | A_FSB<19> | 73 | 43 | iobm/IOS_FSM_FFd3 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | A_FSB<21> | 68 | 51 | iobm/IOS_FSM_FFd4 | NULL | 53 | iobm/IOS_FSM_FFd2 | NULL - -FB_IMUX_INDEX | FOOBAR8_ | -1 | -1 | -1 | -1 | -1 | -1 | 249 | 43 | -1 | -1 | -1 | -1 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 183 | -1 | 87 | -1 | -1 | -1 | 197 | -1 | -1 | -1 | 77 | -1 | 85 - - -GLOBAL_FCLK | CLK2X_IOB | 0 | 0 | CLK_FSB | 1 | 1 | CLK_IOB | 2 | 2 - -GLOBAL_FSR | nRES | 0 | 0 - -TIME_TSPEC | TS_CLK_IOB | PERIOD:CLK_IOB:142.857nS:HIGH:71.428nS | CLK_IOB | 10003 | 1428 | 714 | NULL - -TIME_TSPEC | TS_CLK_FSB | PERIOD:CLK_FSB:40.000nS:HIGH:20.000nS | CLK_FSB | 10003 | 400 | 200 | NULL - -TIME_TSPEC | TS_CLK2X_IOB | PERIOD:CLK2X_IOB:66.666nS:HIGH:33.333nS | CLK2X_IOB | 10003 | 666 | 333 | NULL diff --git a/cpld/XC95144XL/MXSE_html/fit/eqns.htm b/cpld/XC95144XL/MXSE_html/fit/eqns.htm deleted file mode 100644 index 1a9486d..0000000 --- a/cpld/XC95144XL/MXSE_html/fit/eqns.htm +++ /dev/null @@ -1,1791 +0,0 @@ - - - - diff --git a/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm b/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm deleted file mode 100644 index dfd7983..0000000 --- a/cpld/XC95144XL/MXSE_html/fit/fbs_FB4.htm +++ /dev/null @@ -1,270 +0,0 @@ - - - - - - -

    - - - -
    - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
    Signal NameTotal Product TermsProduct TermsLocationPower ModePin NumberPinTypePin Use
    ram/RASEL20 17_1 17_2 17_3 17_4 17_5 18_1 18_2 18_3 18_4 18_5 1_1 1_2 1_3 1_4 1_5 2_1 2_2 2_3 2_4 2_5 -MC1STD (b)(b)
    RA<0>2 3_3 3_4 -MC2STD87I/OO
    cs/nOverlay12 3_1 3_2 -MC3STD (b)(b)
    (unused)0 MC4  (b) 
    (unused)0 MC5 89I/OI
    iobs/Load114 5_1 5_2 5_3 5_4 6_1 6_2 6_3 6_4 6_5 7_1 7_2 7_3 7_4 7_5 -MC6STD90I/OI
    (unused)0 MC7  (b)(b)
    RA<2>2 8_1 8_2 -MC8STD91I/OO
    (unused)0 MC9 92I/OI
    iobs/Once17 10_1 10_2 10_3 10_4 10_5 11_1 11_2 11_3 11_4 11_5 8_3 8_4 9_1 9_2 9_3 9_4 9_5 -MC10STD (b)(b)
    (unused)0 MC11 93I/OI
    RA<4>2 12_1 12_2 -MC12STD94I/OO
    (unused)0 MC13  (b)(b)
    ram/RAMDIS118 12_3 12_4 12_5 13_1 13_2 13_3 13_4 13_5 14_1 14_2 14_3 14_4 14_5 15_1 15_2 15_3 15_4 15_5 -MC14STD95I/OI
    (unused)0 MC15 96I/OI
    fsb/BERR0r3 16_1 16_2 16_3 -MC16STD (b)(b)
    nDinOE2 16_4 16_5 -MC17STD97I/OO
    (unused)0 MC18  (b)(b)
    -
    -
    Signals Used By Logic in Function Block
      -
    1. A_FSB<10>
    2. -
    3. A_FSB<12>
    4. -
    5. A_FSB<13>
    6. -
    7. A_FSB<14>
    8. -
    9. A_FSB<16>
    10. -
    11. A_FSB<17>
    12. -
    13. A_FSB<18>
    14. -
    15. A_FSB<19>
    16. -
    17. A_FSB<1>
    18. -
    19. A_FSB<20>
    20. -
    21. A_FSB<21>
    22. -
    23. A_FSB<22>
    24. -
    25. A_FSB<23>
    26. -
    27. A_FSB<3>
    28. -
    29. A_FSB<5>
    30. -
    31. TimeoutB
    32. -
    33. cnt/RefCnt<5>
    34. -
    35. cnt/RefCnt<6>
    36. -
    37. cnt/RefCnt<7>
    38. -
    39. cnt/RefDone
    40. -
    41. cs/nOverlay0
    42. -
    43. cs/nOverlay1
    44. -
    45. fsb/ASrf
    46. -
    47. fsb/BERR0r
    48. -
    49. iobs/Once
    50. -
    51. iobs/PS_FSM_FFd1
    52. -
    53. iobs/PS_FSM_FFd2
    54. -
    55. nADoutLE1
    56. -
    57. nAS_FSB
    58. -
    59. nWE_FSB
    60. -
    61. ram/BACTr
    62. -
    63. ram/Once
    64. -
    65. ram/RASEL
    66. -
    67. ram/RS_FSM_FFd1
    68. -
    69. ram/RS_FSM_FFd2
    70. -
    71. ram/RS_FSM_FFd3
    72. -
    - - - -
    - -    - -
    - -
    - - diff --git a/cpld/XC95144XL/RAM_isim_beh.exe b/cpld/XC95144XL/RAM_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/RAM_stx_beh.prj b/cpld/XC95144XL/RAM_stx_beh.prj deleted file mode 100644 index 03826ee..0000000 --- a/cpld/XC95144XL/RAM_stx_beh.prj +++ /dev/null @@ -1,2 +0,0 @@ -verilog isim_temp "../RAM.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst deleted file mode 100644 index cb34933..0000000 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ /dev/null @@ -1,2 +0,0 @@ -C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\MXSE.ngc 1639221846 -OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs deleted file mode 100644 index 0e420ad..0000000 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ /dev/null @@ -1,12 +0,0 @@ - - - -Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'MXSE.ise'. - - - - diff --git a/cpld/XC95144XL/fuse.log b/cpld/XC95144XL/fuse.log deleted file mode 100644 index fd1dcaf..0000000 --- a/cpld/XC95144XL/fuse.log +++ /dev/null @@ -1,19 +0,0 @@ -Running: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\fuse.exe -intstyle ise -incremental -lib uni9000_ver -lib aim_ver -lib cpld_ver -lib xilinxcorelib_ver -o C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe -prj C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj work.t_fsb work.glbl -ISim P.20131013 (signature 0x7708f090) -Number of CPUs detected in this system: 8 -Turning on mult-threading, number of parallel sub-compilation jobs: 16 -Determining compilation order of HDL files -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/../FSB.v" into library work -Analyzing Verilog file "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v" into library work -Analyzing Verilog file "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" into library work -Starting static elaboration -Completed static elaboration -Compiling module FSB -Compiling module t_fsb -Compiling module glbl -Time Resolution for simulation is 1ps. -Waiting for 1 sub-compilation(s) to finish... -Compiled 3 Verilog Units -Built simulation executable C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe -Fuse Memory Usage: 29068 KB -Fuse CPU Usage: 327 ms diff --git a/cpld/XC95144XL/fuse.xmsgs b/cpld/XC95144XL/fuse.xmsgs deleted file mode 100644 index f84336a..0000000 --- a/cpld/XC95144XL/fuse.xmsgs +++ /dev/null @@ -1,9 +0,0 @@ - - - - - diff --git a/cpld/XC95144XL/fuseRelaunch.cmd b/cpld/XC95144XL/fuseRelaunch.cmd deleted file mode 100644 index 242fb03..0000000 --- a/cpld/XC95144XL/fuseRelaunch.cmd +++ /dev/null @@ -1 +0,0 @@ --intstyle "ise" -incremental -lib "uni9000_ver" -lib "aim_ver" -lib "cpld_ver" -lib "xilinxcorelib_ver" -o "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.exe" -prj "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_beh.prj" "work.t_fsb" "work.glbl" diff --git a/cpld/XC95144XL/isim.cmd b/cpld/XC95144XL/isim.cmd deleted file mode 100644 index fff18e8..0000000 --- a/cpld/XC95144XL/isim.cmd +++ /dev/null @@ -1,3 +0,0 @@ -onerror {resume} -wave add / -run 1000 ns; diff --git a/cpld/XC95144XL/isim.log b/cpld/XC95144XL/isim.log deleted file mode 100644 index 690fea5..0000000 --- a/cpld/XC95144XL/isim.log +++ /dev/null @@ -1,42 +0,0 @@ -ISim log file -Running: C:\Users\zanek\Documents\GitHub\Warp-SE\cpld\XC95144XL\t_fsb_isim_beh.exe -intstyle ise -gui -tclbatch isim.cmd -wdb C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_fsb_isim_beh.wdb -INFO: There is another simulation running in the same directory. Using database file name isim2.wdb. -ISim P.20131013 (signature 0x7708f090) ----------------------------------------------------------------------- -INFO:Security:51 - The XILINXD_LICENSE_FILE environment variable is not set. -INFO:Security:52 - The LM_LICENSE_FILE environment variable is set to 'C:\ispLEVER_Classic2_0\license\license.dat;C:\lscc\diamond\3.12\license\license.dat;C:\Xilinx\14.7\ISE_DS\Xilinx.lic'. -INFO:Security:66 - Your license for 'ISIM' is for evaluation use only. -WARNING:Security:43 - No license file was found in the standard Xilinx license directory. -WARNING:Security:44 - Since no license file was found, - please run the Xilinx License Configuration Manager - (xlcm or "Manage Xilinx Licenses") - to assist in obtaining a license. -WARNING:Security:42 - Your license support version '2021.12' for ISIM expires in 20 days after which you will not qualify for Xilinx software updates or new releases. - - ----------------------------------------------------------------------- -This is a Full version of ISim. -Time resolution is 1 ps -# onerror resume -# wave add / -# run 1000 ns -Simulator is doing circuit initialization process. -Finished circuit initialization process. -# run 1.00us -# run 1.00us -# restart -# run 1.00us -Simulator is doing circuit initialization process. -Finished circuit initialization process. -# run 1.00us -# run 1.00us -# run 1.00us -# run 1.00us -# run 1.00us -# restart -# run 1.00us -Simulator is doing circuit initialization process. -Finished circuit initialization process. -# run 1.00us -# run 1.00us -# run 1.00us diff --git a/cpld/XC95144XL/isim/isim_usage_statistics.html b/cpld/XC95144XL/isim/isim_usage_statistics.html deleted file mode 100644 index adc5380..0000000 --- a/cpld/XC95144XL/isim/isim_usage_statistics.html +++ /dev/null @@ -1,16 +0,0 @@ - - - - - - - - - - - - - - - -
    ISim Statistics
    Xilinx HDL Libraries Used=
    Fuse Resource Usage=327 ms, 29068 KB
    Total Signals=39
    Total Nets=38
    Total Blocks=3
    Total Processes=48
    Total Simulation Time=4 us
    Simulation Resource Usage=0.109375 sec, 4643532 KB
    Simulation Mode=gui
    Hardware CoSim=0
    diff --git a/cpld/XC95144XL/isim/pn_info b/cpld/XC95144XL/isim/pn_info deleted file mode 100644 index f96c793..0000000 --- a/cpld/XC95144XL/isim/pn_info +++ /dev/null @@ -1 +0,0 @@ -14.7 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/ISimEngine-DesignHierarchy2.dbg deleted file mode 100644 index 23c2b341ca0172c1ad98a04dca5295fc8f6f350b..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6195 zcmeH}O>7%Q6vwB0wa`*N3N27RHs$-HaqQS>0|n~Xt`lOCU^}!2V4I|F3Am2yI4y-j z0f`e5AUGjF0;vLl5O4qvNF3mRI3S@O=m9Qp0R#sSDnbaP@c*r6lg%JE;R-zI`M>YY zZ{E!8tY`O`pI01s`?Yt+j?d1{zG#eD%#WXH%<;43Yg+D|XH546#+)a`i5D|!DD*C-Cxp-ZzuPQUZz*UM=O+i!@( zo~}DI@lJcguC{IT4UPI-hkBE3h^nVtQZ=^PIt9gCwyVQj&XT+8DVJ5pAzSBm#f#Np zkEs(?PjOKleOqTp@nUtjSJa8Br+7jg>$c9Y;>GIdQ4Z=vl{!sze8konSwbD28|D*L zPx0fb@szD|hhi>Ibf0-vsS{OC@w4jqqODU@yjY#^xrr)uURK99ZJp8*>TDFle4^?p zen&Mvv~>E99`J%Q2VjP!9H2`IU0mGvt`dGDp}yJtM|oxwklZYS6Y?>`o~;-glVG!r|wN9h1VYmSZkU4cN6}$EC2mUoe-Y2JCvV z6H-{t5)77o3Fk`<*ek^DlER)N$K1NqfbA4pk;0xU$6S^?Y_HheQdqueFqb6{n-#l9 z3LAbRxh!WqY*FmJmgRkhxh(%(*qvf0rPN(3$6S{G1#C_1eNxzUa?EA&f}iQOxOWxbfovJTi6#MY#+tQT`x)&YA&?35Ii z^oBDeMM0=CYjCuwXg$%4oYEJ1Lm?fCGv z6N2uR!mW+LY8@j^QP|GO2nkFtQTv?z9*LNA6WWeF3bCrx*v$`mcsJwg@tUmHtreh zM`BY_Sn6Z#*iXf7Qadc)P*^+mbFsZ@hh;99%bu$ zNnyDkSjdKR;Jpd^o7inq@;Aycm*t%W%ZGYi3d_C2+Of+8^{X9r3!tnWdzPR9wZn3s zF_+~X$sE=Sx=jjuiyUjmULt5v?Xc_#=Cb_9cqWuTjQ@ZA@4gS5#o=B@um8*Y9{D!m zKQQaYx@9IS=Y5~KF*Z6~X-vl+9II9Ck7cJOX7*NU&FNTvve`c~9@{?FsBbLgViWc1 zuGo%DZz`Tl?kL2XmFZ@z>F=H%@7({-YHTa@wYuz>tWMS*h{cngn_>f{oS!WrvHg|C zWOZs!Y`QTK+fyB{3ig{*_0~@?qG|osvgLGU(A4f6Nt;?0+hWUa|00%a1xMNmJJ z9x0C&bCI6KTq$R}21KcUU|TdE9m%H43p$Jrm2=@}tuDDjJW{cgDf`Xbb z31mDRrH{6@wXODUtBfENfL%=`VWedZQGeSW{Uf4zJ@ z%-Pqq_g;Igwbx#I?VXw{m)UY{Hk$+g6A7Db1-|^}m*21c=|lFAk zjiaW`xv9ZDxBm7U>#w=hJ^Py5Zo56=zV>=|ee^c>O}Dv&lc&0Gz5TlD&nhe&!~Dt$MZS#N`iA=1NE6%vhcbpI4lCzB ztN!|1Zb!k>2oE^59f$8zhn1^D>i-k}G{f2cVxCQl+qJ~#Kl~=owjukU7Qf1qf4LU> z(2bIhprrnHP2Y#&iQ*=d-qT@^Ovnx$IH+Q=YH zw?9w%P81=#)XLqkL5olG6ounKPf0i)_P9GVJ&+&PEAvCXwt80-wJM9Wv4Nuc+%at( z&)7RQ_@`QZnaE!2+tHIftLzb{d9HMzgKf`9K?nTUhb8FwNhsar5TVlDQjs-&>DRf8XTyHa@)HcuCa{jsM~2ufJXRw|@2GLxb^v z0|T&dP-z5n67iv_wAfgCbYSc*hb@{5bONc_)4f3-I`$HW>!DP-4xDHH(*usI1jNhi ziB$0oU3N}#S3pDvN>;bDMTfM!937-G;+Hr&(p^Hn>Teokd}un%c3B~-eY-KOD3MOp zhnBZx_PD%F8|%Cgg2eTG>6FZ$H*7ecd=~R(6u-!izP&5xag)Ezf?-Z;8oilhlWNkU z|E3QcQJVB-fJ!xezxm69i9}fU=ZD8m$d8_^>3-1Zgrev$(uDpK&oUNoTFqLsI;4_m zA4v#WzBYDpJ}Fz(h-^Za`&URczd_TtYI@-h))b&BShTJvoh6~Lz83j+B0sFJLXi;4 zC+bR4rBwaPQuW=b92HRc=cV#X`{jqF{o_*btIOAh^q0|uR~7VAHh4AS^H3s@AiDgT zk`cgEt$F6P4%;&H@YU7q;n1+YKCENBZv+xkysqWjh?!b*UY)~sKhVayvDMzB>|hIO z{?hQ(3~1)7*C@CP6|d9!6gp z`}V<(0-HU0JjVGULX>xdF&9eS)#hgkJXLFSD zM?7;hy+?Qc2q2!YM@PiE?Q!Q)L^_>|@SD(#!b1<{+035b46wPDJ8wtYxP+_a2c%4+ z@P$7i>*OwmPde=>Fhxt7=BmboTu}2gS>&^o)IvV{O~enb`Mo5qPZS^gd7iDMEpjH( z9smGMM_P|cgP1K|_XjKOHI?QV)7G2wjJ?(Q=_)oJJ&E&OnkYW&ag;NP6W<$z4^qt7 zuLsyRG`E3i@zdPQF6ytTtPh^GgMy4{p6Qz2ZQinsF}*_5&$VOy0g&a==B7mP35@G+ zXgb1$D(_R_cEk_>E_2XNmbIL+=uPRCbo5ATPL<- ztNod@DfCJD|LXa%W9+H<`MjO;(}Q2m&utH25<(a|=I3>!Wz0_%x^CXPM&{?IzekT# z^D|looHajVl6ap9#9H%noQxgw6H;lMpZa9Eb5&Yuey)(lQ}goxv_KS}wJbS5@BZ?r z^KLj5UXXt422=-K)nW%t708AgOj~ z#>1X%LWkYvk_|cR!8DIQq;E2}r(&A1RLYpYS(jrY;l3)o74~T6IYLQ#S*l1CiiCBy zd2`%ubNzLf`B?{t*JsX<_%?GYzCqVtyUE-Y;BBuKU+5_XySr=j)tHO*L?b9uGf%kK zftn26cfK9XM#rTZ`Po|ODDzI8fl};pD$_2V#nzOteJ0J>9F+-!}gFR>Nxg$*0TY9OA2ThKxK03%%NyJyTu2QLbU8)dm|h1ivsN2 zaWan?*cpwI#7<4C!p>`}6m~9<_%`!ge7_1iFHt}V=mT03JJ*cuhn>dm6n2~#S$1FF zMP_r`*J9_X>r&WhzXI6V19c~)SKDIqzqGlUO~gP^(?tggdag>2xYqPwIxIYm-H2`k zRqiW=G&X9zYL9IK!ja9z5jfFhD;2&xp&lI9MCN~i`0Ab^3=4w zEToUrTC*#e>NiKIHY_u;F)@`+qioOT)3UW*?-0*SBfDu1KJFJw&ki*=2(Ld-AoGMC7zscVd^T zeL{Y$EfGCI)AO*!OVsA?icKg;L@*Y&Vy&wg_%`q#W8ax$eN zAe~7_1X9ZB79f{A!a24g=PYp8P}Gb6=dhSig%tb!d`u0-ho&Cmx|*yp1?ie@^Rd$5$3m zns_l%^vs^9Ez;t-{q~$;HgBNue-h=T8N-m8(Ue_kPCp!930ZM`PR0hJiWzB6E8xtKcX&L zq>Kcx4aC|@umeaGf9pqi5O5Q)`I?0;uCDkpY%=6qrMg#D6dLPC_iFU+8tjOA z9r!IlQFd>Aq$Gr)gne67_X6l%m2_`?`HmXj_J|{-Uol@ZCYL0NNBj^|*|H;2AjJ8K z&}*r(MnH9|0H#wWiR}LCt1s8A-5qdkZDOY9YwDQG6L%2^%x= zYxItgK2b0}DXdRQVY~!rC(_5$XmKQ@zh2|(hzw66-381i@jhM#)=}fzCQ2~JHXmb~ zh*tfNaBPVP)_Lm6Kl&t*SQaKvZox1Ei6W3@;kYMJ1{zK&DRzja7eQH0Ou=tgFM8M2 z3%cnF8$aIpYImL z^^h16#UnWYeP)Gb+*7Lg4n&UE#x~543>8V#@MGpd67@xUAkzY_@2?IU=iUm=tZsfU zGG5aSnxvB^B+Z!WPSlo!G3Q}pLXl=%j?qEKeV?=zpX-*U z`@RASrHpvIF`1u-o z+AmgwJFtU+-s}7UM_*N<#X9me-?qpwZS4H{oIiB_3XBP9(GN9WhwJ<8ph4r?m;Nr# z*1R@yjt1fg8xzsJDj;Dhkbnw1$pi5@{gE(`a1s;U(%|P0BLZ5oa8UEnVYCGO^XND@ zD%~UrMbXcmKZAaDADMm%w=?s-Z`t~r8U=)sf4cJ-6au33Es3_c^OuOghIE#3v1X3_ zA?$9Tad2k0c^05(apw{#Y}w*qPKi6CnJLM>@e9%7YOcfLVQmBsC*z-Zo&ovDegLd* zQSeNn&6rsl)?W#O@oOMzCu?AQEcOAAbbEaXeiY}2g!60ktu-QU@e6sjHu`$l_X;_` z62-ggUHBpAS3;zb^8q*H`#U(lJOPyryni=%pEMhMNX=KF1|?H=Pa6}pSo280%X%?+ zHIlvsN{5mJ7mWEWYH*b#mQsWaDj~S<6P*4!c*cD83@pWQ3)E{L!geFJsY&^+mgT}f zv`>vMa_%F@7*|`V6UY=pWQ60w!sM3 zw6Otqq}bdD^MB@0Hd0TtM2>C`8_iM~7{lU=AzHAyYN%-tpTGVd@u^70GWS`!-e>&; zgGGe?tQ~06hb7dXx|%&Z(JiWcn(l1+BS^n|wH9yo*pOSJza7&1#HO~J5`I25sYIpQ z+%m~hMCO`nRB)-sl;JtQ&7s4luRF^m6v&5#p%lSZTDJ$AfALaRjvS-uuP9irLSgOs zg;ain8n6#swn|qiN-9;TZMUPnA)GKc@*r*n$GT^Or5#u zap+&w;CN7-QjnSY!(WP4wneqJUld!k!vbaj0A&C;>HBt@)R&DiD=&CRfqeFSR`;7_ zwGLaX&kikEq6=?V_5G>?7ofD&K9x(ASd!KL-O2V@z+5_!q%$c$Q9NiFk#+TSE&daa zEOALJp8$4($oIP*#&OC6I|)`_yo;ZhiAAD2a=I2@>Uo?AAP0?0<6xO0^Fa)5nHF2T z6M6Z)L)E+)540g_zA^&krQzK$I{BLuuI6@7*UEfmzW3h{syKuJFi2JyQ#mFh-y*XHcgjG3hVUO3e;n*y!qmx$L`!5j;oZ@PTrX-9o3}{$4H=55pMBQVfxx%o? z77)kHHraeVEM&{9!Uxe7`jC^@Qq%HYWVQwld;-O!bK&??DxyQN*WxdVpyp!c!p0;Y z#ExWX%o?~tl6ebbwOhx{Z*3Ye6#UW7(kp-O<`spFr(^%*cW*?x}6k}zWMo) z5E~klZb;LiBvP#HidE$&qHYZw08NCOq$+HZz-20q0J>#069WG7Ra-Y3dA4NZEtm|l zI-$ct`vnIK)1x;DL&0>l{6jK;dbJzq*?>6EO{ku? zX@*)oYR$@s9lEU2Zo;rLX__9SZMoUg$inC~Nb^NcDL6m(`N! z-DIA+o5X;Mr^~2_psQ%Y&B79_=-DTk;y) z^10lWPq$?Bn@?IYI!L?NE*uDj^|#0DV9Rc^B4qVPGruiC-DL*Lk^)zl#cj@>0)hK8 zjuFc-s(H<==w(U-;z%_B9)h&JhqZQtuU{7G%0Ll zJ5Aw!*3U8}?J~3^$oHDR28@iV%O6aMS?N`UIgEB1wqLi2|HH*Ki>RY8> zH&XSz>|}-c=bvV_d|bNaGPE2*5fIW7_vayaONt#fntz3~(|l`SR0!!yWz7xg&7Mrz zI+`*S!Ug7x48eLHj7-ZyF||zR_Z}ns6#@s4NX_}jL$0YNzaNKwz9W+NCwot$%q{6* z+rMGTQTfH_5*fj5ap*>KxSL4EhBe>x>jG`G(1_TuV5wZwxH21^l0%O41 z4S@}8a55k{|AbWoi)zl89ad9=&=o8A7=svS8@d!4t40wiO!dD6Rjrb*wOU+NYQ7V+ zX0yWFdpD7d5oqRyWUAY2N06s>ST)H}Qw(raZ1vo1gT~?KZMt*I69qP<*moiX#s18d zMc_m8>ZNKG@^b}%GT3b%0ur$9v_YeP2t{H0=!N{CqMts|%hc{I{T?a@%B~hm|KSRL zdUKztC(y0*5(cfHY8lRA&8xdg3v!%$Fn zA)GH%BFm?}AEY+UcMr2ZS>6M*0gfeIj8#+p^EYMZlx_D3a&v#!OqXa~IJF@Y^z%g6 z;J*w@nOlq95T! zP&k4f3d6-^n%4eKZld@hDY@Exb@o2!tH;{~{IkvTIcz9@r0@^^(Qnw$MQI0xjIa!1 zt^G?tRuz_sF~nklOoLcQFZR+Mw2E26eGq4XTQx>3pU2TBqW&b{3J{*j8JV@KO>+=zq~>I zCC?LaJ_Monntl`JbdB!|%xR~&vsvbJDCCvH_i;U}DF-x|h{C-!Rkm0$Y)iTWMp>YwQQtl_wjzM4c1V?TO<5xAie%z&cM)oX$P zQwcIbNqSdY1Oi=eu3StJu-=><1HMo@3+0>(w{_HT1t_1RkIdr8p|ru!AC6z=@rU(O z0%-e7*GK^3cwg1;z<4`-AEUo(%v}Hs8dl@|;z;8S>wv;BK(rCEj=cj}m&-urY*_Mv zJ_U!7z#KWZ+f71f|HP>!x-?@#j?gSgtqz(frk-9cptm|L)|aoj#$%y{S_ctoO!AxU zU(%myJo+(7)5pwD{Fv_gs|C*PM#g#}}bBh{^F7 z0O(?TX(|JQL9zINK6TmfH<8yC2Bw)_nULhKI{mg&-(h&FeS~INU70d0w8a=S9SPm-(ETvcnr*m~Ci-(h26eh!Xq{2~1f^T2-k z30C32U^DteTO-95dRE?+(Kllpcx-Bk)i%wxXldJ>#MVTp!?LnoYEvug*d`{kVNhvp zIDQZIgKRjC@x>Pa`*{eaD1qk#j=e|$%0LNKDN3jUC8#NP^CSbu)139N{*O?6M#-f> zJBE@|V^nPm#nBu*a^rsRTV)ZxVAINykTG=y!e|dphc|E2;yGA;Kv|V2g_R}_$6YQ* zag@|&tk8UKMyf;c1}M`FTSD;#I7nE~E&RFOoL>Q*Pbn2qSR}8`*9?%T@q&;tCfN68 zbQ|@I`Q*sC)M*-t{@CienH@@>Oi6W`Nx@yUQUHuqn<#$yK5m%NKVr=AyLk?II@Chi z-(+YLF*FUGo`|7oMS)H~{DbwX=@{Ge^0rVMCzSCjj3KR)X09+t_Rou8hH-F6KCfU` z$>ND(4LuR%1uKnaOm~ykOZnra5Q8~X?DFe~4dGEtB2N<011=lRT`{a1+za8{D?R~u z7ok*3q)Z1glxrI1trU27YsN5-ni8}iQ{uIzq=HkT=?;(P3wrYDunOmEc%$}d&OU|K zQVg}N4t>(k;mf1)h!(P68|K%oDK zvU9p}aimA|e!=Dyx5wHPdbw&?l%*4m=OATKe6?Xcu-HDCXN zrl|1Dy~AwjOZ|##lyLEsbJJ zJYZ*QknSRLw)M^+HqHxU6dVml(*2o?O%1m9uhm4el_Ju%66O7So+fk)rTap?@JMTCyp=` zu}{F9ZtI<85&K0Jv7Z2w4^}FT(hj~S7^UBfbKRnblj&cN5ws)$aD4NG;wOOOt=t<` zt{VM3p?Jt^<*T4!4NMe&`z|#;i`Zvk!bA}|jNK;S<{38-+9x+8NAtL{4GqERvi? zg|eL5EH;?+XUI7`4%VxS>LH<|)@ysER%%8dp+JvGn9r$PMT?kC;i;_ox(7;MnjVu> z=qF)noWm47($OzTi(KYpnc$rzIX+PCMOa9o5Mbnxcs!%UY{j8D>CnhzkB+sJ8+eof zY^e1tg0V^wa3Z(y5TGa`Cy$n)Uo!)HxEBBi!3aB}=U8~RpnbpiIiP(smjgzy1S8D_ z=Z41SN4+owx#790P9iSJHwQy3QOURuEeVFv$NOMaSY0ZH-HwpY24cag0?iX#Ntc;A zD!%TpalvzoX=f&f!Y21)Xs`YJbU3Yv;<3m`y6A-ADU>L_k&3RYyaPgG9iHe5RA}8{ z;I)o>$mzoI=`Lib4@bAp$o`uYHGA8PNzmO7w?%G$8g%4sM7<^o2o-83M&L}5(s z{Gl-ZJW9%($VtGYKySfHU(28ARC~ZhZrYX|2jigm5M=TV%=gmiRbs$0Jcd)j*Z?+W_%Lauk3$44pG zU9H^4L_n;Q}s!NBZeef6CF)ka*Yl@ zuh;373aZ&C$~C~)%s+rndcK8P)T0RzJ8N?tT!^?R9zaLal|!-lRHHXWaj%bHpbEPM z#?6HBKFZRO7pashHe$tX)-r3IfWbZl1_S8B(t1XjGg;<0#EEZv6g!|hus#kA>fik` zq)$>90X9AXHrAK}BWg)^wbY?}z<1CUyIcXU(K{{RXg8y8o54XnAp$1>|FMD8T;K`m z_sAkj(+98=gh?!8E&XMK!Z~+@(ScY`U5i=~tR4g1465400D^s9Ko0Y_A>?XVjcmfS zrUY`vZMMMwCWsR_BJy`c2)0Xf?n+_#Iuu~$5@u4isyPCJTrJPSDo>LDxG-ZdIT%du zeHn8fSZ+5@vcS}FdmdYm0R|HVlxO4PZ&34k#}RaTqQIEuZ<+3?K7Vg?AbsDp_<-Ky zKwO+H9!Hs%qiLw>S{zHoRot=N;+cD zbSz8zYV@7l;rS+kF>*Lhv3tQ7!@(HVIoKw1y{toMdvMQ{!YkZ01h_D`7I6_mfGb!) z)^pdQN0}t~tLT)qDhkyQ0v0}84KF5&U%vy4;p-$yU^S4!zqH9Us=mgAFbLhWu!J6&qjWYWWw)f`h>_?RCYrAx0qvj?V7>IuiYN z2nzBX;_vync_1$IQWj^Zf4;5t!|)S@IoKMF(?8~;-cz}H`~L#d^E%g}KMQ8p5wnwJ z4R$V6b%Np=lm;E}QVcRhg@Q>i#eVICfhRnDMd3 z{uW%wHFgFlohv)_09aaM{cN3NiJliQ(-{k%wI9!hcOwoAzac!S?^k0dC0H0hG7ldV^RK;+1aKa+q1PI9!b5Q%YJZOR;W#m#YZP zB>uF?7RRu%!$0hhYdRQw4#>Gj4xfy>KxYRc;jl5fUhD}C^SN)cT>pN%N{)cCJaw-F zCBrI$m9U7;uTwxWklCYeV;``R^+jKR$0XW#(epTI6K*X};7gA_iNj%xacZvHK@S-x zqh46ED(K!Tc2H}*PWiVR&WmwWL0bqM!l5B_>z^iy|8NtMVhc(k(ih}&S4^+u&1M_C zDh^kr{Chg(&OAJfZh?UtR0I?Y0YMi#%)XqokJJijf``GxS3#P_pHiMVbWh)B{>vm$ zV%S|c6Vr#lx(_)7JN>n^@&|qIV?A7L?n84hdk=@1en`OgVLiMUs!EkY&OkHJQT`Ey zKq-H`Tp>Xd73SE}U@$?7pFbL#pBrWd?{Uo4fXGG*k#3M`$~p(efHzr!2V-z*ik_&^ zVLg3`1fo&J=~l^B8a(1|z?}=nr(5HTt**^7NaM8hhis0FN^FE_m1>8c2cO)w$XQ{1 z3e+U6v<8Bu1V0qP7mHx2hcIZ%zGCot2p&;r?_x-2UP=&{WcLgbLok{g!%ZTb zG8ktI@J8auvc*Sw|Byid+&?%MkWH{Ph_z!odD@NQwlT3JQ9RrVmXh+Qd8HW{A#gtT z3p#f5_D=Ds2~*3BhcF75+2R4=>$+Afn8rkyKfeY?Gj>lFIIfmYb zPdF}Zp*@KIfbXqIW5&5}srKRv zQm&?yN^T~ptFa7bT*_e9rA!ISWbYcnCR@|T5Rx94V{Uj+5SE*E2j`Bm zAHr%dQdZ^2NmS^T+ZLIMZsl{8I3FjR>GRFYuo7%EJu4x!^s8ZSom6Tb?}qi177MXF z>;s3;JOZ1+RxN%jsOeVV<5p1At?rN^>-YkI#~^8#;|7Wm}UsY;Y|PIL9^C=bYKtKPSmw+4g43UgfPW2Vv|EK>7@- z(LW67AHvUb7U!W4yy0qAYWOy-?4OW3cJqVl2FU)9JHT!@;U>lCW|5Q1-jcdcC+osAjk;!{co_>C_u5mH%M!&Asl1JqPf6B{WY)w91MYjF zRHvg1-8}c*i{Y}+@)0~Re@E`u4{193T6`v#1XuOJnwF2SK%9kG$W{F&oN@yzxFba5 zbF*|R)Fz{N6f))g3v7hCWp`u#6^Lmr2qwPG_PO;%VKTX z5DMAm6~C>+)(hZY9n~7n`{;wC9^+6eg%(?tA6Q{?U@zZs{Q&H0AI(QtGaej)PcZ(N z#PnE!BtFDMExtrzdTbbCffbDy6w(+m8vz1!f1*nBqw>ug3EQ>&(GgBSZGDU%*Yem& z__QwJFCr&Pguj}uyXAumju zACyOi8a-D{;=+6hm<{S`+=i~Opm3tAaT|{)EGV4lGVml81SRI(oE$?}Sa>7@3)c{~ zYq<>H8f36laN=4nXlNCbAR@>Rl+ePIITHQB4BW(HDsoh15iCgj#P;V$N5;R$c?-sy zia0$Mo}kLdR+r-S7JqDiZe&nwzavugJUzt%@IRP07;%`5Vtu)H=3+6(f&d{Af!~xu zH}9hQ38^3Pp?$rH&8E|JX+Irr{hlI<$lh^@}YRc7a4=_SHy$YJARyVle$Wb?cq;|Y~*p~FscJ*$L3&H=gR;P@@4 zwdr1{yaCs8|Dlj1ePC}596~&BsI}=nD=X0&NJP7n(z*O)NUY<31?!Sg>Bo6H;583= zW;?nYTLqY=y|sDhiI_1-fBNqjRP)duC*yxWImCyj;wt}9#G}iTEu^MS0&*Vij;$mW zU{T)}of%t63bfrht-KA+q|fJb&Y*pCHBs0|EO#Bb)N9VI;dCY`p7!^^3KrnS=XA12 z2+9SLaiFP>(rn(bAaoI4VC-tW&3wNOOnI2_Ko70`vz#SwSA8G=kbBrDzCA_>y)F~~ zKyFST(H2Uy2Y}a5VpY&54b}fEIWAC+aE0nCq>ywsEz`&-X&)xc##UHcscT`|QnuE$ zyr^-1V|{Mp2aSP>VfJVMjcJKCFrj1NyO>yqT5QLaM~l--?>KLRV_e0&V!Yrrt|B@l zGb7TQ{iYY%yXLFf`_56?J148XF%buPaHJQ*C~wTuL3%h2J`o+s8`tPs-d3L*Yio@4 z)kO1fcuF||N5LbC3z1jmzp<0E>JP}QU;T~hYv9b9yTSYAtHoe}C#%fw3&iMUa5TOa z7TEHa1>5a6EORZ-Z`?EzLz66z#6cp zJ%yI+4Uv#2e&sTX5az+5zJd<*_N#@4#j!D&_R&l$Ey;Djzl{H`e&dJQhpE2-jlkM} z6%3?F6!b$t^vu-Y-_II+^w{iymy9cq3?tQAy_=ovN%_kmeO*o2I*6F*}?5W<`S zMJ2vC-gZ^Dw@!6}>+Z4$#obz!mngn`Y90tS=otky?J631m65QuY9LcjX{{Q>@2RM_ z74=%H1~cj*p`m^OBcp?@GxA%j3K>4FwF;s*=sCT$%9SWSFeM9iYt>NZ0p>CMo{D-! ztyRY|>}jnU#_#CXD#&<&a~!{bbA0M{&F5DL{mwn2J>zgEB*=t)=1xK zqY7%#W^(~z+U#z=CKiI}Y_oYk9+9#PkeLUS789iJztz!5;-$?ExPLQ}nyu*}-4xwg zZYP6n$x!C!=K*T0uf)~z67);9lLLDfXs^(`Ndm6sl`4Z8iu0vgvGSI6Q9h^T2X3O@ zfifu>g7t7hhH$8=S7?9lZmLSR>kol+GVl?rJ#~`N*r!ZR~9f8#k6f zRM$dET%N(aTB5k&60{6UCS~$N;0ewyl7mRbeKc1KAC!g6a~lEkf`8wK7MJ`CDL9vr zYowdZ!5Ap>;Tz#<2_UQSz!=w}3JH|EmV5^Rb3%wH=wnXHXXqg`#-H4breKTmBmB4( z79--ljS)}aHT+ag!%x+V_zAv+pX$%?GyWy-Rh|hLNW}rf#_qz;`3v#mI|V=Emf+`t zUi@76HhwOG*~a!f3`fL%qP8Nek4#D}UUufoAybR85~1QU(T&RfJ^1;WZlFZP2>g6q zH+ptSADlfr9}pX)?)=CK%aA!1?5Qy1Dfp?n6+gkB;-~ue_!-Z%33LXja3VDJPxv{1 z0DgQ0_!;*X{9G^`KNo(0pNsG)L*D=AF~0%`U)K*5sdx-O-=H6Yc0Vwz(CS4fNJ^>E zR}1YB*R@DY_BW6?wx{EAM?#hm=b8#Sc8n|Oj$5l z-dWgWt^y&JxAi=!AUFiV4h7+FJl{78yYVp}&4kd&l(9(&jRK+f7Ffy52*N8sySCn$ zU}O6|E+)=mA}Oj@qiter<2^Q8q#XVI0StVB72T?}fvUA*2>aWatran4{Uocu$4F}w zaMP*n9DAtBtP!NHH(xqOhyZU1+RUv`#~^iT zpj6hXZ5KqF*Bs=hp9YQsQfU?Do{LyClXDPDOABm+AwjSS%SfZJNYuJD9c$F)#1wxr zfUKl@*pOnpE0(|fnV-xo*M zu$8_x5yRFmgNOEI*w^6-Me&0amKcaXQCu{MnGj~kEeaU$QUV%ZDCct4)q_hUCO*9p0L;#WjhZwLyI@j8fd_H z*VN9C+RXp*0)*1LcHSBdjQH7$RtcyU95D7R=R%iHl{ zkEF7voYz2OagFrTz&J5a_IBFdy|5LHDStUIrrn#X z8IH14%9vbll|5uQMj$0*1W#fumJE)8PRJz3$q3qmP|#h=3y?8pVlL7HW4c=>=BlEA zS%tlsV`Lg=mBU-*MAC31$;D2jked;Dp={@ zSw+{8^Py34OmjI~^C?|zLF0NL^;RG?3Z&ixq}Dj$Ha!4OD7j+AM4(^~sH-XatfuTh zP1*VyAO<*@%iZXziAKSR=s-BPoh^IqVP8kXpepFpC+3Z@V>@m*zJ{YG!Tx>W-G56T)+$wMI#2n;F&Fs7!=H(*q?8EEjGS7j$v4`h5nCC>^z|6dY zF;!#2CpxRV;S(|7j)vnnq_&KX4q&ysFhuy=P;6B`k+R4sNQ7=ee@QqyY@4gO21#@Z_0k{IANU*oat5gXCTBl;T8$l-xT zJAfA;L$Nja+TJe$de?Z@@)4&5T5I-|zg#_L=6)nrx6a&8gXk$Bv34xYYXhy-`%sVY z_Ml`R03=W@vrIS2^aaMOWpiJk%wCi^)UOQTklK-TkZrft?8yYN4%vGw5T7CQ3zYj3 z@Y>m>F{`S_thY*6w^o0Sj<#+zAS(b? z;Eh4Fl}Uyl&RLxe!(Ge6J|~KWePGK}nE@yR0_#*@90JBEl$I*uL=kYfA41mxlPh2x z8DJbJ1Hs@wlq!=WWgtLOaB@*57iA8n%Gjk0gioqW4$9=9%$F=P0+SoAI3R`W8HMa9 z1a98cz>T>tFW?Qb5O=STHOX?4ieqZmqRqf2rHXQ0KAiYT{~+$vdD&#_LS;p>n-BYN zL067|WTA}9iB#7TnzF$XC{+XvOw^vu<`X@9>XmDo&E$)&)i#ro&a#smf39-393$ry1)z0y9qWPd&ZPj61`FZS> zGM=l&7N%&W!iky&1AFHZo~_tAh z{7n30AVy&-4DV09JCL}<5AD!g0{0|^AVaqhlsw<~&*106tEnVr;pfj13rb676png?zmYgOLy%Yj59~pW7YKw}pH=8uBG6C%0Q~#|9Gjk=o5; z051M5I*4i8LgkBsl!6NhUS<=rpJ%>!h6J~D z^?geDPpqXJ_pQHk9OkX>a+$Y)nzxJb39R@X=k39>W!^NTh=VWeDjnt-7v|88(t`;q zPt@?b=MDtbG?CH0HM!kc@?B0~ui&xl*}&$*Zl2{rJGjCg;Aa1wW1!_W3_;G)Q<>BL zc0ume05o~uDwTSgN*#byL&N;6YZy$tOX1wDT5L@&HV;_w+s&fZ>Vx{Kb~C@V`cUho ziOyugxxAl_A z+Ji0sadHg%b*g{Tr`uBIrT35CqjRDY1UkFh z?pnS@y4!9nV}DXdJ|5PW^Oe@Ya=Ietm^f#}u8pTEy}3XdSKF1R(3G)MAs=Rkl>tl@ zj#6ZLnpSiA(pS`y^BI|V_>Q^zw}ZAI0o_i(&&HVP9WXD6ww zr~}D^#_Z@Ffd6;|jfi+=lwBSuOQYgxze0tKtUoG*$dmZE?&723l68;H>-m-BOu9lx7 z6uckY^i{Q@ccY`=wgP|BW1(W$*y!~E>0W?`yzj7Q^^QQJ*$6f&j~+vC^c94|LJ1re z#`YqmuOfPOIz2j?Gdy9O9O2-?H3nq44&WvC_2x%FD|j~N}v809%Plb2CMRWozyb`=A;Xk>UMQHO0 z4SQNBI&QR`IxDAuO0|zCO=2rp)v<6;S7Qps>{bS0w$*NGqa`_%+X2_5xGt&vU#rgHP3@lLZ+lHQ zF9c^{Ntir?1ID$qZgc6|WG8#%yFl9wxTI=KIIeL4+#lduG|}0(kM0lq$`vhL^H0ni zJs@&B#4j5AH7FO;&7KhiT6iLWhV=mBAEhxx$KrmB+4&ENL6dUN1{ z3?JLraGc|cO}UQB4~bjm*V%e+8?VJ!7aq(}1PP3q_i4jZbZ#GX{=M@Q+GWjHS0X zZ~Y*l1{)-tZsP9*3Kxx_^&k8?zt>3{^L#9BI{S-nj6>2NDgyWDx;QCQJ7?ii*-)5| zzlpjsT2M*P&7j{{;!8eVQTQ(&D;dR;)u^V+sP5#b?inpRg3Hu^%#&p(JzlALK&n2e zs{Tq<{U52ifK@A1)hDg0?_zI(ZNwKaLB$dWqu)WRb5+%$wSvnN@xf^`tLCYyZf1Z~ zpHNkwM^#XYA`(S?;D3E}n2#>u=;AWD96KkZ@5XjX-lgHWhL~+X6grfLPEEWjx06%w zIHtG!qs&tboNTNxW**dH2@u-ds1nlGnls+8+%#56z}0*WVPTf)cMzMK!p1oR%o`ZS zndESXHjjrS5a+*R4W@;JbyzrtxH~M6T2*?V@}{I7!YumnG6nc#nlzK?}znH<tnbSx zyY_cYy7nj4wHKvpZ?J23oFbTdo*AIZW6-0P9hxf$!?dQa>Dfxk?mHkbexP9FDatMq z%Kisod|Sad&Z6v1XJx}M1cu^{DGEk|g0WCwyiFKAqof~;EExCqgAq!yiOfrqPm*8Z z7tNhK>dST}@Mmmar$ZEN$7&bWKQNES9dfL%<$IDV?11<)vVhK(g${zX=B7Pd=xTC5 zOD}Xf-sL-lYxyfUfl2$Orhg(QmJ`5-G;+xCu1x1rPa`JBd{V9fZc``Gy!o+zD3-6> z16uAEn(xn^AEHdd5UuRsB%|Oof!zBPOT9V_YddG&df+vdaiw0pk)Paf`u81FSlc(_|zFIR6X33-J8(*>X7V*_&beNCgL$z!7($R?J_dST8Knj6z~S6~hKymu z!8W}hN0^Ja&zvsn3@%Y-*77LaYH^vxdC@eL>Yp-ka_==ffF=e?wrsc?d{~9mU=RXA4=9K6x|1E&c>BDPvP@?Remed4I&+k-!VhGQViB<*$> z--Eyv_)S!-)cDRA!bIy&fZR&qWi)#lF@d6^5(7Vk+Xj)n**ux!JCGCgr|!4N%$w(J zA;|*;>5-m#BuJA0iQ|NIccZyg5ZjqOI_|xS$KPW*>?umrQSntER z3Rm_9*nP8pKhM=x#T24ab^%3@6u2fZ}l`rIyqR^FZN|k#Xv- zL;?%aARr{_>WKpXT}wD~K#eUxp|*tci=9?{3Fo_aB=*L`(?xn+vA#rfP&$E1$1JEk zmOMGKbSAw+KKHZH@QH>{zPW?*j#pOAmsOy|T+4u=3pM5fHb_&R3H8qC8P=Kg>`79c zgPI*G(pOdc98C=l$hrB1h=k-+pJ11{Fx)b zaXr+oQp?w>Z>lW@z5##XC2?V~-NIr|z9lU7fDG!NgXFK2zWL$_muDozrt<@gZld^3j5LEBU9KN(2|AS@Hc1D?B>I^k?eN5f}Q51DeJS@ z?OKRq?Bem{RR+5if+hN~+Xt`rV>ehXj7e_uQxLcoU#!^eP2XAMv+?=yap14<*?hK* zEoJc86JYe*z7(IWTz4cs>r1T3;l7+3@TX>-N$3EJ>ua2L|~9+N!X=wz>t69gE4Jv_o$q`GoQ32?D-hvHIh1witlB zUF`Sdf8Q|Owdiq#63~+Zdp`vqJXBu{UJBq;asXnnhCCd;(Oy{X%dIbfb>DvHZ>oJ& zj{3&~#uWJGt~GxFagVth7%(bfhC@jTMBMX-!{kD2bo6}z{qHv{gN>AB9Hdp@<@ezO~L*tscn!Cn5@g+>epVySX+#b}OYj$D@ zR_h@Y$jMIp;c5LbmZ1!<)Q9~LWqzJ6V}2VYG~*F6yJlc*BJeu3%f1$qXl*5?Aq_0C z45ns^{c=xbGdrn^{mn zNrbM&FR>R?@?6VLfDRga*B(gV{xB|B8y9@%#svOsi24KP*7^%WEr_)m<_tZQRH~Nn`IwiO-&!?L2Zm7zr#u<2qpuJB&R4C%3#x#$m3fE{amf3 z4Dzp0`I%Ios=h%kNMp8wt_d&|wwLtuo|@$!4kp0UF6p{i<>4W7IxqI0!j%ge^6l7{ z<2oa}7I1YngzKs}UvxC_K6y{lc@ED41l;j}Cke5$>0_cX8Qsv?^dX|wAL~Ik@h3=9 zdF(Y-!p^3TSOnL%>(b*$hEn->?c+%K>OPwKy8zQ+znFGm%6{P$qx^2D8klSGWZkWm znQC>WJNGkKregbWC8H04#=o)?n3M5v?!JyMnQ^NP$)&lPX>-B(Obg74_>=M|vp%fv zuQBQd;Ql-?67=l9*ckRL^0wQH|B`e7?2O1LiF}FBDH1w}(5VtSgpfx<34}%?Iuc*LCTJ4zK!)QJrvzmzj$jI{eqqWmG~6RS`xzMp*^KZ3hEHKrjD5-2scf9FFBtPMw1=V548hBs z-9`xZUv!%xytEyp)m?SfwdhW$n>3eN2LQ7-27DhkctT|dgJoZ^dw4%H<p=5Z z(sPVYB>+AJk(ZW+U%H_d!M1Mw?StgKN{SZj>0zpqMTIA0{3{fJ%dxy_#z`Bm#S@OS zP(6YPz|?_Vnq!S%?$)MSkP1@#_H@!ER#HwnX^NFZL1a~&Y9%?+Nz<$(n9-A^F13B@WMQ5pK!iut^$mNL@3tyw6(32&~V<+T750mI@ z6@_wY%b@>2d}WXs@s%1rYSci76ArWDKYjT4+1l5RUtm)HC&%x__$yXYhQRV3a#e4v z)Pn=h35;zD16;I(u`>f_H?bbWUWD19!1FjwvAqDZ8@qTWQ{pSV_-HVc8t2}IcUg^_ z9o5F&35(thT+7hk(zjqz-jM|ih-vc=DoO{MmrB71=4*Y9 z-l4AtI;>@oP!?xHNdQXMS3~K}F{%!IO%aDtb?|G701bkriT z4*Z3jRSr5iYO#;7Xf!}ip-8L1gz*j!KI8C7QP`<)-+_R5>gq%S`0Nya9Bn;E_@i6f}jh0#0UX^)Myn~>I!;XYPnh-z#ae-AbGs3;1BC>fJJv%{7lY; zxj!GCFi72Pr3wSLHhpSE$-v0vy=a1xUbQxTZbe0Kv^ITVMMZG5Htn&ZA~;%`_A;uZ z9X^yI8Tc>iC-2y~B>wUD*jMSBk1XyIU2t>_!D}*>@Yk=XY1!jy=Bmp+QtBmTZlHAl zlPK`gN#m(GiLlE^%V8QNUPf9j)7asRG#rsC*_V;#WEurvMw+xsDVUL#M{N{_Y#d;w zcaE6~7}q!G6u4E!mos4zq0?+14CnBiPP)zpd}{-)$^0A8!8qQG?+ed{W#rx~MSj9P zd8858GH&2J$Nk;C#~Fdg#yRIX8{2=^JIDz94dL9z_O;;eAH{as%UAipr#aC9m8}IO zy{^hOPl*HZ%KUEQ;XoY9e1tz(4pCd-UH({S{KxUNR=n2aUc61(J%V^_BHM z+mc!fHS?qLl$r^h<|+B}=(I3y14Zz{G2YVva2%jvo^}QGcM`?#7EvA)-Xd+jf%jby z4`WC43~LD91ApV<7}Bl#iix!W-)7)_bNNT&*s&%&dn?d>wT4G0y(xNzYj8oyH<*BS zH34O-qK;NqFW#ldYuo7<1iikibpoC-Y6~RVYkaE~KEp0?Ba|eC1FG#bDM*)8S1x5& zD*h8aX#3yv!2O@-LH9T8!7Sn+<9^To?Y?Mw?%!zVwGQoZ_=CSG4iSb6ozpxOSZyQ$ z%#a(oiWU^m84#*;LT9* z7E0QA7CfNri14nJQbqmXO_S991v1{@3f`HL_Ag1pJq7sl5?`lC>K3ME$fIGB`aDzd zjQ_|+Ogr!k|M7}oL22|P7$EU>(!u=tUO-UeegI_yiE3MK5t6n_^#ziIJsu8+xvyD& zEUoN6@jMP-*xzI<1M>IX`iZ-Y^C>P0CEVpeIN`EZa$WfJY5mh`93=1E3Q46U)`n-p zTz>Dh$^GUxTn|>I#E~=5IEAqaI=al zL(+tSMF}R6LsYin_O8G%KZY5M2MX{XI0>AI>kY21K&h4NMzSZ1-n#txDi5{%Q0>|Z zurs`?r>m(CfSA)Invx1p0aBq6sZePubWSQneN2@Lr$W&PA~-S zKR5*Gt1{Q5dxK}~sITBdg-G?ytrDmxA)QKJm#Au1I^iLmYF$?o`)#GeSAyv!lFm_B z>28(ok#vs9N~fNR^4y$siAHFpQyoM)wahNr?RagHz+DH@HAzp79tpK7om*O%xVoBB z=!lCRg%WkhN-q3de{mjhi>D;H^~T!I75L^eQ!B8aPYCP$o6)5s&^EW3{9>DTFX#eK z$e%tH27m71`Qzb_mp|uJ>uaEYRO?sHt%k=ht|zYVx3PMdTcUuzr8#(lJD{(jW$*)7 z2H&P-&;?Q7yp#p`{wl-o2zCPOoQoP-XF{v9+k73CWrn=w28O8c{Hv=fU(HNe zFpe#yz6NKN-2dAX-LbwA4JW`NjsAiWo29Qbjy4Ai+_-nx_TG`uf=sW%2`mJ_5z1qe zhTaSz48dH!N_n^gF3&qw&H=%g-XRclXdXT#-4Jx8J#XXqS8V>72L#r8kNS31=|G{X z1jBl_`3x$A_3mCgcDh#QlVY!gjL?|@JWi=s6@<#RB2=JP;khVI03ts20nJoqzC_>}5+KRMQpU6*N(2R_$>gZkouK77862fmEH1Fu!uN3q}G z2QgX@5aS0i+P)CuN004dMDf2Kll_pA0(y+H3OW4fG1)Mw-JBk?0W;P_^q8h1{OGZ;itwYy zC~yFPA3ZirMflNU(^Z5YJ$99f@T14-RD>TrcAbjwLz6N#3rXvs^?qn=`3@l`$f`N` zUuXVmup%q?G()qgP-A_dQ2!Z->wEa;hoi%0?1MV6`GvMyQ+4@oN@U8`fa6ds9aJ{}X-F}`8_h2^M zpYhB-J#U%#9c}?3+|x4vmRn(t{vw3YUM)VrwRIC-*vD;FCA*x(8h^2Blhi`0T9P%U zAgVxMiinacST;??*IHHGruoT{sQ3dbpP=tWIDsBxS30`gJlZiZElJ-rd(49Ig41>_%DB?vi+ za28|_`;0$3frUReNjQlj?4lk*$wcwF37lC&t_E?AHK)=ThV6FYACVK}9~CNLCbqf! zYa*hb9@)R}l6T-=D0_G8q`c;Zd0GC2Zw|l`ab*9(M(MbH&nkPwY2NBUIdux2_99I0 z+LG^AJ14RdpSrW^oom(0TCI5AntiH_C%D69?V0;;zD^uy4d(N4&fTz;CN*)@CN%G! z4+f{ybDrPc@6RyPN&J{}9f=RkjAysszd!H{E72083-@K6TBpa`IMx;!+-To}P9hln zB6$v-EMevIZ&n;J-!F63c-JvN)^1lb|0YA@T}8OA@TK^LtA&3|*WbF}81w&7_vP_X6df7*TLRK%u|qId$*t z+gZ@}{l0%bf0Dj+Z!M=zopb8csZ*!Un3u?S+`|pCSD!@iZ^ZllxiT|Ey_YgAkszbq zk^0-?_P0OibixgU8v@CLbYx^=)cfcCU>k_OGrsK3%Kpg$h*N@}z>@QzzN#m2tO7eQ zPvMP(gjus}EPHzH0Xmo=zT%5N$k1kymIp??PceygB-|k&!tf7!`dD8EmL_1wa%sYm zSmB!u1Kx~!hyO^_&7!+JE|K)q!&w^x4knBAZ7Bf|Fw36^fG&|9fP~hAzX91erWty; zcs*`@Qzy(NI&_$Ipd>Sa;CnYqA#~GV+Cs@-{p}I^+vz%elT--T;u5&^qlj0EAaDox*Q?nh>{ z^pU!Fr(m^)xy=pBE+xHS5egFn@HH0t-WRwGwKW%90PpUd!QMj0I1T~|{+$62P!RD% ztUcX)3S7dS1v3!$Zg}|AKduAn!}`P83qf#`b-`g;dRdH;Cya8MTw7#w=>Z;*b93p! z?}3C4xfG`54!Dy1l!n8kHUo(Ki21Wi$Q6AOmV8bArYjSc&`p6O(D^rmhpV;NBotz+ z1hq1dH;4n%FsPzbUU22znS1*ic6=Y#k#AtQlDMwI$wxV!O!xMsKGOAZ2U9T;Td2WQ zu-hY=`~b=iq2mWMtC0mG1rwnBVq}gP&a)>NkTt50K#A+qpChRf$~|<;2ao(qUf@tT zebmAy&;c3)h0y{CKw11LVZo0zMliALqs~z-0|PsSzE5g~99!(Jng!UH_<32<&9($m z6MjKwR(BOxU2=3aNfy0yB&$6%T7FW#6FV@X#a*K6Gt41R{{lruPAx~g+^@nQ;KE_Z zuc-HZe3J7*7?w?Z#(hOPN7UQEVhmRm^%m z`;ATu)C|r}2=)P!=i-%wKL$r$sk7(U+0T-6#v0Tf_1;DigE36Ec=Q&ep?=8Rc`bJm zg4uqGAcJJ;RB(@59z$Yxgb2j_c4?hF7Va(>Jjb~h>F2wodnC9a|Pg+N; zVBvQ(woXA`a2tbU6i;{fm}lXP3A9O)9lk*djK&^}xGlo>y_=d8p}cKY3-+U3kW9>; zAYMlQhu3Us_zS{zFqtNAHg?Y_jggd`dnS**yIy;vXf2<6Fv zZfc%Y+bGnA<1yWCO-xWb@CTU8A0I>I|Hb&6YxjR|+y1wK7n{Et-sylBU?S8d04A($ zMtrnY<3CU%V7{;(Fz0EQ4L8jHyYXLakN+XyrKJ=Y%4sRz?+3tP zJ2?z76dnedF^sA}@bLV!U`A7s4^F9E_rU%&4+k^sXQwhekl#=Y_Ik=2pBzc|@v^rG zUr7|at>xhp!&jx1hc5@$T%LsqA)QeWx(2{2iLKbgTsbe`gQs(Kqy*Cjlnx$bkVO>F z4K+fO_-}A`oXC8AZIlkEy4DYQFs6Yvv2RL&taxT zo7qY}nBAh@Es~3Ego}jL{bYQD{emD6Rosyyb|2Y4bPdkvv99T><-;cyhc9UY;B47*)Y_3=R0g*m+3^dhD5>10g}zJdh|?)LJn>?dGPo4yw)9Y>c8gA$-%R+d0$hQ5NahnO)!`?7}ydw-x7TBrgmzJ zeOkI8VwI(*P^|@LjbGteoD3ny`%PY36ZJkO^@Wz;?cm;_(TG(WJgjDBbS!*zy0(_0 zm8Pz}A@xB1G#kU@dD&kGm~;^amL40{RUJ5&<2AAS+2= zRm9w6i@+vk=ZWMFywa1@w0bp#x6obLPdgyQ0q`1?xtl^92S9cWXz;uQ^|9z1%A}2D z<~g_>fm3RQ+^P4|X7%|lW<`wDO@^t|1z5DBC)oPjpzZ*f;fo3jxvf`o@Ed44*T;w% z+_mAo6l9egPu*hxCC=aE_2z)*^_9`a!Zoumn-r*B*;8OHH@_+Ky#5Bl;J2A{ssMxl zJE?HRO`xFzSde;NYR1`i?GIy^DM#@pNduvMak=2$&^J85wd*^U!eZizo#>tC^=2+I z!YLa7^rYwtH5Usitb6M|Ao~GUPyH1@Rk7#wR>1Z~AaY@1;pW*B1CjFM5-sQsqLQ`k zpP~0`QSzDo`yXV+y1PcsM*Qvm}fIh-Qzd_rD-fKwAbc zeAQd`29fxQ_H*Gm2-GsP4~WTPxXF4o1OltnoQMUiP|XIlLq>d~dLO@(5pUzy8m!hA z+Yz8`ukj)8))1l{i}-{RLL*l<%$GW?MgxTu%O7&%Rx}h{m0llHo?`K3mxuMKc1Bi0 zD5Rxou!UTYNf7Lb1JCA%`B}k}o%01T1y5W!zcnu?Fu%EHq{JHP6&MxIX4m0 zwL0$`_T?yJ0;Jv=MimH`qDT&kl$+zBTA<>gO)1ABk8oV6OaiW`0C0hSB!G)rY*|m=L(ue3@T)u@eghPYxh{IAe05FBaA z;GlM30`coW41QPS7H+`4h_k3%_(!}S4U(m4)O+@qM3TacO|f+wr$o3h!MHV9eYqkz zVS#^fB0Wg(J^@HO9Xq&jpY8LCO+a3fw4bKf`k!tn$6Un%X z3ZcAs=!Eaj(eD@N_o4B1+dX$9PyzFv{zx*iuq&Sq)LpUK{p`Q&O6V_u)$h5xNdt56 zVLSITR&Ml-7<|NQs|rK_j{|1d!oRpB3|$D(Tdo8BRaw6As?&*WO#5`wfd?M5fY z>P{GuF%_>fpx%I;_WUC^u|qG{`=Qv=3g^OW2tpA~K)lTUxdH4x4}lTi(D+#~Bvd6Z zpEthc0Zh{_tcd)KH@2b3zNPcfrh4@&h&@cvey|ixcx%+FVoI0eo4A|}_j;t6r9Hz% zJ zONqiN{1T0rWlD+4YWz;eub@;q#jbQnFmb^E(9iJs>BaK`u?;L-7R$qrEia&JE;Klg zSlYv3snLgH(g3z)J%Dt+@j;i*Y6*5y9uhL{{AJS|q9V4R7Cygc9Nd;ZYTK_U&#jeb zdE|27Ww(renfd;Jo!0tS#vg;x;rN>$6pTxsc088Nmb_6fBYFkYLTJyJwDuN`OP}&} z!JpHo4L3iK`pV4oY&rtq7F#Sd)1hwRDThxcZk|D?l+k$zV#NhIVh3U&Vdd~gSAphu zY_Rap=~I?j^Iqp>ItPc#6XNmNasPP)k84oG3kYUciOmuR7HIb^frE4Ao(w+}Z*)cB)+tXy$zlKf zdKv?#Vc2Nh$AqSR+FCXvyM+Pkenq+6Y|huD4eYqyob32Ig7mkVWj$$ZG+qtKuF3DJB6Np_;q{#Fg~l(VErK7bEaOBK+)t%Dq_nnA4%CYyEXQgU10g8!Oxt$V=wPx;tAz zn;m^K{4ZMUylZOLG6ahy2{skpkUr%xQr@Y+Fzh80181HPII}cGD3T|g^UpJn%O`z7 zOKsApv}<8i13}WhK+JgRPZol_mPg_y5~kdKWIN&dk`-Vm!_N#y->}X1yG*B)1m)HX_xBK9ruDI}H z(k&X`9+0{QwFjariwmzzpYkAI?7$0@hr$e+?aPD}-%Y%G+fpp|1e_piTI(n!1yD*+ z>ktpiQrxAuKw>px2Ow_x)b?nZE84~X+KrfRF8`c=02FupFGAL_e@^vjqCl$Z*P_u_ zT&|TLvtMFN6eEvbtjPQ&yH2=A#N4Nj1E_meB=S0$jp`05BHM?SS42#o%mMt2cMTFKq6q-Rooa(Jp<|3 z7@4WZxB1=!=|+`=^MbKlu?o%9Zw|!Q_aV}_1EbUYueHhll;ltDBtQEf^)B9yUV=N& zLpUR4ZjCu3#l|!0z2C~kvxjx^j2+R>wy-FyR9n>k7)98_8|#Sm1ciL}8C_!tL33xK zDkonD`gaAEux78;UoZl=#pa^O$V@fxBhua$hbzuPwf{!7Fv>?prYSE!gJYZ^=l9ZD zV!{%Tfwo$cxrGfQJs&p8|3=bkJnJVpsp{!3Y9__3ym5B`HH zV&#`JQy4Li<0_EykLmK6hb(`KF3(IZ0Hpktx;$ZXpDkbyV(kyr<=b>X#xvepffdfR zRHC5CLZcJsi54^UsGqx&W9qf(C*y~e_)kI}2T{0Yn($;iQvDqP2{Ul_$Q&O{eDlSI7yu139!K%1#~DBgPX z>eOufa&_IBZ`FGgKj4b1OOCffQBGFNH^R;k@xBK@b)NHIOeW;(PzZMw>l#+c*NhGLQFGP`0nZ@UT=`f#nM^$j?Nn)s|( zQ@ry8bxxgxNF^XwiBXylVuU`7 z6%xXfl5-1cXudAIx=_q8FS!!SdweZ*0aMgm*Ayu6(V`o8|GKbJw ziT6VEy9=gbvDTX5bZE{qBnS(bAR1~3P~_NeM&XScFGGR&+Kq`0cvjubcL-WA)`mWx z6tBTxJZy!V=hk2jG&mF#OjtxF^t{9T7);?ZF=A$*lVqCZsXfYY@N0Qa?p zcTyVwnhp|xP;Cn%>r18B|9zXXP>C$$CXYs(-Q#S6+z|Xd-$FIts0Of&z$?gzQ;82- z)E>jo4{QN$vN)~wKFKk#XC+Js#BaVbq;*dnof@4!6yc>)`MZK&7X}}}SHU35r&;AU zqivR#LFfazks%RY7{uBvWPSoV*2p|6o3hF((0{gzqEn{|N=G_hi~r&x=EvyHX?OhW zwy$x-?Evqb~o2Eb}rHZo`DMyY@YYWqj%ppbI(gmU>7YF90bv%Sh>3 z4f=fDQVHsEXaBry_7{-BVwoB?-VXuP4u8|U(;Y8|&;qtaH$#a1Eh9xYn$st+} z5DPJ`Vb?6VA|Sn{^LM~CIqC>$-BZW;!f7A_FG-nF`65BF<{GK)I()UbCP%%wUt{o1 zv>7{}z?uk!`Yd7*nw0zznH_AVov7JH^8I|HW*bSIaej<#E4&|C5_-d}NzrxfXSxeA0|#8w|jNjhb!xNOWMh7=k-|svh}bl>6R3wk@*# z4Ll0lfCH#cwB;G-lQ=p`6k}?ctf!WR*_krnsiogSkspBAzIEBVfHSPsR_($Ys+FhE zfJ5m9Kj;lz*jj3sM2J;F1^w@!dx;UF2#ZGO+)3 z4qplB31W}zk&v*!-krm5Ho63P zoh`dOzBkm`%>=r3NF{3uVeoQ+7+X73Ldam zBmPCry0UA-Akf+&=>k>V^(40fq<{H_b@!uAiXA#{7l91>eNk_BRt`9-)%6HBhaIZZ z@U1-Ds~IIU+()xYv)3}~MUG0t3lM;e$&NRK801;uS(bA1HTl3^a}&myB3uY;&Pozq zyoL*XC+?s=FzYBhoFyQ|>2M4;rDFKkswYz^!4=2FPYJ$LQ@*8?{c{iaK<(opK{#0b z2W<~GKUdvk@w!WQ=6wyjt^2H3t$Sm9D^u&TpM;sLS#%bvq!6{W-Q%P|*Ua6D42VKZ z4wiX(7}EZ_sHg64ppQvFlLcEXE5f`a_C22?d)_{lR7S1mmT5$@=s(wp)G2Ywc5MU1 zeQjZ$)CP#A9|efe95KCd_B{@4!tHqS^6^6RLuR1qrM&d%`$s+X*9m{e`uVnC!PU=) z(1i3xdU*vJaHA!)Ekti4gBvXaHAJ4eE_#Bq?XONBC$L7~2dF`~OjPb|ImSlKl_487 zL#`Io7*4UTe~1TUR$I7-wE^TmD1h+^-RjJ8z|O(74tDD7SAXXm7^Ty>h?W#!V)%hoFv^4)pNaCBB*xZelETW zGQno*tM4rW+lc04Ba%GVnh}JEy{s<|n=c+dRF$QRgb?aDE=+66cT;2%2T56&oVdrkG_2I!PG%)$ zrf$C5>&YnNJ?uFxz;WKTk>lw-$*cLvr{+E^In=Xuj>X8qeIo(?D*bhx^YwmRes@p1 ze5uayxRYa=lz&^7KNVkjLy=T=91;ek8uZgiwp1e$2BIVGZm$%i*z@?k`0DQVo?X)I z`W_&xmai$kSY_0EZWCvmU6gA2&L1IE8K?`0sfOU0q}@EKrhjA$!n0smBGb_ta&*=% ze$V0$v}wU+*E}^#w_GRwKT`3Hc;i%>5eN21_++Vkd1|OtvO=US`&`4493^W?SoBZO z2}Y{w8IFVXGPgknYxZR`DDnfa?k5LJ>7Xe0wrMJ^WAlsI^Wk% zyV`sS`MAGh!*C_?$Ou|>UyQV2T8U*7WgN?#-1}_WvT9O7a=o`y{vL`|7Ee$whCq^>YpE!9k=j$pCu4VnQqzbIu z(9*-z@Rr^;9IA@A-dF#yBs zqoLDK>l4g@!7!BPcPS1D_|&tu+GwaI*d|~*K$}-snSI#75ihl1Mx-K14{NpfqT$NH zYk5xQ+U$Jon6_ES*}F`Bs4op(nVPVG87lavl7FiBXEOg(^Uw6+dH>C>0ngLnuosSJVfu>SQJ_x5Y#FZtI2^IG-C>5&fPY=Awb4YN+_64y?PV>wwYpzk{HLw|npCc!2Wj76fX za8>XG+n=@eTM)CAH%AT8pF;}qOmUpMT4Lrx`zeWi;+jLKpx<6aIsd zyb00zM;VQuCJWiW4(_daMc_@~<+(Bh8`PG+Cnvy}qxCe9_b-gO3GyypqR9)7Tk#oR zl8(?{)*%VGLdRj)>rXXT?D900rqwn?L%ry0i&KUbX?Qh0y>C`>>j+ z1R*4-K{vrjjszM&_ux*nQ6;fkrflZI4Zz;`GE5vAg_m+TNsA`#AIa(SNb1of_%Ibo zxik1`cygg!n++u@eJE|8N3iaT+5p_Ozb~qiH2{*cms>m8*ko>2rz6Gf2xvaCIh}__ zVu$Uee%YV4psHon@F#-1&Q=?=jju6u#cJ8LZdThHSz!ltQLM6mydDg$VeqK}_l(MP zQB&^bP6;aNy?%KI2tX~Ky61sq!U)ck4VE#$$hEW~A?Eu&(zzkFQ-1aHi=nP~gq#L$ zphHe26NOE|W8wd-U)I6}iJ8?9{V4>oj$IzTe}i+t18}Q`8*X#Gk^9aeKwsRbG2hTY z)DSch0OKqG5%KXF%sb`@&CDUkgDg1sEx&^vq$ee7|1gqztgr{;c^KwU^cOT_4#ixA zV;AO7K#y=^Lvir}U`7?|z#}sGeEtdm3Bw0fwuoH&miCkf3rZtdlGT>wv|J40us_Lf z2j>sb1WtOD<*3tyl4R*HER9wVzgj;MbMm3ubM`~!eBrsj1|IMgh~ogH)7+Cf(lKXE zK#a5<3R2)V13q_ldp|ak+RXU75+CJ%g3o(iw(yDlbXLGaZgGyqz~}YyLF2P4cq0y< z&Ug;{U$yUzwSQh`?eF%)#&a$RFs^-Y4H*CY9N3>i1F+lq3uClKycsML$v7TAfhFI9 z64hP*rLN~ajvvf5px^wKXO>an3S{xlta1@zur>j~;?oE7AmWd4_~U$Tg#QtL>wTK% z&I0r_8KU0z|E*1hd61fUX>4%Os{j=+3`N$}sd@Oz|4>VFTp ztomoQtKVSpCPftN-Iy0Dm-f zCaaHR%;JxR{a;I6u(M?yUj>v>O5~FUd9XPV+65-?KxTQkEQ^dB$vBIZnEPSBKowTU z9(qC}t^$aw5X4=n8&1*yZt%b#kBPzp!DrERQ@4{W4FD0GYb{>2_k2I`OB= zV=wfCq?(W|=2KU_)KN7opE}~VllHGi91U0d8|<3&eV%{ny!~emi?x5QT?+Fl)@@7v z_wA3cYtrrS#3**we^>iW$mZ&QyY?;mjHJ$FA<7arh2G8xrjcG!O4`%Tqihjl7^W zxpqyO9ivL*J}T3|3ARmJ@(#|Ik@KrK_fWENXs2FJb-kT{G6SbW z)iMKljP)8A2=QzN@)6_J3=F2q74U}x$C&|!XEp;+!m`Z(M0ifDRh$p04D4x038M7r z1%b3+%5!vYc@yv8-hwg(f$R|KFE0q>1XFNQDye@1PR@0Ke?-#vD`NH7h-&+$Z906u zKW+j8gmG!R|L0ebSaAP98aLT4dWO>Zf-1bYMqZTQg?T}xlO7~#m}4?#%J-8beLB*n zq`gQNBhamR0T!JZ_sP3N{SNh($ z3bwwjmy%vR&`HaF=OT_F2UDA9tV&WSCSw&1%-TE z!b214jd$48D+FAaDT?KX_W1L7bTHCug~-vdI6cWTND=Pn^b5;`S_{}o(QN{=L%hGwP>V<^>ZdNxl!xyBP6)E8h(#?vr z@CBJ>MSA#xo~DtBfE=dLGd%1#)1QU&W&yM4xG>@kBlK{%2xJJ%A}FDSJN~q(pXalz z`cIeo^Q8WPQh&bGKUnJbOZ~;VenZz^-f{hNzK$Oc(%Ic&T~V_UL}>xm*Je@W<0-=B z#o+PBlXwNunluj7e94IYtj9qpn3j3V?9CXlg{iI)yI7A{HQr&wHg}N`+a^C8vHR)b z5$ScfOd}XE_^m!h@@&9}taNhqMoe4Ru-(Owv6xIaZ1*j)hpqebmObVf43_%#8XjhZ ze>yuDhgJu};?F6&5I#+etP#ugY97<$<<5mOGCg&?m&Q7uhmpqW4E{)*Y%$Vl;gP4C zMoM^O9`+x?BL|vBdU&La#7G&5kunmcdL&BqNR*bF7gs`FLdcY!UQh}l^DG6)S_)4b zwKEDzDPu~@3rb@$W^E`1=JP89W-_i?#(1WLao)}>N(+}};wL>^+7mySIJbNLf?W?McjZ&+i`+MX@~g4k*EE}HPOjNGH=Va5cnNutN;HHcxeoQ4VfJyaQao8 znux6ScoO>*&pglhdI5-vQn1pNf;40XXobdc?#2pr2gYGR_%aCf;Z^DdCaj@buNFg9 z(zqKg#5Y`sZ@3WOa3Q|oLVUx8_)0YKm1yECDJKn;Xc{WfG*qH#s6^9HiKd|vO+zJ` zhDtOIm4JpWguJ6U*#~!FN(YLIHSCPM{pxkRHO$znJoOWNngw_tv*f8S|H?Uhixed_ z$jdjM7HmS|ZsH5SYV=4&NTL5JQ>FiWfAnPQF-P^VAAKs>e#}!@|B&Y93sCB-E3NE? zivC?{6el*Z8qZnDL2w;cw(MVJ6)=2Nn1Npq1_GB#e3ep|6zW+X@u#S|r&Bp8brn&X zvqA!IxR;<}f6=xs&Q-Qd@$bLS zLf?{5<5rlCXp{%h*TudFD?}!BkR_`|e7%zY8@X5p|MZm{9)*!Pm4PxFTVP~fhf7C( zY8!at%l}dpzrcs^RM=DDc`>7KIS(DLQ+EQVhRHYKnLfcVPcM|4m*SqxVVS`b-RGwa z#L=m3oLt7!so|o%%n)kTvPo7LZN-w-KhMu>3Y5^o19`qK*hAflqeH9)snB=UQ0jiW z@+10TG2qf=y!Lt9OFJ!U;S2HPh%Y}`+Zq1~&M8%LGTMj*6^M>Rsk>_ZY4E%f z$%vcPU&7qNU3^oMNk0%oS~S$hCJ2i_;K*qai2t_Ltr`52#y`pUqb;G3)m5Mrw-dYW zAB~Z`g~&*2@&hlxiPOJps19|A8r?s!566{9Fh<}BbsP@*QiZ=#9(Jg3MNG#PdZo=5 zrcuN<6iPGC_lsRP=n%t0v0(EGsGje7HFO#lH!D70}5(|hbc&_yMxgX&6e z3yfhNPRqiSkF6lM68cdi&QpE=sI2?yUqcgoo0wlz?5T&@9-q^{iF&vHT}(q+lKEt0 z)=* z!?n)Gj}$+S=3C1PbvWN(pRb1SK>xp&ilp`J^~YrifIj>MB-QG}w(=%qqYV#PoA1$C z`AXf5tZxix;GPvn1$$OF>3j|RV5W*64E>}nrQX?}ikA=2tM(Zt_eB_qv~YZ85M$A- z#(``Xv|@v47SE;dQ!Qwid0MwE&1B2_(Xw@NtdgSS#Byw5MZE7og2;wKPjW*fb-3Uo zdBED%R0?329e^yXjPlD0w*-$Gj)F&&nL5UO88*Q|>-dh-sMyn6%UvWV7=bww>iJ6` z>jkmdhfqXzmAe4M`3o&F~KvT3F zg3iTyioTtHx7PM6RIkT?cIHj2nO&R^8r*a)h5T$lKMpM2%sH=z`WsxGv_RX4fMs|k z0%4-Or9i+O*mQ0&%AvJKAF_jeRgw{_$cXX1<9yI^7N&Kplaf9PbZV!8*fcBNb+3`D zIR9q&@x$#FF!x*P8hH2_g)4$si(}8H@U0-s^DD}8mj?kgyboSj2wa%GyewJU3VP^u zm^^m2;1+t4sHL&_h6}jVYb40G%z5etz`KBRYTMC-7SU!|g!AQ?A`F0VCyskj6)o(w z08a@2429(|Ba8#Py>8$q%)o%|T6tV);M5FU4K9*0aDAouHFsS(^tfumH5oDpa&;f# z8OfBi4@ax{h+~yG7{HsEJA$K#UxXCXMSKqTD&1cirODHU%gF5H(dm_tVJLnJlIK$gPLv?N>1 z49tFsCM{;Jw8UuL0zHhCs^TG=ndu4;gaAVyQ0NHV8^m20+W|vr`p0^TyUUKoC1Pa; z<$gF3S{(jSFbsLRQ6uC!p1Ey6dq4=#>Y(IlO<{Q`=tfBuNFd0fouH%&C>bqPcYu;Y zeqcYoLgj5bKld+o=P!6r$QNTyK>@kg>qsdF&xDLbJgI@HhZGw(-H*PSy*_L}V_Iyy z#YeXLCMN0yMq~sC<2`vaM~GAhBim9Ph#m(;bbIhbt!W~<0>~k@6u|_b(=ZX3BYf%; zppI3hfvEGwkGr&G4}_Yg>`ul5yZotJ_qpgeGOSXagHDr7syG5z39M1yNYL(GB*yP8 zVuZd`xVtk-yg`;xN5+vMK!grV-qpalt!Y|+a_LT%1+o%6RSgwns~m_l=BW$evyO^p zW|l)6Xn-J*?Q(Ge!*Tvn`T-TL4qa!Mmgmo)A#}nEjx!itw6G{Ec$xucA{OMYI3uBt zJ*a&6+0j7|X$y?nqRh#yr+`0l5X>B_1wk+Ih6v`unHpn(v~Smy5yUSJ0Y;)%CiM34;s||IFFzUOb`Q>KhCHb1fZQi4(Hc4 z+|K%BX$2Jut1HACOA80m%%SPr0~nggJ%FJ-xd$*5`?PSoIF5S&;(TEa&E_7!&>ZXm zm_xC1aJo4ZI|q5@Q0yEGG>2m6Am1E{orA&VQ0yG|&7s&iC^m;0;Q$tJ*f}UitVr}1 z)|$`Qd)P_Cr7+mgGC#6PZ4`{e^?_8~$^O?JU&QZ!EwXl!to<(}JK2BQ@}n@8`uGEP zJc}RxPWiTiV$<4tBNf==jhO`e4l0F!)=ZCRA>f)A(|5DenVikUL;zg@BaazToP*qI zVXeInufK1$vYf8{hwR0NVfznZO<}K_jBDP++&l=931owK6u^(Q-%gDfKx{J#HwSxh zCIW-n5=-LtbGamoBqI!`GA9)yc08414P)Y})3s1XWHE!wP7zo$!6--yUT+i(O$$!K z-^}2p_?s21z=fz7Q6E~n`2*e+mkTiW!m6zjgW2-;2w1oiLw&3o`Y}|f_1s54r?G1V zhXvtb*Z4h-H4n|=pG^Kq!(gj7*OoDskb;mZ zVE2z+`cU46UDnJtw!mZ$xUjCTvA)O1TKJb)B0a zWArWCT~Y7M`?@4J0cHGuBhQM=vq>9vm9h@y-F|Bs=f?~I`{)Ih@KyUqL2g>hL_e1| z9}#MULKz-yj=mW$kFnN61VJ6)ommXBYRu9HFH%_W8>1k&)tcb;+Wx5Z(b?JTR1W1y zy1w2;T?G5|0wcQ7hQnxmk3eA5>^Oz?tjrivSr@d?-(+&w%~~sd6%Hu4v!Ml3F?9j( zjvRijZNlPBFPOpE8!;rZVN8|9Zod7WSoOY-xX4{qZbNpCo*kpeSG8?8itS0k} z$aE#~yCbE~P^SV_K*#;2f>dE{RG;Gk5hN!Y5kzY&ToJgbiQG|1Eq!fQ>pLv3C6S)?F@s+jMVR zek(WMFT;MVbq11*S54JSY;0xWOS2GBuiShSCzIe*msXzJ&3M_F+{_ge%yGCwRcU3B zqMR})al_4Pa>@$dG3+((V5llwB`%rv$5Z%!l2N#K+918y#rk&p&G7V(3|oTpy$fWa z_7!YnTNY{# z*caFS^sQZWu)ur+@!l3uI-|cn{KiyK1_OJOu_4uPQP8(gsa=E|WjJLYI>k|SA$Zdz z+}#>AT8>c*^DUIDt!ECuX6NMcWYw}^l)7y^+%YdLvXHUO&Wi?KoNECyZ zJh1f-ki^Rg7H;(1R$U3>hq1bd(nMwhk(m{sq4U-T?+n&74M_ zF)+e&ws5b=`@-EEH60fWev~gF>7G8czCg0{LD2j}s~*&(xH`;jxJOKC!QwB32M7F5 z?`j8r%>GazI3^5anaPxY)ncCetH1{nf>AImD})(9EF;NAVWOv=$_7^JQSayADs0@r zHVhLnNKJ>(j|8IS$;+u!df#A%Nb2AJlY|RO3T~I95eO&7l^=u>7EJ|3Qh76%ZrzQ- zwBS)N?^d#d5${OpCu+G}YLP8NsY2Z(maT|^@dxV9DM1sLrW@Ut+}j65lLk zc5o?JPw~DYedoZX@Oh#dWcRtxy25pyyBlnFvh~~8`G?)L8$ssl>v2)dc5@TmMGnV? z$xUAqA@8aW?!iTCM?b<*LLS~ss@5c%gt>(+*F%Y~M3WTu}s&nrF}BubiWPl>vrz%yf?>j$Pz zFLT_K#Ds{ZYaw_o01v@wK%CFJx0Yi%_E_NhEj_hGlVhWMlh$RskvQWW&o`!6!Y ztlKrj=*rRVuD6wMNGG=WzR%rthdyG$^AmjUSa<>l9pqnnsQlVqk?|sr-(m)jdRKss zFe`-ya#Ep8Q1?Yh6k9OzxK|4SKVToXa)VS5M~MZ93giVD#G_l&7eeHUPk;{$2A~K?IGge-H!!vxfF(E%vDmPO+V=~$+R)ko)tciN>A)k@pno=+`c0hD7eHU#- zy&q7{VnS{XW7}?vmZKTCCk#)B$no?DimjzcNSy`w?PaR0KdPu3Sf}(E$Hv@D-Z#|E z2n@DB%=>6VR8RcDVr*8n59jg%U_8*%be;Dh?Rzsedph>((PB7X=ICNPLbd~@{&)gR z#|lg*AyJ#3U|oYSU7b`c%Ud>j_KgV%w+XsATUWJ_|I&XdFM@FB%Ho*05BtzyiDiq0 z%r~05qTbv&^euQ5Z?QgF4OvT6EEc{YG!Nqm&_ssw^?gWaXb_I+hJLtsQ5<3l@4j#(%!M2I^qM`xks-8>t> zT5~C*24tM^d6xur$L*L)d+E8fr_80uIu==h?=Azj^ZHBNG@>t>n66d~buheTdgmC9 z$FGm83UfE~%v#RpKu9<%>a9jXkLfr(0gI*O#Nzo#M7_iCS0ANj)-=Ck%Iq2bu% z;D|1DKcR$21VmSgZuxNBlc!t0hAo4co`Oo&f(EJOM!~4MC9TD%78WDyYuFT%u2FC8<5iWj^fye|3NU?f82f_uOdw zsRK4s5LFfDIHYs&-Uj610Quoo9`&99q*xO%=3t*}?%~R#HzzGX{KypCh=d#Nj^cfF zw!X()a%0^r)?;Qo`51uU+``-IqTX?ks#e$RU-N`&uGJ90;R%XH)y9ERXB>^3c zD|n~(Qc1)Ye2E(@n@iY^a(Pr@sPf{|7dkd30kGAMJ(_1l!|=zp+o#?}+;f!{C( zz557<0xeyJDhMbjBgq0VcCxkU764jo_Iif7u{Fau7wjl(mVM{{3sFPrx6eMi+Kqio?C~1lrN>0Ep2aXt+~_#cdZsar7iyVCI;J zv8P7)6?{~XPXNkD5LolWHZ^Vq(OQz`6iS+>(QS4#Eo_hyAG9)R;e&g$k$rVh8r<)+ zq{TTEbvwMMz$Hg4J(*&@syOz^QoLZZxlv|@pf~ERz!OAKfh*e*62PST;_Q=ANd_x9 z*7a3{QU~W@I*>;{T=m=KQPy3KJo>;bj~WUbdGrpZhYsZt_yeAoR3oXeX%<x-^9+abzPMz)jvY-C?u zDtNzbMN2ALQb&CwXg^$|y=5q2ZD|Geh;``I(DPDmv}ed|5P-n?3Y}{eaxF>lYownO z1Vu=csT}ptMbdfi^V10WFx^lc$*%AJk=vY zOUeed80cjQbd0~#`Kx#31&}Whg3H_jd)E{mn_7b@6Z^+>1KqQgq=xb*S&-(b?Z0Q0 zO(HX6+hC5&kolJPZB)ojirgde$hbtk&)y&;bumR@74#n@LDw@EX}UJ^qu#k7I=Aj} z*=%cmgI(UzUCOQOQSTp-IXn~Oj@lRm$R!F!quxGF#xvX0+O>VHd;V!NS2{97N>P*; zI90(*cfD{$j!?axs-SxzX1`p&B=aP z1#CHGVP-NuqX(B;KoK*40vWqyZP87{jN7I#z{)K)g>#YJ#*L`8ju338kJ;-TL+zgU zt0Zm3O9s&x>WJHgs$o}{pIrlVsl#FWw-zjtes-Wk-c1Pe*r7NSeOA2oF~q*U8@L(JS~5mj2{=;43NyO0r3Tn{p!6N+tS)M1H4#6!;pSABH*kjq63dcM7eaJpvY}?RV+=w@ zMl$|B5r&W@GvKaRGo;U??zn{F=%&V@qqOIVf;A#e;NttkE{Qc(*IW{u>aGg z|0hZNziUc8jt@M%+pPK?vT=+-8+v!l4u-m=>QLk^XZzgZ=Akh30uXyz)ceezC>q4_ zWD)zhc@D8(I;k^JBNQR)lNdd4x-zJv%OVBqK^)@Y8NvE9Ss^Z=Gb?_9`Ci_gIFd8p zW%##VzGI<=Sg;0e{_E{od?fZ8LaF|<_XjgPi}&jSd4EO$9Nj7WaLR|2YJQfSdA0?r zUoGgz3dJXa06>c1d`6pdd2R6Lm`;nw9}0W%rqjvuL{d=Y=!JNUBBPneMEjzD8S11f zI>A~S`js7O%RcsOx!_3bMiuHINdZxnY7Kmeh=B_A8lLpJRz7&3rZ~w8m4GDkRjNr4 zUAn|rKFLb6w(%E6w5fnw+%IM#4p1I04pl|df8mo1Bkj}}@!7d>n1iJmFSOA0;9^Hm{db z1R{9!-3?fiT_7CjeV-qJkZLsr(>auCn&=!&bGh^N;alKCj1?&^-GiQK8oxx>)(pl@ zS~_;4q<9~krKd%s3}Srlz4mN#Pc^F77L8MA(YPkqX5NM0S4O3i{m;m5tz}v-qWl^D ziL7MO^-AW1-$TpCWyo?45->--Lqt??A#fy~u#EX-5V9QWtYFRvrR!zm4!%#u^?w@L zA9Lvvy^66$t8f|%{?OOJA9^ZIWwr5%?u^gxyzViN%q)d0fc z&-%+d<4>+9YoO0_ipxQ1IgWNeUzn+-e*vELdXlO?jD`KTt8G|R76Z*V zL;BNtJ>WjIxrXfN{mOaa1B(;6d1O!@?mwG^tnLNfQFtK$`_v1k$$~Bk)M?I_g$EZ} z=S=1BF3cAA=By;#_Cm`&Uw5$H8hy!c$LI7~2cL24b01)`@Hq&RPlpOA{I^PQ%O_9R zTPH#Usc_wbh669g-S+;Q6=@XLT$*dK?FQ9{jw=Us{Lv_1O)iUE;Dh&8R-kYVHsv;cWBa9nVKRh_cf;mUU zZs@iptNxAI|E!x^=6<4PgK0D&fv?{qYszB1b*^ zkv_kpx+5|$#8Dci+Lp6f7@u)p20zP20{7?es!C0{i@|Bp^GcoWeV>(ve-OXlDQQ^3 zk*ZXq?@fWOzL$x~YBdrPa;V<^{Zr&m%Phy zok|AliO3{>NE4gUAfNKom8f6FgvlzE76-|?dt8tVu^_3RMoyM$PLskJCfZa7ztpoM znD1q1hOj^$5%m^K7Tond6K6d7M$qT?yfe9$5qQ6_RKGdzoAC1ku@;$B}AALiREnsIXvmj@eF00ldEloa3@p zkW@hd&nK!XIMtE{R%segzW3Q1(89OSGU-kJxX{8?y17wkj=<-r`*AJ-%GgE~#R&(S z7I@JyKTlUP_}QX`>3mhKCf(s4`)c(&jR}iZs@1KM*0eBL8FxD);8QOnA++!y5W)K% zNFb9q24)V1J`I8G5g^{ChBNVM-)jBJq6XYJw_cTOXV=!Nosh^7wrRM-%+grgG%{H| z2|(CHo+?7Sq!FL)!44$R=S{l(M?u>bwffZ27KHUx7L80+U8HcvR6wG(eXeO_x@y>F zJw?5dKgwWY9_$Vojlulk49s`k09Go<1vCRiRxo$1<|5*CceYJ4b5W&5Gwu?TmqqqT+nE%ge*Fe5uMGK!O_26zTbb7Bi|zsD~>+v2W$eSp)SO9 z(V=|rtogHYIk zz>dgVdFQQjK5e)*IiWZ*A_?E7%1ev>rmK~hE6J-g6-IG13{8+ag}-vt7gwWMG?oWt zFB|jGKxW8uGE}Qo%s_!tjX>u~p}d+I&;?KPyoNw-4+^v6FiymqYypD&MVi*CTj3yT zm6~>1O~KgENhmrtbVBXHq?@qYLgpUR+d?z$2f||u7KRBb1z|P7XxJ}b*mF zDO9MTX+F_`rU8%6WkG#b68{c9gQp5U>z{!@XvIL+p%M)5pK?WL>#AyERfX)w>FR1c zT2pTaY}JOIJ&R-Zyc5s=Rg!iuu!r7=?4HFjd(!zfZCi`%PkdHS4YlW@PRRQWng89Z z5AG9M7lDiZ2|K8#?p5G3|7cI$iyXS}J0jJs-H1z1W7RD?@Ws+lt5vDq=p9nDf@?xrkXFwuxjDDB4-XU?c3&D@jo<;~^I@cRAUgKz3(ChSIXb zjZ{mmYBRpE_5a9U9bCu%U^4u1gc+x+%iQaWOmxPQmnU7=$$-Z6Id?3YYiW(8(bGEd zn%eg*pa6mZSnRuY9uPy};GaiF0meo6P)|SZeck3!_P*|YS2+8+=8!rT#`VdOi@}RH zk=78LViZ*RrgX#b7^Xk76}PVYv+y1vy9+l4FEk3r_@-bNYD`XfWMo!(q%;%f*TJ+b z*+WMIrMBxO(?>`8%O4dA@P&J%Mva8JTbQ&eqIx@i`)19&-pffZkXu8&qNk>0Lv@ zJr3WXj)p-0*bDE16gG=Ml6laukj2$={V4LnW#6>@m0tKvbZFX-#(?N8oykz?=#zBh zQ1&u+eOuLEaijLrJM{A+#CM1E;QP| zLk=C#78|wJcPF-kL-u`C|qP^ zHdKU}X;G3_@JC`jG;5;E*CXDr-TfEW$GQ*qom(#;@6nWr{(rE9%CqBf$ePrTN6Apl z*N4Nt)G5;XFT>fO0J`52OnQZHBAv5*4nILfMU=kO{n+-$V}AnkiqqM`Zes$@uotg_F9%>V z=V#}!J{T519|x6d&dMrQi}QGwIf5~!k81y3i05i&Qizr~l=-WZLLWMO(%E3Tc#)~Q z5cvz#g(xT+)miRYVwu9nB6g1^cy25J)e0|;?E$e%*iO&+SLg6?S%&x>aGiM z2As}vgK<9Xa$2*(UFB8>_0?F^ibIe!Yy}x`tB-fdS`H@a|ELm&;F1lTvxlD%9}b|6 zWwUkF&#)vzGg?*aC_z7<2%-cHdP6|6%RGuQOJUzhP&c9!B9XGGKVV2jMZ%vvKIQX| z-%`#GD+_lI%u6l{Uz0W*EQ5bpc=mY~qq)GmqMX*`a`OY+b6SL|!+i%4s7UJZH+GlX zmt*|FfJ|KH@xtob20wn`TQd1${8RY13;*^S(Po@)j%57!0{zX8ywIM7cshNJ8YV`p zkQW(NN`~)5lu8dIGT3R7A(+a|j;`2gUWU;i>W^si{@>R7{aQ*N#pCBkAY;_)znBA( z8omjGmxZOnmh%w6=b`Nh2}_Rz%A($RXz>mD;6Q*^V-~A$$sO$fz`{U@6SyeQZYK!7 z$veu!RSS4xxBC78JJH=m@Wk|>C4@UfyKkCz@6{Ha(2OAK~c;pUbXg=D9#! z7l}fMIVZ2>eUb?R+fnSITtZpy5AYY#k-BtfXCtD&+A*PxS_JR%6ya1S=wfi<@WQ>G zxi6t4ZW{~sJ1>%Q0MF>XJ|ApEbif+uL6?AlOx)`DO7(bg~xcLF%R}kW|aB^8>YFb(D*GA)kWJ;RDagEhZHiWPdQSV-`&9KO| z2Y9HZcu8!fwQ~xQZhbCf24gacRQK?jm!muBpn`L9-NJ1{k^75 z1D|?MC?>ewquvwFC&Ig{rDIYPqKLz^BCT-91XM8V6Er(YY6AS>K_mGHzpBGrfnCgu zQ~5SL=(G+$4%8o0@uMz5^{QD;r1whadvRYELzA(2*V6g<6hD5ZPeHXG?(3}AUsRB8$@O)O3lOW?oK7&$eEE(}W zP(%vSJf$WACI&97NYqgr2VSgYFQjN%7{gqd#;A1VrgspkFEf{yndiaDe{JyS1x5kZ z%UDb_Vn}5%U53T<+K?(kFx@UjVWn^CAWbSTD(0hQ09Pk;XPqt83DG;subI; z*kbcjSf+@DG@avMLt83*ZKiO&*JWN z7#Yvv%{BYRdWz+qRaCixJQZEh`my9!FCeSvo%pl~pB8A7toFXGhVX%n7n_5Uc!w0Mm_Rv*M-NH?_6iM83Dbp*!OWWcv7zLq zgnn>7M>@1imJ6?eA<;2RdhqIqdPkzMB@lnnmM77HfcbvyXGy^vYC;F#UxJKKM>eVx z5%2^^$+QX`gF?V>>rEwfJA*xIe@+T{x(~WZuA9PwWY%zWm$ehJDx;uSfI`~x2u8%% zGm(W}s%7}a4itB$&f%*xb;|Dm1^ez9@MdvjXc8yUx5}-(Xu$hVa1=eX#Gw;5TxyKZ zkA~tAS(sge9_Vf>Ciy6o1ZR#S|4Wngb3szdt+|?Xtp>#wWyfh0?D4)B~?*dEd*@mLH$QLDl zoNSkbcdua;ghGeqjAiZ;S0u!p9p|Xhf)vrGUG@N(-ypv#IJZ>d^a~~}ECgG4-&j{% ztO!?mfVfWW=$?cX*X-YG*y={<4jJrFCRd7pX?HeZ((yFS_t>MSVLm~`pw{y#1%`G_ zf;x=*T(L8~UiF077MzDt1~jzh4Opvs_oQxg`Y=ps(QrO-hov+2x|>y6SyDplVeELb znnk}h-AzBOdKDeJT{#5M(fR?s+`@B!>3Zsa zK(#Ip>7%vR%Qv{f!FF@z<^udwsfG?AratX0!+{a}=(Ko4yUYW@r85JV!PgC_iaqTX;ZPeVjQLn9T* zbPNPmPZo~f%dxGyT!v|l>aQIwz>WBx!tvdxX7>}5>ztLWa6GJL;s0hY!XqK}b6bZB zN_qI<>>B(6uSLLXM27{EEI3DkR|9qiUO^)eVb~PFP9%k-zr;i|w2joZF-2o%iRCvA z<+$t;pQ64DWJ$WihB>KlOys3H1XEJjiM^5f5_KggY1Eaf zIjci|%;JUD(3MiJvUH`o>}qDwm(Ehgtig~$$b0H=*EpD6AKQ79_bl;zTz!eKdsl2- zD}3kZjlwI@S_Y8Ff!$r8iKzE^FqgdU&*O-Aor!j$-i3TkR!Cs8SVJ;5X-m=tcW2WU z0=32Z0L=wA5Mce#UVWLL?X#+2&8$Mws3OO%LO!61BPK0qS003QCc&yeW}N#Iu%I?* zaOx~@>TGcIhnhmFiZex3oGGee?Fy)hb|p(7lc5+5(%Ry9Xp5&oTSPd3y6h^nVk?YZ zDvX&_80~^jqo7t7PkUWd#}km$Y9mfz*}1~V1+``6G{6@}wL0BFh2; z-OwnhvrtlJqeOq`v>UpT-4Lv?Zj5nv!{13abU}rJx*OTpaBdW}ceQ8nrWkxpl-X7n zKCoY_MJI9mgzR-k)CTA@A|lEC76KHPM7SOsQzmMJoQis{gakx)%c;BGg{tLwI?fxh znMkT}xdfG>NV7spjj{Y0ycz#y4lonqm$0BG2NtPGo|{E`<{xNfK8gzVtQ=%ofnNv4 z`ymO`**@V;=r_IPVS~zfNpHYF^%@Qh2hiB#vd0kM?2-J^PG+K3_+i;e%{gn4#7?;u ze|8No*m(#@)cc5?)HU2|Cv^>Tk?h~lT88QIhpY937xgBAPu-K_7DG>t&dJVA$iB+S zz8$!YZM%SBtBC<9*rUoU1siE7*uDO7$TgWm_lak4^oIGfyTJWQbK5 zF0^&dTA?yFtJwm_;{kibzW(}$uwzl{cyloHq_<%IG51EjtB?r1#z_?RvU(35Ce=12 zO{zWEW%l|32(7nXeGdm1KEW`!MSXz;4kmc&Kj&NomI3x*S?K2{HG@~gs&pb!>2Y&kArp%pS1|S4>2U`)w|aiPZ%cx+FPpu!+xl`YAGpj0Mx-`tNe*B^bkGuQj@#h&Xi#jhh3anf(ZgE|^+ zn{*9(fp5(X1EQ*{ya{GdPurlbqB+yt8`I*kUO*I6EmJ&oD@kVDz1a|mR3xbft9F?&1&KSp(jj+Am-RHrC0Yez#Lwoy7CDPYW+T)eUM}i zB98P1^;gu$D(=89JY6a6y5IztUZ3|QOSqN-Uln1K85&T2cslk?Gd{pkCuX!anRou7 zjkFU?LE23$*3ynR?OfVf`d-KMbv=B+Fzgn6OC4`VcGja}1fZ@c{?+C>(qG)qa)pA%E_hL)LR86mg5?QD-6$2*cPm) zjx>El*gIU(E5Sg!)f51-8?YpTyb>^g-*RnliMN3n+WHdpj>gneZua`|9Pa(#y!S|; z>w+u@EKJ#IJTMK;Nxk_$9LcVRS(}B|MtH9Ln6*BglAp+3IZQ?V!k?)36;ueG6*~*# zb>vx_$v`(9KB6C66cZbN4H3Kt5Fito!iY2CxD5qr5rqDCcs&4G9pVVXwblJCDU`_% zAT;;eKv_wHaJ1_hFZDBL~|hvgh8G$AP^v~g9deb z(p;j`oTpuLpABi-+*{-AnE<-k7Z_#BB4n`)r;84ngWlAw{O*7I!5Fb7P zCjE|HP~cN&MHn#{F6N;XszO%}hI`$fCW~FiBBgh#I^tQZ=l>6F-vS?1as8jyVt|AV z!eUg=Rij4H8pTIKM0X*9yRy;v#`;R7Ka2HIlwFMq8cb4Iu3M>M)oNSnt6HsZ5qvcP zBw&E>s1L;YeoTy7!7AW`{eQn_=H9)#31IvC{rRxDubDGv&YU@O=FFKh&hoFb4a4jT z;IGHwyRGBzMsW7-NJxk;{VivZixH*2^=9edy%T{I zue{Ra)}eu$(4&8z`KA%l;N*-~(UEPD<}G>HkE09LSuuCcUs)B~KO;aBpyA zWZT%L=_RRi;zKf!Xgapyu-I9>Ud4+|`3mgTvq#2v|NNmC+<@i^e2Y|nedQij)wP~z z$xy^UB-mPi&Bs=1Y4?p{8EBtn z$Z-I0IM_-aE~i#G9wOZ_5wNEneWWo80Ea7fhyzSsIOPNSi=*UV9Y9VRsBqS=&jcA) zsehmZx>Oh!WR!7>!2OPHAyAAcr)0UALc=-i{y(JWmtX=9J=fgdi=GcuvbA?aPx6PU zO44`p15CbEl!ZI%rQ^yOFVMVpe#Q&cv!w67`v5`@S{UI{fil6Mixt-L7z$1h@0|A3 z9{wAQRaSC5 z2KMoia}}lBAVvxQc{J(Ua6i8W*6_lm!zq$lSY?%ZSX*eUJ|l!5hm@iUgKtLT9>8X4 zU`-CNJ@Gn%HO-O;)-+K#rrtdTjD_!%e!9*u(aG@X(ZmL&Bc(cYN2RwRrBnI~xJT&& zG_X7e*dO@1>SEDm_Czn?_8N3KHb`8soYF9nr?5$KU$Wn)O7S-H^BVN zqd2q)yE>YvSAKCmp{|9mA)ISIyjLq&cC*IjM!DF{!nKJTHS@YB<7UEiaN$+U6L1a{ zWFC))^Dv+W9?bgv2&P9OBX|r@BcGDKTY;b%(38I3-Ghh3Ozr)c5Vst7V`!-hY8eOL zsTgtH{15-x+U zCvKb~9B?B=H}H87usUvR!~=vLSOs2hzDESd8+DC&l$x1VSTno%uKQ{HA<3YL7Q}qr zwKP~IeSh3rYmA~UCh}mHf!QH8w)gpz(85DFHY)@_iBZxCCI(Z11#-(C{3z}?7pxcs zhN9QGD`Abk*?e^n3ASSYi00|b(dysCz~SUs`wWQiE;Sf^4+q;hA{?t4T>|#2ED=t^ zT#s>c0=Q>F5cj3&>h55^fPrJZ`WtK|XpLcL>}UcVRI_nHGqtVRIH8#u1~+rgGZe)B z*31slQ4XI>`i`DQ-e}g;#))?cJCn!E$lfgNCWCu3+m7RVb0cm*`VRyIRw;ZNy})GX z_e?lE{W0l#j4AE)TAfJx9{&@u*glu5o8bbPo_F4QID31RZsCmY?qLUNbmsV;%?oc* z@2H0z0+kR0|E9x<1zd>`E+oj4X>rc=z(}HyJ6R$KO~{!Iq zX?$Pw`qCZ;-Z>6D*c4G92?Cv3cC&R_2(_CEj6IA5nGm!R=jJS}EzIpX3;H7Hgx8`L zR9V4k$63{znsyjdN17W;b7GxH?@n_)Mb>~;=P+Lt^1)}lo6}J2VvQeylhhGn zC^u3S3i_K5l^%@wa7KaqF=GxhCVtL!?EQ$PU5DQ=tNP?%*ni6snloyws(Q)~A_B7n zv3HT~ZUyFjQ9;*FwV@rm??9SV;PZp~(K_Ov+m65S$*da+iU78jvuA)n^cO~1Zs0YxAcvhxWq zWoxe<(p!J|JsOU~zwXg4enFZ4Ainzko)fm3%6*`-cLJ0#KMn~M(ZM0%8G#f%WNL(+ z<4Y_dvuYrjUWJHY?{p$JVvj|I!st4%EDb=DQi|u@hJgkHgrtYvCz5C_6+T9~L~9VY zkz}%*Z{RA^B;4?ea-H>8ztdSG9jz7;@E>kD*W$m04fvxTk}8Nyf~$O6>_`WUxNwco zkR}GjoQSxa<~hXYeNurR4n#>Jkg)WfOtKVp5Gow8<(@}5@9s?Gxz=!W6bgV1=io<> z(MiTf|XJ+LaoznTi~PX`{RgBJybjOZ1qz#q`d zFgBex2A)1`FvJ9-IA5X;{d@wQcD^M1WMJNn9ID{QkqV4JdU5s%in9+6)soJ&m<7RN zOz%3bm!h;~YIpRIa%2}Trge%;>bD!o!BRkDxG%Ypv}E40C_kkS=OHMtdvB6S}XLXc;-LW7+O zal^zMIHR#l^xy%o(8qkwzX=Z*X=J--!>MH_6liHb$1zw0v!mB*{}{_ z{{X5X^))pVcR)f_V^D9j)BI{w3Q19^cic+7K_JP%bIrO^Rw{7SetlL-S_#4Sqlnye zEVxQqX&P&1&o!j)RQFO*M`Z-HnM;T=D>FM>fWPT~w1?Syc6#_LEyXu>-F3fqZ@r)yL{I!c*>ySf#|NOC7;lZ)=iwb9j3+%C5U~4K>w>> zJ~Fa1n0w$lw-``ohdeAqdf;7CBS^X{bVaF$wyXa67SIzD!mY!0LQmvpy7&4@w*&ZL z1OLvx^11kG{0M($U+L2Nie>L^f7^5|>Vfvq%SPVznD$%U9@YQoyFJFCgE)nHt{L#d z_A>ihRRsuA&IqTRq0px3Sw52K1|Aua%aq$UdZD;LY?(F7u=+ce+8 zJ_&ILb8om1ilvY#U53>Gg-Y{M`lVB1-{lQ8 zibem*s5Hwj<@pcj3-CA*hX(r!Y;C^0FgB5kDwQ;}qh{k#GaJqiXjY7JmvFIVLSZVt zyG3_`u2g*?o{J>zjebV2Kq4+LRnm@T$j%v9(7Q#=j1y#u0m8+xWPMAH* z;_Tr2rjYv00JoytoK7yD+#uno;fA@`FfmX>AklyKt-S;6|W=ra)$Gy(J zte>lI==CwmY-!qcZxQCHC34REQvCqS_DQ8`p?(PB!CT@zc-^~1(_YEINE;Rv5^lXJ zU!TVfS+Tv~;j{5?k z?6*8B#v)g#$Jgf3aYv?-?00pDkKljFkMk)9bGJ_HKzX{P{UO1O4-N ztop=9+rGh`8(}XQ>&2^cH{!L0lNSdsa4PURO_zL9i8{gDm8y`r3#y~dUAa1hcfbo4 zoa=xWqDphIBzaPyy5LO@!=0`lG{af?K^RU|^Ffmugrku@gVegeQgi?D9rnQio(_BQ z=MHO0i)HnXlL2@q9rj=V@O0SkhV{{5U;9_D4*S(-8RZ&wapyyH!G6<`UutQFP0dNm zt0N!(f2H5?`XCUjm#!Aaq>B%jRYHnzij5vVhlT?2B=1rmf&z2I*q{upe! z@=n!3V=FnQHdYim3rf7#2JWtYZI$%w1}V;rbrdY;sOjK0o}U%}3C7r|_{E&DkZ4U+ zhd@|6Z*&gwuf2t-lM_r=kKud} zB|*jlDblMT=1U(Q$$|g(**VDx4YTlU z3`Iv4a&v8N?3Z%9y$bIta!Vh9ji#n^+2KVHZ0I$NZzTRnW|&JY$4HkSFPN3z1MA?a zd0~bp5TnH>J$cwt$;Q+HOQ)!h&^yRNe;Eq+Xo4vez>kC6#9A{Mu|2Mn$(Ht9xiA+C zUh1jAt`wc3&zh%I@XtUw6P2dvyKg%uR=Big_tZJF3ZR0?9)zIkQqr_~dxZ*T6^HMy z@H@~dAzDIfM27dYF2~nsS)o3^%p>(}F7G#>emUx5l;KoD#>c?nc^r2-RW61r+2S9Y zmX6=#AUHZ~pL{A!RR=ZGossgHE@$fFc8If@sb-%p8&^m<&@OWu+`vlS&|sqBhTfh81&E5pbrqxGUq_N zc2Y$XA7{?qb49YbGJS=Nd`uLjbK0{M{G?f>iPpBPXqPA?sW*qrZlzqBz{8$IVcg;{rVz7xM=FA`&iyXQNdlYPEZJvlV{ ze5bos8+=3R@s|l?$LBjqa2Z)xGUsKmKLOuKRNxyp3{HXjYlI8m81mu&g5?vgxj*fY zC8+vCtzffa7SW|{LPtlCH%>3YHCQPw2NN7ZQlpW~+QPzJopxHKKJPNvR;WB;i!{=j zK{ccwqsBvp(B&P8UQ3|k`T{B#UEqRUseYFR`|y$surDAc0nSW#Xa)rlx-^Kq5OyRS zI~0!!Xz=eL2G-o?<2`Z$dWGg+ilQ79zO>G2=H)PcOF~^_Kr**_dUY+?23I4rKxi;DaYoDE;S*S zjmq((4$Uz~^Ngh`@Y=aA!#ya+8d}V<#E`D)LjBJ<&k-c7$L};I#E{mdY)DmaMq6i$ zOPnlAMHfLrQ=XToC*B1?GA2V13t9E2f(fweDJvVlPjxkp7amuqqrb!DqY~T~0tukx zaipmsmxK(?ovH~FUPr(^4dE$GX{qJyPD5lDj`C@S>b?p?r4doGssf`MRw2XR`)|v# zwlF>BZZO8}cY-5PTki6P(<9 zU9TQ`$MeP>Y)u|Z5#yJgRk1>ppuk*0uX=SZ5TMWtQQq}s&w;lWnr3Vvk-?@^`-Bp< zPaFoT6H4U{o6JuT7K`UUo(mhBBPdP(3~{b4gK`6B+j>xj6sVxdCy1@SkQWl13QE|) z1wN>^&o}fZM|G-NJYqPrUVQkk`d_4ZMGA^;;mp*hph5gvEd0;I4ZJzT$qK_ZBZu%6 zJ)Pif!`GDa6>51tL64Dq<7}PCg3s*c_h_bU@9{zHzzpz?emwPk!vb23Bbz^n_(KS) zv`jsZ+`uF`{OtA*3c9aGK|rHuW-1>8tG~4${=)uLHKy&rfjRMWk|$NDe*<+Bg?B9Y z3snWv!BWUSP)B6C3(mD{9fh|Vp{PAkc&`om=eLy20S-7ekmiO6Re~7 zy8{O1sE_v{;n1md>?t)&)H^3f`3q2VH6{{Gw4(Z3|4lJaMbrAsJW^|wn&`u|8PQeY z!s41J9D5QLE7p^z{J(w-DEVK)dg*##%K%z`l$r%jh#LcMr<#DFnR>x6*j;wz03!z8 zjp*xqdy&;VrUqE&#QiEsFNEeXNAVF`5jj@{CA3ZiWeJBJ^Ik|BN6vQ1`k~PCqwv_6KnX+b+3zZkK<5AT-L?DNM z)AVvd=`)}NJ1qRc3(C-9qvL}aNeto$6f+*h2qAIV{+LXo()+2W>CI#N&NVM~l@n|- zlVd0bN8xlz1S-)U+R%F~9(M4Hy4KIJ+>kuATn{7M33V$*SyJzCNV9VP{AocxkDqpBPagm+jgEL05)De8aWD*Mm&}`xSN2^D-e4+aM0$8f+!cfXM1n zKcgy(Xoqlc(=Y1rf+01!)EQ79ToWNM+KGKV=%{%(A{s26Ua&E1HH}d5q{v7AjUtnQ zQ8@SA4A>}aJxzkiz%_VD#S3q)!U&zs&)C2DqJE2I`rYiY-!s9ksbx*amW+m8D3g)H zHnT=uWRdf`pQK+IOy&Bi0CqYr9i4m8mySW-G%uX~mub)awa}y2;u!K|wujmJOh)6- ziT1z@il$R%fKqp(C_X?Y0@YP&g=|DJYWVdCiKi95Rce$LLY#ZO@vI&NF_f%QcQJ!! z{UhY`3TKDDQ!{S`Z4 zx0Px=Z+Tz@X08~qIa~$luNR_gAM=qimW$YI2{>bdbwG_#>!IvMxe^0g5Uh9GwH{3@ zRo89Qvklyill9ZnXr=B{ui;=q_LXFy=2wt24h@diJ;dL_Z9^C;TK|r#9uJ%(Z(;U} zp5t%551)|#j?0*zD!?i0r0NDyd3F73P^=e{RY5OE1^{9G)G<&x#ka1JRmC6X-xroa zgyOvvs#znZrZ~6&gqhA6jijn~b3X*>2c8mz4n$b3-oQX*^sUdI){KQLrmXM2CW~n8 zkK?T%@BngjzXnuXFv-B$OQBxZVHp-h*W-6uI@f8?`ko>jU|Uc64Uw-xF=+;Wy8Gg$D=Z?)+)T2`r+I;leHd3|fB^*85(rS5S)FkqXSi>IC= zT_=UFVeoQrP%8aUsy3t_^!g?{=Tzz`y?iezVJv$IJykq0AnHAym^uao3`&S6@TH7Q z-H4%IBM0BTqUL~NI#Op%;y!6uD|tFNBCn=u%J;ED84Qe+uVDP$0K`fm5#Hfb$&fG=yJ? z^>UKEf*JO747C-io`s!-RH?v=o1ia$iD|Z$k2TO{D$wQ9XCqch%F!ty$7+@yRFACX6K*TjOevAoB*0rU06qg2_LMe0 zM z%IDmct8X6zsS&SawQwKeYO%zSX)z| z{5I^Nwc)|)0SRs8J4wHYO*U$8?5A@=E#JgPr`1iVV#`iu$1yFbebH|X| zfi%S_yh4pZ;Y}~dv=IT~neaOu5}FHo9X!sxeuv>w`jDTZ?C6~bI9CBNbV|Wn?}{1; z;mH^}K`U8*hdSjQAqSYCHhEcYP1V%z{Vl(jL7n~S$j3k+JqDGlPrfGdf#IYIXjZP4 zuF5m#UmURkI+Lgpt|k6X$j=)44eHm|f-Cl_fhrqAn4y~lA%0% zHKuAO2?w-nhzG-|&eSX6repJ=enHpj8Gs+2(06<&&3aX-L`EWno*Ri2)(;fs|9v&1) zPAmmCsNch4$`u9Z_~&Dlm7~g3>ZqIEBo$zGV|8F6Xn+n@k-goiul0tE_L3MQoR7L* z0<#|y?tqs-lesHZSDL#Lb&0uye;DqF$2ngXn6tBv+qj*^?)a+)S)yJvcctnna~D(( znY(iJC*EZ>_MQ^tRg52fHFh8NcXZl%bsyIwb>FU6$9$sc1}5!PuVCyG?ypyWdJykH zHIIXX(N{CAuH1kG@ibqFin<>06);leEDb%FyEHT}$La{tP)gAO!5gFwt$dh;qj!o~ zUhKTFgV=LJM4)@U>A9G~h&{1#xsZp`$YNrovx!mIlkNTNY`N)dulKBHQ$s;SbEy~>z-Uk~;Eu8nkVZp*(RMR;rK;H6m8g7kSE#l= zz{+*1uRiAy^bXNJkhhHFl4&4y1eLkE_6?bKNJDbX4L#^hEMH^PY576q0Ucesri0*XA8-ki39nLILgs*8}q z0Mc!o2DI(tLK2m(vU@ZgZ(NW&)ma}Bp2Qp-ggj6;gdM4FbgG+h z7OLw%WM*nO6T_vF^qsi8TjB_z{HCw>KU#InzU2Y1pRrL`}v!fIU8CYg$6f;0u;kFN9yyTORy1v0Q5hk z2|s=qWCk9-e#Nxn6m`vg=C)k@%DD}ypX1hyK{PqL`@LPldihVgOL`~1;6TWeE!y}8 zzsX0+nn)&CWA8#e(R=tvjtkAYgiV5}8~>n5E|FCqId&##7z@-3z-|wDvzltcLyro= z#&-4myjq!d*(u(oHEgnQ|I%cGDQq z1?v!?qXk^3oaK6Xi3J<^>pe3teR9?mZQ`3$2-l1n`d92i8_yQue+7*N|**z!;-&>U9*Bp{e2IiP*jSsVg-b z=<+R6bE<{iFO-`9Mm;FJO4O(3u2j8i?t@t_|j6ZBNdz>YO5~({>ovPhxuEZP*L*n zp|F&9Ps0N`w-Hw>RV9tBdTb1-J-Hl=y~aP)T`8nqL057zNgkrr6D)?r|0QUm!!59AB9Do3WhDzp4ao>bG* z<)4gHQmv30&s$+74t$MldhpPO*l{xOmFt8U`1Ue)rD~|TD^UZ?U7^as9Yy1}ACnV1 zIOXk-c_a4;w}IZ+-ra=D4vt@x{Ujyg(=6)@-z>_Djj6H2Ti}w={%R`qTnWK|=PT4t z5R*pM*82m=Eea+zwBI<0D12lsD$!lxxvXX*TRQsdd4ij0p(a6w_|W>Nj1BJELOr`J zhky!}4K`><1y+8njR_cPrr;dZAcr0qe=1@_{PX>@3MFI_H#STpdAKHwu%L|Xq%~ky z29wlK$*2^G9#IsS`}RsY6Y?sR0l$pI|?|JjsH&KO##oG(S-e#|L#8GO~E)M@uuz}r6t~! zj(VGpH+2q&^{jYPCo$gCPiE_IQ{l>-=}x$*!$b#o!`gnhsbU8l4MTl~n_BlF$uR*f zY{E@V!kCo`e2CYmOcB^DIAe?(Zt5(O7dCMzBSIesbsp%*2hGmB&9$X0V z;M(HKs$L%(Lk9D!G!CHI1&s( zl%v;F4)sT{SBQo$X&2wgv;u1ZZV6lT)XeP=D`Zild;Y{+v-<7b>&^PfR!VFOiDA2X zUMwH}N$^tQ2lJ2LcjfnDelI*?Il|k`ZCm_AuD&|S+^aR&ms>Bm2#gftQiEcGQMCdr__3 zNsms)UKg2+*jzTDRGU^(Y4n|nH{yUrf1hTArI?K~s8LEyqPSELFKV)V18^5k)XXld zopsLaqHsse>=Jka=7g8l%r3~SO$?vSoL;iJ1VGVS-d88JJUL6~ui_mAP=CL&Z~zBGqlvd$93m zvAxL6<069_loOV60v7-X(Xde&6jg*-ovu|zzAXC;&%(-4fp=Wh8ipsHkEP=aTXbIQ*BjrR$+Wd zxM}*Vg53B(@W-s8+;HNwSta3)0*0|a(s^>DwP_QJ0(+5lb`3+*^AICX5sMVZ!|6?;mXry6~z1VQWW;rtgTH9 zo#o+;|7-am{1UW%Dm4J(&3bz^4CuZMc)+@lPdzF!t}j0uhaO^|hCVc=SpZoKD^#fv^+;yKzQQrlPwxcFeX?BX;U4F(N*cUKA;WrBv?X(h%imONAy zp>Cg#z%iqd(FLCg|4&G3z!#;#=k@~s@|&!s-I*AZ%!d9Jcs>oC;~6z(%N{XKQUdWO zkpg{=^!rOkHvKL(keB-QLwbbnK-2=@{A(Agw1;PO1dS(w-aq^R%E%ZnW{E*0go)b8ND#xzXpDSNYL{E5Iv2>A}2%wUkGLo44f0ig>C84$ee|at!`*Npp;(-_4{S z#`MUP7b`M~fTT9cKvqr-!>!M{?@WEh0wGhMV_w$vNq3a*Ue}zw)b|w9=faN?snPDw zV7yxhD~?;OL)K(e%SD*@BK`dvz;u*%XyVIRGMZR)0%tsJ8gnvU^YbbE48{vGO;B=Q-?${ZIgTazra5M>HqD{FMM0^+w`h7CI^sdEWH~Q4!R0-Zb z!?zv2Cz+JB%u3MVo8x=|?*u)RmiD;{S}okYZqse((t~s!C`(k>#_;>iw zx;%aq2J}5o>W9Yp11m|cq(4AFT;8geCyFmaI=wZdd@)SciQ;qd0z;znt~UW774|_I zSAoFuU_4{NG+Xo5c_m7k=NqP>89=kY1770Kry!j}3!zUKFLp>D-Tt<(lt?17BFK9_Jy%$ebqSVSA@h}oX6)Kcey=ml>e+QGf z(@`3@7H2Ej5UNYmZ9bILEx zDE|Q*5RUq1mH*{8p8DfHv;L-hQ-3^}`Xk|wsXvpY>o4Wsu_CMfZuz?Y4hp*dYx}6b z0Cnn*1Wv8fSMYCtq;eYu&s)C+D*uQ5;~@>tEh1ew?oeKW-?!I4oc6hdi5&g(d^|uu zJtKJntd203sv}W1S_C06U{=B0W`D3QB-aCoc?%tHH>8*NzDTP#5L1GQp|!*J&A*Kp zgQh8x41!@h%u0Mkp8gYga=E>z_yyec7IgPGFf(K=EAG_iKITie_X*^;2Vco-@98YX z^tY+F&)~Q48c%6Zi;Qy#;KT3j7VMYzFyLUd$fkhppxylRIL| zSHua%!FR}bu$)#Pf-a2U0J~j+aHRH_er;c;0HGkkS)Ijo5mu~gd1l4#MH;Et;11vI zxM#(BCQ>OIkD0_p0%4l�SECD1X*-}XZG2zgc)kw56DYRQGv`wH@%RO&Fhp!pZA zdVcr*OxOFL(;h=5yCeU2PBQv`7TTX}O1H==tpS`1LB?9#eE4#7ph`@7tqVfH>{(A~bx&n_ZUK9z&4EX^&lSpV=PkHxhME zdo%zY(;hy9e0qkre5G!Whb0%<;}&u?Mv1KUxQ*#(4`zH2^eT_TknDr7s9LBWZj{w) z30bAuRus??ZpgIV=EXw3 zI2RQ1lLn%epZ5+E|+SIJJa1|LB6nkpM2z2HwSN; z#=QC&bP0d!WZu#OC##ungg*v2F8~GyQ4O!s*K~6u%v`g z1np_aL1~Nf%Z=6L=4bSfQLfHj1}Vz4xlLmx>B3(@H5TR(L9Vl_+dB%F9VJBJfs>Ry zD|RV}xa-0JARAYNK%G`l8>IXVE-C@n0(6zA-3hzt9b=8TUF{2E$^u7Fz1{@h-Dj~F za%^6_@WOUgcx+zm40Rw%(xn`jF6EI0Eag63%JI4si>2)6E#*zOloyt`rCg3u<_HN+ zTEcU4;f4hlt6hxozl-zqo61Ocw&8~$1efW9e4e?4E!LO0a7X@`MtCSzgKATa?yBd4 zJP*`>JdhqQ{Q)Q`C00?t8oRV0Co!QAzRK~VEqia!^rdH6+csU>^%S1T;zhWqqnwZ`QS=qC1yBeaasRi>(=KG_iG11$p3>woDy^VFIAr=sctCrxui;J~t#f@*pj| zzP(NhuYbP`$WWa!y9D|_wsmC411zzXx-aB=D46wJk@Z~ZKKEHah}L=$Q5zRh4N#|p z(B39Fit=0n!@)t}uh*kZ?1?3blS=RoPQwV%c?P!RgI7LBz|zJnjcX=SB+SE`NzLi+1nKt{j& zZ9jcisBWB*m&4u1GnZhzkQz@W@zJdH9KHrw_UXcYu#lT}?dNqU!!UHocHEKSj}6@_ zXxWD|?Cx1ndpvaFGeDLTA!caw#+;#2T?L%*3CVEsUGl_I^=njtY1HSyQQlzDoHvDP z!*sI8D)s7Owh3}`>=fg>k;s}3b=ytk-H=wF6-%@{>7}i~EWU*66;ax5axy-`x zOP}omVd(m|VBn_jqlSC17p~JEUN6dkDTBS~58VS%9~WDrkM>!{R@KHOUiB$9pjozG z)hu#*f3@!YZBKc6{|1OO^!_EdsAHb+^!{7;=2b)(glu!%i#W<_goIupYXMbo{M(yn z*H*8-@@P=#EPxmJ8WziGDiC~8#*)D_WF`Z9Jf;VQpq#Lz!axtFC$2?w?~QPjsmH|knx2W|MehVZKYN@tudwNQ8xZmR;7_$Dt)ey)BXm-v}6<> z*u(>cK+6V$*M-n}zPS#}$U}<2ZAWU%YSWm32{FH^vkxhY*sl_^yFkydUF$(C(!9jw zlLlbf^RVF)!79r?Wjp=BqKlvI6l_{HFwSE{3-5zEZ?WuA9A2b7pTAPK=QA(r_5=f= zDSI_w3$%IC2*R6yK`5@k^;S^?ACJ$EiH9hi#YUXik$YI}-@!##LZWu5&s9D~vNoCQ zty0Is`)H2qV?`gHsZky`cqXPheswv0j}qf?&$zTEq~P}JFFMIaPt^ll@7LAuR7>Kh zwiY#mOl{9dv+M65sZDJO##2$!wUmq*l%PY#cM@!Xh%Rw#fyA-pm#|00Kq>G$0=tnJ zDxAJn85#KoWgcnJy5YJ#t&y9soQ^4Nm)aHTvz3DJx1$iBu2&JjKwaOls<*K-b*jNc z*>rOwAz4fWDgn~T$S#L;hD^a}dHLhp=nTgrQ%*U19|xV0 zG8owx30mid&pA&>0vdrMK~0M!K^?$UE?xEkU5val)G6cEung*4s)_RhSlYwRZ*Asi zze;`loFfzeNtuXZ*q)(CVusKPyGoa&@o`5dnIA_IrBo$wi9jxyn4$Mo~+TiV&?< zS01Hx0*tkz9v%Swa^iwiAom#=gEztcMwOr*AHR7(55)CqU({y>!|aScAzARbs0SFC zpcq1f#ret4A&>oT6!ol#w11wjOGJW792IHnAWJ<1Ms0}NACMg@qlx><=26AKLy$qO z+VD^ZlGuaP0MVDW&?dknk>+i=@dNq9G?ZRN5j|^pZ<-8hVAsS8lCe3w3d;4`}Y za^vSzy#slg#f_imhtEq74&a;Z${*Q3<(^LP%oU)OJ+4F!mo<}~pP~QEaVI^C^&H%6 z^0;^i4V~iJN`$kSO!-7c_u5q>tV$QA9Psp$|pgIA-$ ziXX-*!HOTT5vd6}thnC-icXxg_j9u1XJAE^NLF+iaTi9@r@S(J3sjEfXy z&4UP6U?q;T66Y0yBAso`CvD=;aZ+t}t3vXG0cL|* z!myjKfVpl?q#4a!*mDRBUFEsby1Awm=E=21@7uOl>nZjuVSPxU2k})Md>JR#bx?th zg%3*W#hAHd&(3cb`~+$lBak!GNOb4@o@wIKNA|-EsrV1LsFHipz%;zkNOUpZJc?j*vYQF~ zpraZ@FzN&&)tG(6qLv9LC?c5Z;Sn0Q0|Yk+PSBSE7M4db3pIffH8|t628_imQk$*B zD3Uh#BS?$WIBCatQ8X%N!q9aIb-gaN{HX$vxE8*=$Myq>m%+<~M?)6#Pa$~*UojSF zW&{h@yJGLWYz4k<@-nzeURMfDU*H2tgM8ibkIK^S`zfVtu1R~ z`!sz!AU3?|+v3=6v(L=6u)A$-{4-99IaPsLcK+g~<8xwPaOQ^52cqkwTy^x5>^qRZ z9In7SSWo;LMH#XzEx^Vtv@+UKEGHgICG(3KQ$kGiej3=+Mtu%#COSD(ASk^VuDYbK zC|-^FhAKzKMnPyGJ_uy2|D}OA;vLmq+yl3;0o?!kPV<)Hc!8BXA9lMz*5s25sxCRA zCq`enI#P5W`o?f1?&rew8+UJAvX1#b4skTC=`>J7#*$wSE@GjUG?wX1Zjf43aObv__wvR z#lg-i)nqWHWrNsXq%q%%mP{;40sTB+ckF;z(Xqv`!j?9F>i`JMsI7^>Ezu;3ESVWP zLA4U%!U%u<6M#H(390V}(DXKr;UBQJGwIQhCue>pl(&7qTaj)swgs$ul=SM;M*%o_ zXx9<_au9?^w;S|RHXUdstrtH&nmqI|Bw$ZOk3dbV$JitMx<_>Ml$ajz1A7F--wz~$ z({}6;o+q5fy+Un5siWGuR{;eK1}$uUA)J$kA(2tcnn&SQ`Xxx#FVwWHm{SwHT!NQ9 zYcYS{IlufCNr~Ea`K1vF$S+)@&g7Sf{XMvYMSx#$f>@egu*j{R{u{+I>=i09a>)+) z<%jL@3&}7Fy(MaYWadvED#c55{UO#uv(p3^C~p_zZOj>w9!Y z1i0i;sR|5&peh(wccd`dvIj3OoRe0#Yx1_#oaZEZR&uNy0TWU+Cu~cpz5lcg z6jGS>nMJRasrt%RoTdRq0pN-^{{&DmS7{_FcJUVTI2DgeTjcF6O;49yjmO7vy@s!G zy%yK&aGj3p#kkJE^)6hm!*wRE*W>yj@)OKvJYSA$4A(1gorvo+T;IVphU<5@#&LZV z*DG;-AJ?mJo!>1{acy5raMH+tIC1mnLGd7DA&Pt9N)-F!N)#91N)%V(N)%_|N)%7U zl_;KNP&@zC5peql_=hcD^V=Ql_*-c5=C_XS}fK{jR;&QFaBWPOWsF3) z6!$^=%JHkfuM)oyeinWxzSjmhXT5Yi&Usb4Kg^IWeuPIA^wT*B-En;zbW`l#jnvy%q0Kx zQ8g(lqkdHyokCTiZ%WjG`leLvrEh{Npl`}mk-n)=`TC|(eJkxfF{C!?8%rsDQ?K69 zHGN=YIbCoS6ZG`5Nm@UrK^Ot3GSpwpUoz(p zw4NHfBWk#D;T)8pe19pxZq08vv_L(zq6x(Cm|BQm34W#c1@S{jkEwhQX8 z9=}QWHQ+Y|zp3~&MiY-W0V-#dUmzILE9G!)NglcZ7b`iU<{*sX9(`H#kyW+!HZ>N* zKkW+hkk_*NL4auT9}e;#%V8hdG^RDp_h$_ILq&;0Vh;PeU}Qaq<-n*fO^3WOOf=I^ zam?XXsZYM5L8X?~#&|8Gw*8OYP zJutYQ`aAeV2JZhec!rRO@DFaGUWC`)*C)cFbrRvlEZl=)Cc^&KVN%{Ib%aJ5#(NMc zS6=o5d2eNQRF))|o<*xO`!3q0)uf#iJq0u%y`J49^eT~}PnDM}x;(q+eNHc+UYluJ=pA2dmU*T{!n^`&%B;%z?reGrPCmVX+US8Re%tDZhD#<;P(pS*2cL zoX$jTCChFFFMyGYuh}03Cj%S6$Y7Swa0xGfvty3>*>9=c!D^HYe2lj}laRPhCq7Om zK1mXP!o)}F#3e`^Vd9ZU9Mp;Ll%7)jS6spi-euxlbYd)f2ObgjUZ(E*1FUZh9}Uyg z$947>wa`Xde+A`*X#WKy<8+7=E0TAo%>z_Zgf6d56=ufG3_|^Bo0^@HzU07~0R)_s9BXD0+xr@JLC~gqRyJdN7_e#HO zUs;T4EgB;JQTM-rA@2MwJ-DH1=n+KJ1w|VKx7YMnh=nn%y1m%nvKX&+1n^!5;O`B< zvo*je0y?z0nsI6y{Md5iFBms$w( zDXQEk06)M>4e*x^z}0xQqbk$RD>VFz0XRhiq%F_ia*GSF$^m%23vgIpjnZ=gpvBH* zYwV>VS%4IaYP1GwD6uhmey0)Z8xDe?VKWxty!M$?v7Q*Ut^iMfn@`!79VB2=NFC?KyzUL2cGd-RU#cN??MVfJrmymh$tS{OhG zB01tlR*_sg1+TpH>boTC^=EbMQ2SARv7YaD808(`Ph9>_w50-#YwK0#KDB*EpcQz~MNp2eJ#amQcGn*;r7@OF9`EjN$>VFh>S*N|B?KL@w`_Ve zg6;KQOI8GH_;|)qx%u$?aUdk41M<~tzvm1K6i&1@A-mVU)kc%3MT_W{mi;2X>PhOR zNBz(G#s|U60Cizv0ytE@(zS?4k6MoC16lUc?CfXunH}e`G5du(&;KjrXVKkds5E5T zo+CuIJwl0?EPfG}@PhNe4mF8qNE!7VNUv9fp1`-B%aKJ5mn{8tmOUg(RA*s3sb%;J zAv>7q$8ZvU`Q0=Gu7u{GF2pTB+^<1gDT1~5MqI)R@&N*xg-Yn`H{d0B3_P<;9dMhY zeOPcA0GqB<>m;Q4O11J%_^isT;xTCuMUjiS0;djz_?6)IznW680*~J%6;Kc2YT3hZ zL>T626__X>z}txTP!}kOr^w~d$+(DebPM%o&XmLp&pjd$6G(UUX)zMUHn>&FF;)8Z z4wkmGXG!+t8_#|EpJMu1kI9uB!2wCP+}}bA-@WP3|bC7SZh zJ=l16pz+Y=D|Lgujf-mkHD1x4vI>6uZ{dv?5!Fx8mU9Rg=6M5_UowAMS~de8z$oNLJ}ar5)2Y@L$h|INN>R#|2vpREZa8; zsX;>Hw*1-$ra8>D8`e z+tSnZ33?&83aY!1R^}}@m6mpz1`3Ze{zd+MgItaAXv|}P6iqfYv3&5&6!BSuzVvs& zE(gitW8t+2Mr)QdTqayZ<_gksg7_JO+01yO=uK%sOk%tS6?5;~^>b9GtZkdZVW7=FTHZHi$Wjs-04+zUjSZy+l z|8ijU4^Be;pjRIt*1~Q7&Q&$kFshD;^a?X>6A%py5=M z3Cqz%0EZd`5sG#m@GvAlwTUFxCn~Dpw`!_Sh5PzV+Fiu1qxVK&IT3$@3j+(J4$IUn zuphz#&He-Oe3*VhPNq>$JeQM$1=E%#s5O*5vtH_BiH5j^*KuE>|T z)G7wTJ>yZ0cLTZ_grzoL%q&@O7RgHkCy)i_W$(vERD*;zwOS9nGir6m0#B_5>RPpq zqJ(sa{Qw#SgfWFFq{kB}l+?F|-2M}Yr$|K8hMyxN7rhy81xCNiS_b5({!i*93`n6; zygP;OzJ9`a=Wp2)L$6FxcI6lhR~wWRQse$8-gq=O%kwBqBZ0(e@N<^uW;_drokq`0 z<_I%|xQDIgiwc#4`JUiiul^?@+`=!I|Y@j(809Z>q_pGx5$Q@(IQ@o66B z38JaO&C5{j5|@EK`z07?92n?NLp%&L|03Nmpq=5ur;*NW(AR;d7s!p0+_d&+e$1%W z9Y8#TfzCvaa=XPVcwlJaBMbwPNa`8(IhRyS-xJWNqj?QV;VGs~D%8>m<~FFFcWy&! z^$dhHKx}o?I2p+I)~_G3GV;CK^C+ta52ma>AI*s5AMPq*_G?_!mg(fHWe@>_07MJw zxi(DGTw^uT4Y_!GA1?f-M+@Yz1Wvl?rByyT5z(02Vi&-Fh-c)@Lk6-3zqxhJznN9C z4QG4}*U2*0|4Q=z(doa znSGZ4?p)dAmJJSUeXh?q{!>=+iBj+#X1U4VDBzO{RNsUO*uGpCB%5)HX93(xqHC}X zr9D>fj<^i9sjfzbGEsQP{6)y zPdE%MusX2wk0Z>xzs*kt{)asxaXvIlc-wm$TIM^R`3s!! zz}xkN&+(x?6?k8=g5RUbP+=W-6^?%cBMB6OJq8J!$J)V)Qj_OSOBU+Aw@C50^-kJ=;cNT3-BpA_7bK>^>*|)0I7-S(-PAW+SjzX_N-$&I!E`%HPl=stg zc?j76bSJRmNB*7OJt_{g>*9AX?{;bbA7mJ@G33b;Mqy zE)~6Dpat?`=c0XL33lUbMZ0zv@`UC=@CQre(TSgXSpH2stkigERB%`k5M#I+Y`w9> z|1y#&!mcj8uf$pA6?$gxA7s@!!kR3iVKldcrfY5_O*_VylUyC+E2yO`{5kGZfkCs- zhE#9sq4=JNv>%bkV4Mb~B_B3mBZ2wWEpTL$R7JKp7JdP#;m>Cdegd7lL1#FKzYCYu zRA4f4N9-fZ5I8lOoP_15RW+5vV!Ju?L;pS*{ky#;f35D{bucA_VKCkNm1Pe%9o$~b zxXshFKe_4O+;Db8)PC(h>)!{s{X1C)R``c{ft!wOr@>OSi1-XOJ-vU8Q%LO2IzM|8H2HBm=KHN*M!Qxg0!R4_ac}KE4$k7fGI;OE(@U7IT;oQm8x? zI7B{SCt}1NUWQKYZ_%S?tgM{k*_Dq`#Ab=u>!3*1R_4bBVN2~I|4RdE^4np|{VHtJ zt7!Ar*oZHBGWNW+#U?}{@+s|)tApIHirAfXBiFg`K$QMm-yB>s@)erg&H5(9Js`#g zf*tB)1dlJoc=Cl+-BLCSNXLsH6QJkuzNZpZ_yVU5AV4tsZ*w;WoLmZqt=7nGMkK%) z$g&4xqc$Ppc30fSzpkr(H|7VEr2@ybu)m?M5O)RJ2v0_>A(RKDuuJfX@&G9u`m}C> zDB)@AmaUJSi6n+%+p)%vJc*Pb`CHBGYF3%>GCYKPsg6d%@FBe5gA zeM`R8ta6!RwR~RlmH)g&{=?q50=p5zNR4} ziulI?shmu(#5e_vQ9Aas`wwfsa@be?bJ}q;t=L)!!th@c+@Fx`kY!dr3@JIFo6u>s z`hnsAJ=wJpYI~x#=B;XG*Ac5#P%JQihaVzz&d^)PpBT~qFPZT%zka}DQggIGZ7(hU zC%$ptf;Z}Dhi`E@hhr^;8Sf#yb+Xf}cPI1yAI9g4Xn1ZBj_F17gY7$r-?tZ^Z&9ZC zbw&2Gm0P?O`(0e%)XYu>?>ZjWu`ZyCg@dppX zLq`0;r)QEgwon{lGzUxF506!F#sP1VGsgVuz8%CLT!oMPN1-g72j0wJ!AyK5{@~w` zN5>zWi@V;8bd3YEIos^+)$c}}$;^;roVVD(80gcYTyd$M5v9Wb|NDAclyk@IB^jWc zIZ7U^c2B}dH;rNj{LS~K;g3wiUwuh7{J8@EpfN8=hD`XUWWs0t$9#SToU#0^H;`<} z;--NNYWGVxZ`@ zo*SCD=vPQ3 zYKwPz;hnE&I-`?{@Q9SCYrs{Q<&<)J=(Q#4V*Q8$uPs&Q>4$PW1l7rWNL-5o9KLpi zg{OX~6<{Nt29_)UeYk&czVfBGoOJ!fFk!&=IvgA!1jaWXu9aFxZenTdJ~tHnR>PiDdw%vK!vlNjRO zXFO8uTXpewPuC+R6jJ(E@E}Uxrb3pOUz3;&+N?|kPJuJMCJoe?{q;ks z)iEw8La}3wsRtnhyQ)* z@J2LSChL>_j(uvYY2P<7@rQK)?DH8jfPHWp(=K&3+&389Mw59LO~%FU#IcXT0Qd<# zVN@DfXd#Y8R%Q9!V_))?Un%8ZV#)`f`O&yh8vzD{Vbd(N6F%E9{G$KQ@E^HK({E1) z|1fCyA5~z-_(%Wu@S#81Fsl?#`@JZR@gjB69@;+&s-pCkCFNGCAxLJ!KmU9t9&Zx+ zx{pO-rb^A5m6w*9IxYVS?A_et#cuLBeI^IL5dOz^YGi!fZ|&~Dr$ZjXqUpGr>ALTVv=qzdcHr?)2N$uvPhptjXWp?^SZu$j% zr4Q-!!cMoFq`vMXk_x*vSYCL=ag(B0U5pgXSfR_TV7*SQ`3Lluo1hfF^K+TNAheDKG<6>HacQH6S4YElnSlaac)GX(>?WMI64!YSC;ybkkO z%KV^im;KNF1=KaW_((V7!Pyx(oBZfv%vjR=GS$W3%%?F&DBgIRuJj=FNdO07A=hIj z7Yfx=q=rT}qq&jxeb(gkb^i@HrG4i$fe_h=@r-g3ZtCmZ)U*0dE$Pp4)1Ty~rzNuw z=q`WkZ}JqWJ&`Bfxi!(|v6Xaov9C!GxF~$GKvaq+ikA=OI^ALYP%no;JTY)tC}OWo z&1nN1;Zzrw3{aIC)EzFU-#DNu*?9>EySGqm9qh9ddjPpWgLERYrV%>DglSjVQ-~oQg7V3_vO~WbL%-F%=m${5 zcUKowp%)aERt&xxOOR7Q5z8!CBmnDQqw9Z*u0PhMmRSFfwrhcps<`@j!U72!mDQ*q zt413^G#b=kM0d#s?#iO^jrBoVEDgSjvQhXz4Q^<&URTlLt50gRYJJu!54D#4md_zvPpyG^=k zqo7WpMo*;M{8jLO>Vki^z;7;VLTMAdc;4li|~Kyf`9fd!{^;3J@qap{&_C=U*kyXUBka_7vbM~vy(sb1-@JU zE&bgit0rbv<&b|D{Ew%BG!_zuhk1lC6A8&*eeyIdsHx6Kxf4hb_N%>(Y|`|R6GA9JOAQd z@{h9fA8qGv?InLdJAV?cO*LET>?Qwtw3nI;uD0{9=_UU|cK$!w`PcQ5f2N&Z?H?z9 zHuRD|Z0A44hHvzkU&({dEK_6*K$(p8-E0?E^1}(x#$Q|J#UFFl~Ykn69ML)~`)Gm)3)*bcR+7Wt@F0%3-${%8v$2Jp3`SkiL zGRlW!0=#jqO@Co#`JlemtiLL~ygA>x*Dj9@b*g?&-FlmE1pvyW?M2_4@Xoa1X}!S% zd+hm}mI+V%9nSbnwWwm;_!D@FF{26469Xqrr*SN##`d;ziN<~Lg()@GdXL=K0yp^p zXbM*p2_kq;tQWU`Du~4)aaQ^DOZ=F17~dhQS|2<}mRQU+LImi`GGV^GSfur^DCqQ8 z@6T8QgdO4ZJwa^eX!b)mi9+C%tgZ~H9~0lZC7aU2Oo zV2;I4oSVKcS?WbC2rrJ{m~Hn0qQ+3GI`TMu6{DB^ovhEimr0dCviH?Xd=s1 z;L;#1j!l{~u;9yhb%#XZ5nRX~u(zgj8_#g)X4wk(b!{1Z@ZH+EMT* zUxo67mJPO-$jzJ&W)&svC=+v%)|-m)h{s< z!_|V*H18*bgccmg zWSr}SJo5fNzw5=1t89y<=t@Z&@>`YGOrEglYwCTzRrJr2tvITZualy;O4^WmmA02v z^ltTjzE!kAvK5a~$z!DG*^)NoFqQTP!>sipNq$Q(_>j_)@Lek3I?<02PpYyf*O>GS zR8T(nvE%C^qRwu#^MA&XVEtE_CB)%)M9Y^{>5_%@aN8zd)vk4V~(>r~o8 z3(u$3`!!b4xst8;T$MaYie9DC#;COMl6H|wJ4&UUAZcNhc7RIz3Xz!D7a(b~%ly(+ z+6&*k4uNmz&E=MhFN?koa9k*57Z~zmN~9kkU4@#{|!(BNEnh8qO}CX@ITjmNfi+w z0H3@c=ewOH@q%Ng0=b0m^jcLhwl{NS=Ni_|O)Uo_diV|CCZ*~)+|}W{UWx~_-!9&l z1c(^iQ%6ff@ol5#g-%#yJQiaQJj=uFxx@G2MJm{RVw3}M+}ndx1O|bEFB|t$yHq3? z2i={!7O-G52?vWnAFYb$?MC!`s+)p?*luPHoPwSiM+SXRidIQ96y|i`oN)Zt9xO$( zA0oZ`1N^|#I20qz8gs#R&kIL0!3d}4e#qf`&;)xj_!-n3_qWT ztj4#P_{`(M%Y5UBN#H4VWv!NzO3geA-(w4RQZ|9CuRn%A#@25h$dx9IGh!$6tj4U;+wOnH1DdaZrG0 zdy4{vq8+*|O{nQFW@*8RuzwoR4W^+^*mS6D!~g=to)T=U)fO1Fs$T5xLcOm@z1ZU- zEVC;_wL^JVD+oGHVe8Gr)+&WfR~DMM^^F~Y?Cs#m!{jRtEycdL%W&-UbU#iWPO%yI zYuKd4JsCXL9Gv7f9VLkRw#s*SxZ#pGYFMe=-ZRO6s6TwkKN(b%3*zJ}i<=t|qM4@K!bCQr1Um zy7o3puLB;&HBjF3oHZYZBNFEEz5)W*^a?QiHK%>u-K85V4;Q)wc!sStzg?4qGn{rx6e#ZaQ zdn^^{`b~W=aiv=?sqdv$lIu71-S0}bUQ*x7tt8iP>bn_m;`*xQ_bN}Qtif7{6)yof z><=c-q%H37|B^pKZy*hldQH`*?c}>1QsT;&DxZoWab`$;uXiTf&#CVXcAE16Ef3i; zcMb7^DYaJ4HPt4xF=(z3H#?~>=hHNc57WSh%q33?9t*Q>hkKrD!!8iFOC~oIt9*;Q z{4U@#W4G|hPSovEtrH^)pLBY9C@*919+a2Ce-FwpAlvq!{DXT~{)s&-zjzPJx9)0r zE*)jaV`qA4(Ff8$n)qpF^1Nmb%X4gWwcc*lzhMu{8+%xO^B$Jpx`*X+XgoNjAN;fQ z^8yb(IyIkqPJJ)g$@kKoeE09*RZOd&#(eg0jp0v)*BsJs(zfnI?7_&%RN1S=G@F zyDZI*-=qD9DS4gk%^LleV84DkP~LCkB<=&SP%OxN+u1`GaaDfnMirsKb8tibmR{N~+(Kh1*wZ5{K^dYTWSS=n0A2w;+S3M&l0h=Oc5HMs$$Kt=`5PBsyIoi z_(^Y7IOS(a6UP%1{wkC*Su`yJ{zwJ?NP(Zb%kbwp;9r)4Uy=d;^^mX#rZiaer(iEE za^Wx5po#y06#OTSN#{>g!LJhd!Ci*Gz=8k6C}r}eE(87`1s{ts!2iR*F5>Ty{}k}A za9JAX=PCWLs0PddWNS;Gcm!i`7h(%+BkFQ!2aM-V{gaY^WV7Ty1O9n7eDNPDkE03S z*DL&#{HNeoX29Pv#=^fG{Di<+NALjur%&kxf4BUns#tS$I*aDpRh*Pj#hI=uocwXi zKeAc!p84%4r&658N_=9ZtBQxNy9o-Xu9P*z6{?&+dfHCeFW$aI!oqTo}XyWk(*JA9`+|273bkO99y z!KXfV!Edkb6@RBZSEt}_7@m&*eJ2ZlsLx&Sr}PfrDbMd9tI40H4EP}hpZeSdzfTtU zXP4oKZk!Ki?Sv@jIV*vr@7NPy>N-~1RI|YshSS>M{xjWg+`p74e)HABoUVgkP9|M# z%NMntJta1Z9Wi>)Jny0bIN#uS!M$WktQvPI;X>d%JmoZgM1vHH+v&llZ}M_EkA{m@ zXb>!`&Bj531((6Kofv%uDqeyW7(n0r)nB+0Rnb^UV9^mT_>rvYJ6-B)ERo7jRWJEd z;?;J|uyO%Z>Q1|0mi1#B_hFBWDnx96z073O3I7qd(O>Zz&u!QQ(3lHgYi=gv%o134 z?5coMJ$cwLK(xWw4~q{4){bh}Kd@Ml^*l2i?-ta%g5HH&^|zD0PpS%WF6ckOh(L2P zS{D1RAUY`aU18K4`>v0QFnR(f3U!Z`WvAaGbN8{#)1P??k*D!cD|TUrihsaSN4Yq% zEH?YJ9sL{oObNv=Ic*1z5}g>tIiA=o_FW$DB7LRS8+s|}I~xG;f;BE9AHqkeLu2t0 zSy>&V&w93ar*TM@gFJKpU~w&aOVT$9f5D(q{y_4;qzQPmdBcaBf&pjoZ1EiW%A{{Q zg_j%Guvp$Df~>+aa4~9Ab(wj^fOqcwphLxfe5bA~NFe0n?xxwL$ujR;v0f+RWXAEB zTD=Lkd(yX~l7icKRnzRDxhFPW>U};kG!NHr4$VvYJ{l=9y_fg-)8QRQ-nEj@_&NF@ z&VMj*K{MszuJ}x(>y9mDcq_13v+Kgx8k0;qY zZdWlEGJUYOvWTfxvnJ>`=M2lNRUvfVijck<_ZZ;NvS%3A6oD#36it-t4QEXwpV3|F z*^Zb|&V~yf;Y#XwuC9eAVx(~V_XFrz|GJx={d=V6=-sCWr~2(WF6b=N z__?0iXA)X+ciV@7iMv74zG)==fc6>nn!9~wKHC#Lw}SHBr3YTE-Jxe}mAi?406kOJ zy6Ji7nV#r*0hI49Jq5c*&ow9PF+KOZ>ZWHsf_bv*gU>-Z>3I@|D`CdFOZotDjl0u6 ze>r}S=~=PHO;5ib=^6S1=s{%b?$Gn*aeGY9w$*NWMlJ5CeNIP9?ykJi)Y%Pv&?h@R zmi;C-eFo3r0E8205w$fVqIW@9g8CO>-7u~MDI92;P^lg%!~AWH@iv-kf_@Idv~h)x z2o-~MB4yJi=ojIXDdyrZL+cnBtRaRbBQ_Xc;u>P=Xpv3iI8z_dFTr5Lapt0~Ge@S{ z!0hk4i0|{SWa2w)ckxC1`EDS4e`N~U)cCQB_zuj1@Be}4yT@M+5xax(m&c}1-aY07azPql^sDgI~-y4s1^B1On zD&AEVwY&=vr;16|ox^M=O7#KhPMYMA0E29Smg!Kqb{MPaS$_72w3(?g(%HY=^+DgR zx9U4QRo|-p)9br6RUekR((4ch90wzwtd+B+; zuc=&-^fewX^Gsv)h=QE%E5q~OeS`r_dtJmBW-u>K8>z`o^7DR?;+Us0ysJ2ZrgJ7U`lyv=QZ zjHg0x^CI=s$J_iUp5SS^7R*cfJ_FyvdePV3MJ2e!8}Z|Zf{$p!eVJ(d>Qmf-xoASp z6r5o<*Mo9k=+_$VtH$k(i{J&5`zJj(cRCN}4Sa?;<>rsTnM6%8RJ~c#N%f zhJq!-(6^rKkJqH{0TH-W#!N^pB;2?Pzg-#H?&h+W?e2nB2B7 zM5XF`OK5+b(2e>YM+bsj8U4)qa`D^!<5Y<(G?iwh=}uJSr0HA$HfeedLdiPr9|nvk z^Ky}7dobCqRe$BZxdU;nbR5*vzN-_I2BBmGN&X0|y>gm-6JhODP{^I`Rki-$(vJYL zo`DFU>)OL?^*Gp3SqqTmn(VfeR2Bs?d`=IoS zt~D!auL-~r$C^wPT$QDg?C>8$PSaU_RtEh2Qt-iH2mEyqdKdC|K|D4NQRU(IK~hmq zojXzKTn3dRpgcYU$18Q!a)yXo83OAdb^xpH(SbL)@gQ{K6-(HO%h_qo?J1QjjM(9j z8eh_Pusiv3Bv-bEaYh;X6Rx8$g(-0c-^04)tWB=Se^nB;+tMZp+!n9^C}gHSXs0GZ z{SQG$TTI`Sw&laHH_(b+W<(ET_t^}O?C}TSyk*}E>2cV^aA8@1st&HL**KP+#yjRL z^w?o=fxxMYm}s}M3f_pNzheA)6J>O@@p}NBM?s!1(Ay&kxV{kn?nmf5CNQ(0{6A6` zbY}5pzVs3nAO-f=TlY=aSzugKVW#^h+LmPZa+WeAnVn zl{FzdDVSj#iHnLg3=IYWB#INvFt;_}y`w$Q@>E$XQjL4Mi23|7wj_4pAlJ3X6#-+s zwJSLgH3XW!Y4jSm@giZQBBj22VA3~JIX_aEWILAdV1$ z*iWTs@kiA4Z`h829XB`@cZZ*nVi0s)H}0S;E|mP69LW=q8CwXD`BkPO=S1x1NECmx zn4|A$uau;1&jZPyQ%WmBsW?@2Swir z=`p3_)kC3~U)8p3w4~&;Lm?%J;u}zp3`J+6stMe8xkjV?yw$kpW7YEA(%>LyRr2;0 zj4TlV9)5>&8X5aEhaDV6fFV zz^wjqNA<0C^|(SXCs3tYI{MQPWOf6a8M09k(O=fz;*{DR)r}q4p#UOl=l-)18;tWw z%Dj0ttfw#$084YRu7D@&``z~U`RaQgluh`SKMj82p7e#-r?Kg8B022iV1;h8A?ad+ z$riJttNt9qRmfg$TiF||!n%Up%R~7q!jo5|_vm{MF34$mmc5c~6`jJ8mB8W^V3G9Q zeNY;z(1RimOOc;BidgOakeT~C2u9a`P*v=?vQAdncm?aL zLg8zqaJdv-ooX#I8uf`?XZ+Lz^Ay26MvN21r%AcZLx^Xpoa85-N1H|Fph)-itSo>+ zM@XS(QAnB*VM*61YXMrsrQS~i1^(`ek6YLHNYz+~qH){f z3?Nhj4Oym2s7MoNs4HU_Za9M=Q@!Z<$$3xMz(2-t08|Tc>tVuIrT~A2AEOF@QL=k5 zz7~iufBd9WyP97QP+!x8ndZqvmpIcZl&igEn1bMjb&>a!eD@^qgLhrv|8|f|;Guez z03HVh!A?A|2Z8_B1|H%1;fGyiZ&!4Ln@X_r8dYX_a96&g`5p18}@8*^BWo9Rn3|^91bL18lIF z5Jd?WP#(zKKo(wr2>~St+o@RwL|yg{|G2*eQW7CSpLJ zBfkT8mhc<8S42AB}Zx(eQmCQsOK^^Ll0?`Xi}J>f`y**F9*FtUvU$~%E_-_FIHR7N5cX>Ha z{x&bC{vP#@@9DNI-?hY?Cjf|BeRUk$4a=l#)EWNSn6$PbbiSm!0Dntqwsu9TTcdji ztLyv`Zv^+IwMGkr?SZnK^mvIqgqNEJFZOL=^wik5eWJAy1sUU97$@{|vU@o0uN+ke zWMxVi5M%>DwzaV1uRbUn*XM96u?gk{+XC~Jpd17WVI`epRhcskn)gc+- z@9D`Jl1q$l4@4ip%^UdV(Goi4jPonCN3rWkh<>I-n)_YNTPDP%W$wD3h^bYBpEJfp z;b~8(cEL?12zkLBdA!%KA4DVR%iouuaMehncpW+%?w8mH2@;gk5_^y+BpxOv2*ZFL z!74nIEC0YLhOj5uS&D|z;01{~2a=SAc{o5Fqt4zjq;a$hFj#b1vFmQ<{rg zEd2OahJWSwcM|>`iGLONHxmD<)WuPE>`!H|RZAS_yIPRp9gM*B1|M-fp*ug!YsqJ6 zD)-OL+#pG1o9NC~r?LgovmN8kHc@5UKRsK2ceZ+!?aNYyqFw1ngIu^ZsBEj!vpwg| zHce%_FFo5G?rbwuw(HWfUFpj9EJa=AJS#nC$epvv&Ur+7&I8;z+3^(2FT! z%_03)<$NPOXS+M+0>FHs4u9ga21D*V55o+4?F4no_Ro>9<*!Is$^^FhpS*=R)g9OG zhY#=b!$a1G7JT5yKOKD#^SEuO1kJ&_YG-%=97DvN`N}ym!}#gG@V>A*<~$lX5uQ~x z10LGhIOZ7zc%(zQhQVq$tT;lzaF>(iGj?&yXZ&RQ{27X|H0;c5pI#{|Kl+kbu>_{O)S_} z{RKT170Ump>F1Tw9f3#+w8o^8XUc(__@KUIzrbyuxY`tFVo3O!buf=(Op^0P{90_L zADOpB&tX+nntl}qq;H~7BvS5NRI%E)N~*ZnssinFt5oq-S{2kpH$cPScnrC2>4s8q zaH)Yx;wK$@#m)O&1?vO?0CE0@^k(g80S{jL}y;S9Ol41VMygVO{dzk9eJ^e0W7>cF#c^$PkmE1DhubFn;r`6u6{_=&UuY?Z`mo(!Z?t$zQ z;9MWX|H(5X4*d1Rug9HM_ecr_K0X%Q$R3BN;(x`@+t29Qhsccg7#q|>sqq#bYH{;% zTu%0)+`GKfFTE5z8(vn2{DWNqil@eo2V5|#4AbMcS?&b=aETxMG7b}>*Qo&>J>n=X zCi%LGsor7zV@c{gd9Lxqr$biYfPPuMgN$Wz2A^s?R-;;wlUH;fckC*=joDvJ{-MEx zr4fDa3Ho|QW=s>qLJyXRk)a1YLFMg*Vunpg&4eqjxo1%3G(3D@hNcfRmJ0f(d5k6M zq11Q+4`35SH4>Kt*w~&BEalY?OzIj}l2U<=-u%)_snBi)QDefkcL-*Egy)^@#alHS z*fb`3uy9}zMSy3RjSgoBj*sUUj04_`5rPZau1r0Y8V6cPtumX>X!D|d5mVx5!#%E_ z<2CDlbT3nO?o$t?#&7W;OtI?^{txO`Ry^pp>MyhEKQN>Iwt@eB`WIOAqlKmK+@~Hu zKOWNP{~y%5 z#lQgT1&92G+TUy3$41sq_ZYub52eQKco0Uc#t}-9_@q*!3Wyl5vH*6APjZMO`okXM z!`TDyx&0o6&maq*0Tw=a8TdR{1bl+o@v*jE{J{R%YPL^;ZBO0WtR6~@S$J^E$H71& zt$(V9dApXwbRQU8xT-F?ZxDA}MoaLwZ?r%D=6UCKvliNwyvpu4TZ{01$Mm~5r~)0W zC;gXu_6cB6$==a~Rf1O4D0E>S0 z0Q&KeM*shy{tXuWR{dvN^^eb}{|nE5pMJxlpKUAcuO2`@9@6N~R{yT+FD{X0(WhI1 z0rc0}`AUDCE%ig6j#m$*#uy6`Tb~+zp}*$bkwu@H%doqo-+RDkv%+VLiO-8{bn5qK z)kCTABp!q@Ha-)H&lfC!j_T6yd)z;Apaf}ejF#HbKS!#EQe(J99rKF3RrSckw?;?hx z*jUO^mGW!OU&SmAdnV{>c+fA^BCO&NtsKUu)b%p6>Z`%D@RMKE^xD+R8U?Rvf)L1!l`86H)ATt1^pYf(yv1V0#idFIP z=@lFA7D%IKPNjw3A6EZDv;IyB6qUjY>Y>zFhzA$p#)bcb`tP*px9UH|s{iO0t~uQa2+=aV==*x_jWA@x0I*7pos zlJxyeJ(L;`;=xVdY5#@#?l$W?)v7OO)mN2K-}^iEoW2&bzPH&nr0-SrP-=AI!A;+l z|AqQynDt$1)%O#tzKI$2?bz<7&tXqx)o1*kO`lzYI-$?5xJl`c&)H1WXa7Mo_JOx?xerZ($m1a7x=SI?Q=VJ4-!dd_q% zGb!EGbEd0q11l}v^*-f{w7;PqN{yHB;HLfR@7%Ogh0A(<+92Xo^jIAJ$$a{G;b6^n zHZ%&y>2Pm9y^{$}&~s&i7|_+7!q z*5UYVRS%`cB0LCFdUE`p_HDks_C>^cSx?Bt>clf14r{WW@EAZl?uVGbD&>9%EH*fn z3&60FUkgLOS(+OyG~U}r9D7_)(BgYV;`Fj)V?OXp=ckNCW_xmGE4Q1-vVWCCRm+ih zSR||f-Uzx`!!g$**1%RS8b; zOj7tGIxe@zdC3Xi7bFWX)*}M1d*4+0$7XsP(vj_b^YxI|a&scse$v9K7}Kowml)rg z*Z_Dy)_0FV)v`<#;_?A7e#Q9L+FTX%ya~-UkLbLQ2d&3uBmWQ$DlIs)3=oIp3bSzFszqXODGLb*R zLVlQq{J}QzxK+i0JlZ!rxiyj~Xw@b!jpPT*gEtj84>L*s?%&Dx^4gZ7Udv~GXV6mD zwW-h&dEbN+Vo*2#llSwA?f0(l5Dcw8rM@ppeV6<{!ey3b9(ljZ@eX6qBeP7$x$Nr= z-+er3JW+VZ?d&@FOEk2OamyC8Im85UG+3p@J`Zr*7@ub!7Foi6<*=PtrPTL;qdw9% zuEdSc7F;&#!Veb?JKx9o-0wffrR2`<=k4o$ABWg8=l2sz-S0m-!2RBl_Wtt&-QV{c zoO&m}Xi2!&^w3cl*E8id(KMPqvxFTN7f2z>4h-V&CI6AGBWGopAw)y}>}orrEaO8) zdsMD9V)v>R$(Jh37Wp19*&+yGO0~!mw3WJu3sFRA&7t6D!=KBMuJb$hhPs6zzx5d~ zFUJU=?H$_ogKtnV&+i-!s;AA%q`FMpP^*nAzgAQmmB2r>ta~1kO)U)8rH=0u{f}u1 z*6)d=pKOiq)e)rH&*=!_(oX)2q_XG;iq>4hPe%N!{2X~==#(*wZ`fl2-qe&DS2*6v zjY)ii{PCOLc$Ro1Mw~o>s&p&4s6v+R-wZVpKN@UInwe)+8Mg@1lIu`WHaDnT2z?5e z#6Su0%%GTDCL)g=H33v+5nG`?nZk)5$NDnXjSz@dXvEm4`glYj@U2n3m8-X(t2e)T zJ72w3@y!`Cr;mrmt*MfF(>__~07JLpGf_hPS}3mhu}6s4Hkg|;&CNp7s3J5`|gA_L(seE9E{>cU*nwEPaq*ccR$&&`1EV}{Ag}jJQi8G zWsw2kJ#JYnMkc*kqWF`Y)rPnEd(^GAEQa+rWCJ7im!cN#7}|huvTu=_7O`~^P=_`l z4f_$ZZeJuF2q!d#051~@D}UDglZ1sh9_%FKu0OR4!x*`lg1FAyTc|!`*<(`8s1OjJEmCk(WYG=XRH6I&v&K% zLwc!S_97M``r~ExR8;mORMtH!9bMzpU8-rZv1^ppv$BNmKZuxcOrJ|bSoHJu=u~J{7m}Qi+Gd%6qg6|rzUwof4UYAR)6|5 z={su^P&fP2r^e6LIQx^5=7LXq?N2(`kQl4B0N;RTXIJ_Z7WFVx0Qf&gH~JI-B33ub z)_ge)h0pW<&sZw$a3nZx7vAe?Y?Viz1niz*-jeoh5O z5CF!bPBRCWKI$>rhL_I|Vffx6zrXOQ{r}b_hTM$34IuUwakrP@ce-Zp&V>ZxsD!7HCuNM`N&FAA zKOtz*GQVkr&cs}DeR%R_G>$g;6SR-gB|MLP*_(=gsq>Pep2o4a0@&lqmbL=Oo*m5Xnam*JB)u?Sxx@GV6WMa%IBbs8e1 z(_tkS^b5yB{kG!84>>RJ1Y1I$E#_Oulgn4@W5|=oS1h_p-jFAsub70HuLznCdA_q> z3;FuJ{o04G+wIrBeBFUP1*|qy!g@=R$z|bKn*p1@``cKvKqr1tkMy^Zs=r|zk^WX>_P27gzop*I{^m@O z{^m@O{^m@O{^m@O{)PnUZ{_V~e{&{Ce{&|VzZLb|-|qQf5BeJ$Z0oUhgSq16{Y%{QF%AaSi8Fwc@vyjIlG%#UOyc+d#b;cyZRg7 z9Q{qb+Wk$v+Wk$v+Wk$v+Wk$v+Wk$v+Wk#lv-CGuGpfI#UC`h5eLvOTzI=oI?RC}P z&S{eVHU&S%J*%z$_H({I^Iq@$%{&V#tHQ)UHUndAME^-3k{D5SOd&Q9Votzw7%f8@ z8xj1#Dy{wg@v_x^@eOwSCG{=v%3Ob#rho3RpU1;ZTyzA^XGgcCiMQNSJ>4^VlWcJ~ z{5={emsLrv)Zx~!3SRsb-_mLwXVn9pz???YB0Cr;I`t)ZS1}5^7-dMuMER6*Z+J6yR~11dS3QgKPu+t>qSuR zz)x`Tmk=I<=L6!yulWI5+6}X7_B(Eu^zP9gQ1kxBM7p?@IU7sjPHzYmz@_dx&KdqTh2{y^TMe`N;! z@9k3igACCBZ(wh%kO6dO^t1gjIr`^%i~d)I{!0}7jra*JK0@fn8H}KRIzMD-|Mh!9 zzuEpk-lBg+2L12uQu~7p(0{SeFGoe}jDEI1>0f8jzeebPoo5Cnia*9raB)cJUn%r| z#1C2MUzbV0tVcNJgMni)wWAV1w{IK>=pO)@Vwz3mWM;wwrkE54K8`hoab^S!{a$qAD;2!p?{82s2QAl&vo z5XN)~wmcF34JtAje2R&%tWOAo^9A8^m6kbD)5n&W{_=D_mr#j{20gbNKAMv-O$F>f zys3ylO_;9+Zjx&PoMx*%XQh5r^3faqeTaXqg}-9>+o;3D|AuzO@D%&ftQ)hI#y zOLq(Z_uuFVe++J2YpuZzRA^k*;54L%cn7S$xxOKOq3bv6fSmascLK(8Jgz*}H~y;c zTYLSkJJ!f$XQAUgqUT|YPFVM7qx$1aPHWm=SK<18N9Ol*{F8-${T_wZ12i2`9ITAXjtp2l<}FT7s(JPhy1!H;Ym4^m$@Ev5_-`}ue;azH zg2)-~5&-Bx$VlG_x+fC%sD)wB(pNQG3aD#auN(g!;m__JKAL@3;LCctANtgRzbsxF zgH8Bo2QOLxvG;5W7t6KY+rinsned-`Q}O3O3w{th+N}zteT6^V7@*s%59>3~DLTT# zbF_!HVgik8NMfIRgg|4gS?eGzUX_w7Yt`6AkC|vUhx8{NbTizewQb9{#n{f^pf};$ zbS+0c40<4Gz0vkjZcgxtqo2HxQ`^u}2&~cbp=@>SdoDCLRUIAOE4D5F8gJ7K+zr#O zX-3}BjroB^xv&);5I9s6EIJc#ctsCXt~$)XYRM83^3`9w^OrtP zRGQZ|Ud}s96TT8=f){^=aSPlL)$LK7ZHFSU8QEY1nwbjrD* zvZK5U-|^PIuZl%)&NZ(Qon{?;1U}SfbTWJWe@Q85GKRm7g`KtRvioUqxa#UKws0vP z9oD>BN{eICU_RTeo_et0?axTX61Kx9KG-|>{pVW9I-0`0?C+2leZAm-6<*^$EE|)z zz@r!)A`%avU*Lcu9Q4vE$m|Ex$!JB0g}XDR@UWhs`O2F{AHD@yqi3=*D6%0#@k;!L zF_O=(>D3ID0kW%&(Sq!1;{+lLq>q+g$;6@^g&V$MTnCQhC|t`Y5LE6O6NG-5+p3eK z_5C$Q%T?EuM2FH@TgN~3B&;7v7=dP*r^RYKp6-LOQdFKRl1cH3p!Mq_`cerZTV;H` zFSrQbm79Cezd~OvaY@m4G+wjQchMj=#%kldR~3Dy%ddmJ&Hu6J`+`qd=tG3zoKKs8 zAyI8$G)B|t<;?4yi)eN*W-(rJm8N-Dz;D&!&1DN%0%VsfL0if%c=cjeejK(U4}YCl z(p|_+`pdLd0@DXgOtHUzzB)$~4b^;;G}JgW)Dh_o zWuDiXP-sYltu|^XNr~cV(}8whL3=ak^)|Odi!@aM(SP7UXhAz0_x}ap5qLtU5nCSz zkjoKrz@6wA$Fch05)ll&@K-OACG#aWyL+8+4$gvM>a=nhEmlRGcMhD(QspIG(R$Ra)}CrLe7(TcA^+$~44{5X{b0w^GN@cmKM|0d~oI zN`J$wbb|4i>d$8@ajVwyhoAzjm+Eh&6=8=LYG1iq5#8C8GxV`(lrCvPX-_m^8wf>T zV-sH2a}%oEYuHJe_JP4KN<*XO2=EUEOezBWDd!(QJRR#noPAg?bi2?R!O3--?-j0l zj31pYv2#p&_m|+Q)s8PLO>f5pMjo`|Tn6ug!YN;pLJZ`H2p*oRwQbFZ#-GHBd&TJ4 zX~c*F%@ro&7f^`mEqv}eP4H22YlMN)5=3lb_IhOp4;QvrU4aiGBz4AN>d_2?+7EBt z*p^lN2UKK)0c{D6;6wSNr2L#0oA8z%*{U>hK%Hek-60CHY4lBBi0-%x-9agh2VSOb zg3>S*rKvIIF?p9XMgS1o3y;NL7!SoOiR0YQpg7q5ZU$y%uWK-l{-im*pSt1Ml#(CQ2sMm`a$;d_~~&|s`- zrd$^vM+E=*sfpmN=)op}uqW7JVAV9y2^W?{ZP97Q;K%Bx5$PGmIT%OS|8dz}4#UlC zeOmQ87h76FjxV{GIs zwT(DMDcvq`+9SpyWP^CMpj3KB!ZY5&NQLh+vVBM2$55F%Z)lV{S?UX|`h(~`Q^1a8 znDwkOqBjEX>JNB*;y{LHVitXFi8lOIEw*uM(l>Uc?If|z=rXMs*-F6qgxD}@4*{x# z&|@VOCk2IZQc$d|L=2O?ja&K<(RJ(*DjpfV%d%Wr&4bHN^)Qdj!|GG(sg}_cI7dz> z!nv&*8C6sLs<*jDdH^;IqTY!b3@B8Ml2?Q5+3ZFq(mP+F4PO?~|4o$`8UA^=ZF_#C z+PLO;$_A{aS8&|{bJZC~!amV-_}bSQZK6{#T55>`9M5x6jux*i>3Uie0`==M0t;6! zzq&>nzC~->k&knomR* zzSp9g2uFlJVAiRLB7-NgVtkr_L1Q_Dt15WmMHa6qgIE?D#W5jn9^{GlBhLbGDtBo5R~&%o6B} z-sT(8o{X{gH>qoVr!jg}b`@dHqpPb_jpLW+=QPbiNaMn4b%pAh=>AjY1)7q@(LRBe z6Sd^hz)hpHWE)^Kb}@lon)We}D-1Nl*IDto3;QEyOJtv9^NL0|fI5x)Fu^D5aO|z6 zlpMbi{ayQx&pHa9sDN<%0#7)8SxGoPy)+!3<;Nv1SgAA+;1JQfFh@s>17a>~9`)%2){_F5JeIQ zp}&u0>>P}%NZH5`^MNM03a3^8MTa46WP3p=%B(UTLcfma2jO}foD;{;#b9!uKr_x7 z#&T>oJq*nB7{m^d1DJ{5)WHD6KFrQtJg+26kYt^a=trDZx$`E4i+Mz_gHeIABqrT4 z1*${!sG_TBrXN;)Ih2>t@j9m|n4>RjrJe;@&1J11o9zGXaXXT%ca<^cNYD)7o@?Nf zN;;_0OnQU46NN`jWzXSJ(23$<@(gPN&xf1O4U7=P;^?%Xj$rTaah@-Yw@wyDsBSr5 z7`w_BzhyeKf=RqOsQ(galf4*viQ9!k-%kWGjW2Xxgo7B*k4$bST#bKlur?x9_y@c1 zFDWbMA3xgmG(Gep^z&=1h4T#~2gj|?({yuQqQ7kX0(^i0X@33?!i>*2i3*baL~eK9 zv8_0Uxd|od*}f=!W~+o!^`FLk>>J$DhODiuDF-)1n{6Wdy{tQ&=6uR+TpH$4CHR$g z!9;2zo*}Kcopys98n=E)IY1bJW#Ba$rVgJGGfC5;M3jA}ao$U8zs+97pZ#|M^NqZvrN7D>r z(R|4$)<)9z`$cRNZURx|u}LJ*UHAfvg<~g`LBL*vog7Q%dYf0`0kt&YlR#J3>#7PK zDQiJ8abj#@k`L4PAhoJ894TQK!uCq~ek8RvmgC;%r=ehL5TQ_1MysI+PDMF$88`Em zEGFzO#Hm79Ly0jBY}f@zGCb(Kz=Y2Y_$M6jic^dH-HfE}eU`w!OD26!FGPnh6PaTg zU$!C#e>w97oOkh>i$`2a0Zq~T*_5EScKH;1-@ttOc)zh9+XtxEQLr@&557QFc?diW z1l}854#FuCXke-$Xc0<&Igo&;pNxBR6uFv?5Zt7%1aEu_yjKNvnt+(3k?7&mCyLQ zloYgZPdeUA5Vr6tL|R#MYoix>Pn8J%gSM9gkg2$dx8iPq(>5 z%908|>scytSMHHi?5(i>QPQ{dNmnPxZ2nE8YA0=Oj=%a@gQ~xk2LCHaRSkYz4-FYW z4o5>?1vJo*aCM@YyBFHccD_O{dmEf2FxUpis%$;9K^eZ9ZLmKcZCQ8q_#jM3gw9ie zLQ}IN$$|M7J1k1ti!jXX^KNO?RDKpeV-WW8#i#o5uRPFlM4)AAZhUG%e5xltwWvL~ z7hW*Go9X9*l;wI|i(9y;R-b#0YV|U|Nnb}zg!(l;eNcN%30649%T6@cZZq8dfC8xJ zGS~^+{)WA06nXpxQe_r^r_`AWdrSSk?29p7u4txkyz_s zDc|n~@%V-&RC~5nJQDLCPBY20Qw4d2<4)>l)%rb$4=sf&};-&iXTG*aXeQrRj(#L3{Kpcu40np^6;TaKh2#uHGiVCu5xXS1qm#j4uuw5mP< z4(u&;>@C1U#v91N-xn6=!#K+Vbl_e>Q}w|ufSztFI&LA!$ctT^;&~m5VM7{mtY1r<1~18*#xQK&59t>S3dJuj zf#DS&J1CO44D0k)!WNuT1(!q-&ez7k(9tw&uN+)Cht;LAe ze)Icbe8~*%Y>cN+)ro<)!7^S@){Pi|O_+{jj`+H+^EDKVv<2!C_UWn?Tu`IoY}=&AbU{iqyXmZE zlP1j8bT>ZTQ`3DS&ecwwul_e_y0t~lrbDYlsy~e4&NtVVb?BUO*$?4r&tJTi-kzfY zb6z*XLEMd*QYiWbk+AZ(!bh5#JMma_S|M&@%GAZF(H!TE>+go+XO%$tsw*;6ORaK# ziRp+b<$Si5hqluJ!z(Hc$X`3W|Ie~NEvDLRdQK)xIo{fJp_bE2ybGJ<2F8|g6+xL# z#%_q@dz(wq*mU&28cvLV!Z_+#nXq%oaG%d{2kydmnp-jZ`T!fkwZzR*iSaGA(qdB2 zJTENY&ZQFg8GOH+2wTR=au=3mgL2_!s#pzHw&)umqAXouv^|5$uud>76u-8B=&ix0 zmT`O0cXJd*Fs%a{`H`89I(Tbt7IHXgM%O=^E}_-N4j>8ZqjJ}B`!TUW?!srGicB0X z`iJSEAX>&Lm)WF+@PUZr$0tQh7dlQH!R^IwVL(-_8A&KJ!@OD}U~lb9?Jj}8Rh?&m z=!H@QQaH~uNNZUxfzv}V87+#7gZdqn`X38+Da5>dP49{fV)8ycW^}D7d&(>!6P}sd)o! zG(Vquf_-c=oFgF^O&szRz)lR-!Ne7LX!_xBM*Zzv9<;Cu<5U=WI#K+4d4E>k$9h6K z?KBpyd?!44Iehl;svi+fjBJZY5eaWV?s5BCR7p%A(6qTDM^ zzK=)MbT&5m@n1lLDEEt!xHl+q%b`DHGS*5YO}AOfnzL1i_`~Qw7w2ujnsYSN@}O88 z7r!>F=Y(l$l2i_Nkr?Cd-~gayFLIdP9=iE}T(Od&Iw7qP5HX+Y2^EZ>as3 z`6tNjHvdlANh7E84=vlkmX%{#;Bk|w0R9BnYM~Z5r9*6|;F3|+fySCFfCEs$8NFkM+Np^dC3gtQA9f2}^Fy>7OSJefYwNqz6hX*DlE^VUWeaf0F6Ugl8DD0e^bZ zx8;7QPgI=~fmv1^CT>6i1RAujw~{ z;M4ua097o6eQGFooho+=%gsrZBZU{DoJPBDm2n0h=OHP~B)z@+1Yo8=4GI*FbhBJc;$M>WKv>~_JR4MCbmZZLK|~tPjt5IWI@~ zAEN8A%NbMbccLS+I-IZ~V0otypas0_z!MA4gn0hn_JIJFfMQDZy_keoF%_SjbeoPm<=WulBZ)0c)cK&vIGa5W zPO8R>O=I#E;~1Em?0CqAyRlG9RMu3h*wz*H`Axk4Oos8bIJ47z1KQ>X(GArNXzQpe zx&a70fe*amRx&9bq3+j?ByHF=hTJ-5S9DdD|9H z{#PixY5F$(Rr0vpxDw~N00oBKfxvRDdR=tfs9FB#ks-atk9Iy4I}UFGHKwx*lfLhN zE0b7jF=PZ@h2{&P2&idIFpWzz(5mG`Pf(j1(8MFe9<}H#H@=*gPH&08kQ?sMz6>09 z+YTJCviZZIvap*9@#5UJUNfGW*w-vS#R>cb7w7loSb+1Z@j>SY7~j(uu=;VH%Fo1q z2%T1?zlU=#gg(YXocSAiUa8OYux31k4jAw*l-*fyk%|h!vYGOSJ5-TFpXw0r^;!of zL#VNzR=q8AaxS|+FmJULT86*;ZL#CZ2?I?Ax7U*#j3ATteR_p*pI#65=%w?^+#T%S z>3w;(|DgNwMss?zll$_nw(iR-VP=lbgL}F!@896MfJ3hA_vOvM58OzO^QYaHcR!NN z`|_q|zc24<>%P33R2`+nj(2QI6GuukMXimE?j5D#gIvmj8bjZ*~ZR`0_6mcAP9 z;M3yQ0^C^wZZCw4i8IqUUu0w)V8Q!%0fr?g#qLGQ0U3L-!X4LY_oo0l6UIIC0kk!h zG5(bEj|^Z{9P+U46VI<~WdKwha51F93F^T=zjxu7@|K!%E%tw&oaluTA$6uFLN=~4 zR-*kQltN@xBLYW?ee8HL86=R@_%)WEOTu~$$Vq)?Nf?jvJ;EAs;tFdt+o%pIEa242 zTKtR>ryY(3Am{5#SS)hA!z#N6rz>eR$uN}1X*Odhj|WTiF{Nk_eazr^aIikc9}oKV zF=g=}mcPb;FTrwsOhp_kPib3-_7n>6=_mM{;R#V5;<=TrKmfI~YE&zz6>f)?%~HFq zzf8@%tbsMGBkd$8}W2Se*gn8!e)=BllDb#J0DKc!e5X`^c3hM zsFhX52MCl2^!0>}Z_wWst`Q6Xh zmU+FTyp1*BrvJlawZCk_>)ID~2A16+XXbXNiv@wzh6V&wRMaq10|7x~nS?~a1%;5h zP9yZd_9Ww>mWQd=YB5Rm^Uq-ZL6`0{Vgky5{CJxmf+dXuL}9@F9<9s$40qWn4?jwp zVX6Iw(nc3{r}3+SDkkm~p!Mn_lb12b2=Q^3J7bRciugD(hw*XEFG?}xw`?#rOp^dO>={tZsRJw2 z(^zm#69iPqB$X0&G z44_4R#WD*hqI@{lWgg5AG-R2I>Dqzyg4F8M29z*HLJk0w&kguzhHrg?a^$^CXE6N` z;CQbBR!k4z7o`RhNhZPCoorE}4K_eCY682DO8PFn)x=fPRop<* z_j4r4Se^7;h(|@lC=ij#5<)r5N_3YB&6ic5WEpr!AF56jqcr4 zl@l!%Ao0RhVQ z_njajpC{n0#G)=)!nnK(cS8KdwwMJKu{kUF%?W>V-GeV5&z^O#1G+*%oQ3i0`Ht z-E2N$`Fsu@O?mkX+E+>WStO(I6?jk7LhneGy~tw(pRQRPsXFv)Lo+&9+fIxomQIfX6cGl>BH>Oh35YB zo6BU(gzsX#NQOD8&cTVXP$4{*$@WP)Z4&Wc$Xbi-zo@4Rw}HV{(_})k9)9JigAoiD zp9+#3qwj_V)cUK9m3KfC5x~aCBItv1Th< z+Lm-f*y}9jeA=>K?GyE@c$7Ze50?YjGgW9C3$?_*B3& zT};cN%*1^la8J$ta!84kRY+FQs&P&)l)oo6=_9&!Jlq0R#}YyBPr?+87F5x=>JX!_ z7$OHU-YSnCM$U)C%GOXzg{ziS3z_y;qOgX*VPl7+z)-nm94*>^YNs{}FI>eAff1ITZ8jfz%v|4CrCMO%Qs|F&{tqPFH)qNB(5L zZ)T#Ly4@R{sTMo!*K9FiWA^!aqHwAO&YIsjk7`NH*Ho%77aPR7e*LRl`;eAYb6`E3 z^t<=Z;GhAdhT?&OO#4^z=cLkA`BX-}>bkpt-dSiqh?^vpI8F6R zYa@b5W43rtE?FcB4>?(SklOyf>~^vplX_FY2WSbc#5g^IeYJVq5kJX;T{;v59;j_IRW75T|BoB;jIR&_hae};I(zF6V^(&Ne)=_vTKHlS9^mhKb zw(m;je8lQ-{xgPYCBc5+SnjT0(Z_Jx;!%RbM>41j4lUOy98%|EMTi5k4n)@YkaD6z zs2t*F+SjYsJR@}if^3@I8#?#llZ@xT0TB#i(h!) zsg|1$dA^vz9B_{fU#h)oX!%REHsc^|YU{PkIJ{Gf7oUTY%E^ua_6ZDzmeq)fyqJty z;taLL0&!IM%ynvD*#|ZNWsK7%jFgXqU5sfGieoQMgAPV}!)H;r{g2orP*4U!a@vE~ zrUk9LxahP6-lozqI7kN~xOks^n$IZQIQ~ZZV~A?(#ZtB$rm?R!_e%+p3GdM?@S4X* z?>~9X8}JMgUiIjo4f^;3e*05@bUNXCk(|5 zZU$b75PTs6;zLeA_O5<}mAJWV1CouOVFUyTYe<6MxNGzGc%$euj}8$Z@%`^1p@{Cq z@|v2p85>~*&x;_eizH!>9`Jzvd&HhYN?DBcn4d8^EPo?lMyPR-eHF5!0<4VF8bI(r z3U?;YL>g>VRq1Nuj1!0xX6Gh^whwm>p>!S1+9+8=-cYa%CYcs_wUic!?v1F1M(*0+dY(n%_iSKI} z*iW8vHe%dDp7L!T-{=pTrx(T@;1dMjk_rB20-nOH;BuFVf}+7u4W<%2;*P+c->F4J z@bzRRuv0f=@HJ6f#5G0nsi1+dbg3Gsl#U7F#{X=r*I|dZ8N!sY=`S0<7B{8%^&Fm! zMRdJlO6cI%e54D%p2d_aMHlAJ&YCYqG*F6PYxt%Yp6>vE5y4N)1b>`>Pr>bhUlgYd zevSGd3%}mQ>fNsL>p{-GRmfK+znrJ>m{SmifxccZy#9t1=WfKaaot}{&Mk$ctrbc8 zG1AGo(R2P}ac;Fp8YkauvjzAy$`A)6oD;dblW%(APzU%!3H~4pd`ghNyPn_`=LnZ) z+LAWm0bH|LYG zCc2h>2|8n+^p9Q?`(#9PMC{xA=mFu_i8{)1>yqGnBOgI5iph(*OcGff3KG2;X~Q57`*#y;%p zS|JjCsCXbKDt*0op+`%$VqL9i+lA4+z0YsMBDfgA=F}74lfF%;I@bDbZ0m{MUj?A1 zF`}Qn5<}hH2q4S5`vjbse)Emf@T29gOn#^(^IxC^mIjE)w79b*(;t{6nc-PklKt6} z;VjIS`@pQpn288szr0>}dNyY!$yV<|4a0Z7(SmURVVT~A{dk1JPbH7H`EfwPiFt6< zc^96FeES&n%$FR{wy9viR*;v0EA}wtd!Mh$@`=E6v5+$Tq>9wO+e zOZ#{i=EXMkMfzZ*mwKP?)DmNI(TYGUQLr62DaLTZwy}*J@wM$IY~hQ?t7vS_c8;bObz*KCIYLotzs(rg*-Z@4*z*$6hWX|s3V5vj@$?Eb@_AO6MfeytWJivHL%C9mmVSO@(b9OK* zzZ?vDu+n!u=|7>0mM7+c77Vj|a;aEnm7g`8c(Hg{Z~cmE! zDX(n|Lv2kN({E6XrlX3c6GwRGK7e9aP=^_FDrD5#d>7sc?pA`ss8OY0K8Z0bq^}E{ zxb@m#@Wk(?ALkt!LKoc8fs22nF7^jh0=>jaP%WyHs*wBYx1_#qgg>I%?h~*RZk3dW z-d#39ZxaA!$>5G&0;0~eqffrDX($`P@LNzt{(c$0$d%{=6*)sUPZKYiI=1- z%13Z}UaTv3%9b@#;x#*F;`35>D_E_8{UadIpB`l4=czri>vRIdt3T&@aLeK5*(tSp z&NDepkQPe(cz7sr<|#;C?rrXi9(#CSqhUX6fJ zsdG+O8S*P{cdV;_bY`q;MDzq4=83H#bFm;&jj{;3m=df8tw*t~xvsWa8k-6|z$@{> z)$1{M;DBY8;AF$Q5DLgOGL7ZP3xJ7bCaS=m$5jCiRlJ4OB=^UxPt<* zbQ*;!@c+!c34B!5`97X)AV6e-IyRt4&{%_U37~B-A~Pg`J1~(TE>T2cu^6q|C^H&d zAef+xmr>lRwbrUt>sG7PTF};pMFJwL8%R}Z7jKLxYDK_he$Vrsd+*%YlEK3F|L5}| zbC+|^Iq!Sk^KR!o?=fl-4CDUuxSSp$+Wzzyi-&h4zxV#45JUIYI(aw|6K7(^Z2C)-KyrMF~+}3SA`x>pr$Y0st zXL9!xm4s5LTYYM0>);yo(H0fyjvy{t8)xBgmj)e75wPXicQ< zIDh4P?$(VUXrKG#rIs2po3lXlk8-c*A4T{P{bOb|6Ak~91j%_%>@wuFlV4zGOsMzb z^U-iu9yra?nW>AO#>%4|En~2gdT~ioX;jL}rPd=^R9~lU+zl_{0Dt9rcjSkB6q$(l z+_%vWbmt)B5JU>MR7}HqnR|Yzs$9X39v*?pke1eyV}h&dT>~tDHRP3yX8Z28jkq5@ z43~3;jxOVqTmHr;V|dy2JTD0qLI-e(z$YBpR+57~mbGOo{2O=G=C(&YgJxl8xBG=5 zzLu}Y04#pl)qi9=Zp$#4UF2ATFMwwDE!r{D7tUh{%FK2;Hh@KrN7o3>)jGgtJdGXb z^V^$$%qdl;z5+_6nuupOK4N(ytNLa)31>cY7RnUCA$!C4Q zqxH1u)0PXxbs-m1R`}H1tb#GQS!410JpP}L|Bv84%f)}$*)CU(Tsi*WS=xWOE?1s| z>SLFrR0vnBS`*%4Nv>90tN%kJz&mgOQ3B*)M|i!E(M%uwx&8gE?KuH`wedHsYIarP zO1WC}PUH7@f(aZNN_FBe(qeQ?Kwm3sG3znUjfJW}@O=?k{_rVS&SAK&EzHO?9T}9o zQ>06!jC7NPJX@4Axx<%-k8pKZuWI@+`ezd(r(kdCb|vQpI9c1BIjSZrR27KS073*TVYz<2@irJM+0p^N zvTuB4E268ymEo#9W=<=XwGcEzaJ)hmGMwj4MYcHrjDT9!5YRt0Zda9rUZR`pSwYRZ zTB1f3c*VHFY~}r~dr)iFW6H}}>O)=e<0bjd%E{$1Km)jXkKAYCg~b`SzOtq88kbg6 zu2)C=P!rY|e+CswM@6ED1hnd z)Erff8R^q^&87<6gFfA>8HHl{GzR5Jt78zr>x>UTsYp(MzAbeJR)r_#Q>pHYg+J+9 zI^8;>{(Z@&d+OiJtK<5oHVGHoT{Omium0KNU99P&s28eXITi;8SXHkwJ_IGkh6R)p zJ{!>6Hk3(9lPHBX;t~fqp^B4cl8F0 zsDoJmU*Hm^5f$eav8HF-dG7hfVnJX(nRuN~;>Ip#UC8>JxghQ`PVIzyFm4Tv?qH{b zOzvaLGiv-~t%ITwLRJ)|OCb?~xJDl~2l4RrEzH74p=koiE^3LQ=;v8^--DsUNl zJ_HVVi0+amcq#Rf+&zxvGx*|MMk$(ruQhzC@$e@s1-R~zQb;pOh9t!X8ree9*P3$_ z@Yo^6yo8g$F?nss)P=+JQ%gW%Y+wlpt4tIcpJOCME2)Moi+HljNT=(Jqi#_7eh;DF zbOSJK9E7D`YoN?Wi%#cQ-C07at{SYMW%)$(<=d;Z55RK#hU+q6}nBNt1db4#`lMiAl(8UQy+;ZHdO3Z-(~ zV1ZeE$v1KFUkFaR77f$TxE@Ww4ZIm0Vqg}$!db}K!zx-|$%(FUFE|EIA~o4Qh~H|x z9kHh%uSVliba4^0AMoaVSq|TUMJs6gYf}o4lT_FqHh5{Fm|ACvOI=; zSG?!?51ewtRZUj{psxOQQ%AC5)oMN7w031T9}93h3rH5yv=5KX5G2rE#<*4J(Pr<>Vc(#Znw`rJ~xbs$!M3Yy} z6g{Vw55i9%hU(aJuW`|M^xS4DUgN__3RPadt}|8%G~lIcgkI63_l1kV^HPJr0M<~0 z&fL7bE7#;CDMd$Y{+m;Oi%2OSgXfa&Pd;OPTU4CZK81~mP$?eI8RvX%_S z7P5{xoEl!JaA3oQs;{%h5n8%IBcAY4n7;zSKPPa=WKFrwI7^Dexa?c72uV98zJ7moRyp|LJNjgWuqSQsZ*hT*nBchOWTsH-Q0%mP<6Y@_f8$O0U1!X{oRF+Bu9shy z#-UvJr_7Nw*p8jw8y}Jez5_{u=y}~W67B07qF9n0hATJ(=5HmVn2>KorKMe*@^!@B zT!l7+k8#`2KG_0UudpGHr&?eapD88Y_y_oZwO_B1Zl)F4%HNpckF222wIl9vldU&ns;W)F0eaw?L z=vt2N-H%l7#z^lzyEwUb(__7RN8}wO*=i{l-@6}My+af1+ncvpeOr1N$18@t*{W|( z@G-ELzHj$#6U@DIBr$iUw7FR@(qAED1)-Cj_g<@KCs+^+5iu)x`?VCCC0VA}vnuq= zudm=9hZPQG0xD$OxogyyT8|ry!Vp_>)-p66Q0Cw-79pg9CO0V<>ambt%y@;xTicqKA zU(E85i}g{@)@h;}9A)1A7q^%lc-MgSOTLSS4u&J9(D$e8F8IaeoAr23j(CbzdD5&~ z6vI5}N?ai6xqd2L!-@c(m4S8}kIV0RIn(lTNvMp9BqK@%|k zI3_mOydR-ayPSqe*w47}bH`9ZsY)EmCQ&aIotJ7Tn~dh6YA8c>#5(;-92=@k91$p} zd;|rRBM3y6M?Eu96OaqVMQ*QFw*#Ole5H_$GC#pX&h1JFrCt$JI=OI>Fx#syA#&Zu zDe}AC`1m3<+y8}MIX+Y6xbVi~)lva8eTA8KQ5@J#{33EI+*qWAo9NH0)sgA{b2aq@ z(+3jMFZ1XL!8G&^@gsdBexyKTc2saLx@42_qf_H?{{r*{YZ~?aEUGL7&ZT3hT%;WU z_f)5ThmuXkH%N2BX%re;4Q8lvg(JbRVEauIy}Qnh!*(Ck0_4YI`@bk-Vf!B4#P;2| zNWk`6jSAcAXQsmTRrm$Anb+Nm1Oyd+{b%@{r6CD{odh%9vQ{IIfd2;BVx{Oi z0slJ!`W_}T2}_6Z_UGp;BG(!C?L`=L1Df!BEE6z3>-jdF02 zT5|@pA+wpy%qq+oFc1sJrcvyb8F0%vG636cqqtMmeAVF`g>S*k-Z!a#kxBifBBQwN zs9eSb&PuF^Mm?9JCYni4p`5NF!IpOBM3+h`x#ZMfzr2uW-o<&(i7vm0+h>j zENrAgU;+PNTO-z@`3I@gsY+v**)6h9F}7(J3NLkTwrWH^bu>jPdI#@eUGp1Q*FOEd zQTK^0>AsQlh2=y0d?GQoVTURK-(Y-mD8Ni#^C+Q@q2ZrqxNaf8tp<1ItqV2nZI(dCt9 zUvFp-j|ngv_54)T7?ZwuHW|+-eIXD|`VyhyG*?dgFD}TU4ktB2`oh07QfRE7QD)NG z)(bdy))}wk7xLDTM$qI^|Fn|yreILEJ{F5ARh}J0-%^`MA~r5?8jpgfD`GP#OX18o z9%COniA>DJ{%8m;b$h1nAyU|15GM}gNa*1*#^)WmF&%m zjIf1|=2ji4%pxqfd$|7MpgZuuODTyi1qI?yqMPT=GZf{?Hotd4ZfwYX<97V5hL?i% z`moAX4GM0+=NI~-?IbgD*~X=_;eJ>66Hvg4?zL#I+|Cz%kx8!t>P9BLxFh!#hktiT-??R z?>#9-lhh~%L07Q|@^V8FBvx>h56q-V+vCVHE$CGZoi2iL=MpqRj#LfDJu92`0}96P zvO|@k{HaQ!o;fHE6{JYhttUbC?HfX_ce15EdUg02k34aFGZ9 z5uCk0j=3mKZXn|N8zbZwD^SyT5Bm?lDBKJGbJSTz&Gc6%VA9e@e2(Z;#P@SQjlPU_ z)_{qTgS~)OTlzs>)H4fq4Qh#xPUU_C1hG0cY{~bnOY>F0s!{u+Y@!EYp~;_02Z&&` zo*3KD0E-v%6S6}5-k%wbKQLfjP^^YStG-7ic<#=+@m z%nY7`LGSdtS?ifwWE0hQ_ynO3`Nl~e&fqn`O7YarQry`3Ie-^@6sv$Lo~K=E{0CaE z7Qvz#zukfxw2L+)XQL+*3#%7QEj4B!9JD9r9~z0BFZ2K?mpEsXCgupv7b?wi&z}T# zE=lMIa0+Zj8Dq)Z95Mv+Zfi?U795*x9u(9fcb05pHP*}1TBWF!h1dLKu{`Bwj)hX( zxEcx3-7jFv=|YwA_e0#b!D;?HW)n^va>{S2qu^lm_P2+&u?c9gpiJX(+%RGW3dMy~ zC@xGoM<{?(!6U9Y2b0yA&dVhy5cjZs(IL*+K0tpQc^P1PG4kT^hckzRM}!|PX#ueO zU10t$!tY9zB@I~y;C;fFUfF7kjgehS?$;|F)?kD0Dgh9g|7aO-9gwC5R0OxySDq=n7F;vW?3pfr) zMz`2_32JB830PraEHb*mrj17hS~cLs#yuz^h>~|t48YjJol;C3@ClpKjORd$R6)y; zlMZ})ZlM-w$~PBFyvCcTkSj1O&yOqEJ~-20&I;1jI^%TI%LNS5h*sHL6s$L$9?S~p z^1{tBo?rY7D_mUkx(CIu=ruv{G;_zzC&x=qtvq|{jkUnG8waDIY1V6Ohdv@BT5NoP zOE1J{>xxFlVu#}A8<~F%>lpy%^g?a%lTXOMV|ZTUS08Ch_rQC~J$z%dTnzZZrz?&> z4+aowi|6>l&%D~=Gu1yAvuagt;K7KVU)I9U$e~iaKk6xlEDJ;i55USQUJt77tzHK( zEs71_+1v^VF>|sO$vd6j)oV+)$7Ck6b(=9P(@` z_8h2Ri+SQ#_&Ia;h{N5aBYS_G-y#7I1_yZKn&<{2A2(ox^%!y0L*o~2jvYgh%bmeA ztRMUsr#Z(nX=r(v+Yci61EF2zJfRV8M=Sgx{un&T|7%-xW;e}!HF6B*r6rA)mupKv ze>G6H+W)dOUVeS0|Eo?7!G<`GQqx~iLuTMEhmlFQY!v`z=R576#e@oylEDM(jlY7R zAdlOAgNJIE)T_v(a^pdH7YxQfZ!p5@xj*jttwMg6t5-$Zyyfj|dtQh9MAxD_bKAO3 zW0+mOq4g&NYULM_vr;`BAy2CsIw@q-qao^1dFLzkqXItCmv=tLCy0J3TLUC$Ktepx zwtkcyoUZZj@mlMi?9eqF+iHE8KxcGd4Ik>4TeY&}0I9NTh716iz|AISrqHz z7}tcNSHu;aVHQ0=HF5@Sk<(Mhujvu)Cb3bTY&0ao*?Qj`2go8x(ns#Xu&I z7VI~ncdo=I>cbE>dk{|&K(KwFEXSTm$N0Yjy~Is;glRP*8B=%|4pYj<|3We}^cS1H z`wMe|Vub@U#({wNJW}A*mi{BppSwy6p9Ys9Y$aMOjmT_?5?DpRNU74Iel>B5#&TOE z#W##{oG8wXhB{^XhybCAHS`48F-t`J?5t)Lq=my~uPJA;YwNQV@;2CzJ z-~FZ^DFgO9es?a<#?}JdEIgfBwP<(cfF)s7RpxWbRD(z(d>-^pu-I6i!EE7F<kz@k_m-O6(bBpf;fuNC-{sqtN8-W5{YGx~@O7_Sogb)ZimO=&8+uIpKo zYuQNz)9AN#)UUx$A*qnE0`jiMep>ke(cN)u4w3h-rPNr;f^bJ?Dn0G{?@TP=^DV%R(BJR3-_o)!`S#ZZ(`esky5VWCdn)BEplo9m1AlTIG(bPEw2jvTEHpEY?LPE=K;R zi6X;lFTjr=IpUc-S_v|&7S)Li+Zn8Z47NE6pK453?~xKi44=SXN**BbLnamDR}_tQ{^}imCfF}1G3GPyo$KmqO~~K+ZFUG$ zWQI#{kqSrGP(wbpFGj{6ISUFWOozkGJ2f(l7eJKvI7LYum;0pQt{+W`TzXlSf9b#T z0=Y&~H*it{jcHW=8}!1jziWMmbWf|;-_Y=+Zy0i|iws&Qz#1zU*y8{I{@8z@I}Gsk z1JCHLiO}2*W`rxGSKt}c4N_@xvQg0=ZSH5U6g3dPjY;Q8pQ_d`lwKD@FBD=X6X(=h zXB;CvTW)f0)FKSba+R6qNh22f11^s6JZb2>7@7%SokGuW%K5<-uLE_dqogY!w8YIB z6Xe*z6DY%ALY!ln0Cf6ixiwr zWD$o{xKbcusG09ip`&I;GCI_G+gKt3XFRO!KZ<%jtFjxFU``y_iVX>p4FMj>YY)~*jRIzp@&OE5s#UbKD#CPFgOW%ehyns zrK_=_RP|$7dN=lakG0W(`k^}`QIV=2G8bqmjZBPcGq%iM-R#t--7g#rCn}<6bwAg63u5Ml1NTjag!_jx%5`Wn@9*itxoK{|f^c$(#}h;MtHv5l@D-L1{= z=OMT(cfHoSgo+G~%V=Gb9X_XE{y9a#-ciqReDs>TR6Hzakdv*S>7H9n4QUA8fXga9 zP`Yy|ttC_DVpk9L5&a_;bgj&N$7UakK2*HqjCX`CUiDgN09jPpWFfidnomJv8Syp)vFCTWX0~@O+8c?OYdAFoQC!Cf1E-Vn1!jR2*vnSRCDKr^ zRxhTSrSitpTkFRaGUpV|F?6NEh$_q;kpGx-61l_ZB7tGs8>fbnS5dW{q2-O$s|OZr zG+MW*r}6bO7GLIbdE9gBM)(EB2n0TFi~p)?c!$(8Z z-i*3Z$T15HNBa++lF-fX+M zpQmna$V=Szr|f|ke8+s>_WIcEMMtFsFLiq_LJoZ|_XO+Z(bs z?Y#r>ks*9vxCbC5bc{g%Twy`@dt9X;n87lF?jc?WeO{7zd$tn-+zInRvzt3Lb#sTm z)H``T?16~L5Wa-=HviZHZyl~ufS0npn=^0k^8|ceWH&f1b%TRV0G;?8lzDq`{Ad1ywvU83)z$*KI7=) z8Z@HRl&I%&`#K$coXA#^&4zB|^B}uU$bb7fUHxxBIP_S50zE7qX(8-sT%|x*O8I;V zTl!w|S+m`>x>@ zfS=$h1%N5}`xB5o8R9dcy#;oAbM~UWlQVBGQ9l2`Zt#HA4W^RMuR`=>2w$T7`dzt& z&|l*!1wvEFuX8hRk4_pa0U$|=a1#FvLoLQeJ;$--t>GfB)+=|5>7aqtilxBz;B`s% z%_U;m6hLyc;!vT{k|Tr*6;Y3uJ(^LU4?jn#Jopg&7llFxwp>>kJs{K%xszrrUzt~t z)s??8uM)dO7Yvbde>2M=5+2no!CiDY&m6Zr9^zbv{fmTL-d@c17O zkT(W8_Q$?*`7SQW_Fy_NZWrB3JowFNpQggUGFE?oJn+9WTt0vMoU0tJA>}c=FbVgk z3+@+zD+3S@P>*Yb)DI zk2sFmhn9W4=eQVZEf=Tq`veEGb`BcrhYA1HMx0saCsJJ|zLHj~Q~6JJM?H6?Xv7@9 zVOAsGv5~jf2-3#LsQd;X{t+~Q22a7Il1njND}TwXA!1tGcVr^j8U&GV9ZOsPAjYyo|;}#jj%hBTa<`vXY1qezv(l^-m<|-_kEk}m?&#@>T904v3`HwHOvhPrmD`K{!gml4* z7-Ec+b9%h==!@!#BZGcTugR~jXebE&_$zNdmV~1`v+O@dBUfD*{czTR+$x^mcj9a# zS%nqK{SM*|edwIY2q(%{Q-(4I01L*JEOjiY#Mb*N99%ngY5Gqip7Cf2GsxH~i$DyO zOk6sYj~xEi;plX#fq=LK7szE-CFC-$9{Ij5w&J0LQmC)S6=MS~0@cMpb@o5v`g%Kysq=lT zgKEZtGLUK(qvzVuirl+VPwfw5Fs8&wGJOuhC${rF>X`y|X&ZlB#c;PR^jugHRz0GT@sf$Dt$wEr?9qZD5ypTEtK}FJZm)wL4ITXexdYC~J>;21xa}*4r^! znKNk4(k&A-O36neyI@tUE<%u61YFlKn#oj{{=yVapOo0>l~D)xzz8;?e~YZKHSPsL zLi3bG0R%F7wNcFOMK~EpaH;5+B1>-!^&tTGX=$tooE_@ahG~ z0{?O?T&C?fGXAWZwrHr=_je49b=~zf#pB41>D?xUWbKACtPFF+a*Y`lJpYg3fm!kz!lw>o+OJ>+kX7g+$cRR|1vmu3ONyDR_i7!-|0xOd)EGgQoVQozFo-D%Nq? zU0boz|2F==n>b?1)HG)UMhdgR4tUsBY;yzV<%|N<__5;dOnH)KtX9i=wVvo4t7rLK z7z`!T#hAyjpogw^6UQnR_23+=N_>@iu!fN@h~b7)V{7$SK7ZODIpsuRUw`|wNMIPk z;?6->%WtrZzR;2%;G)=-2X*w*Twn{jwrlh8>-^y z`WCnFt-jW{k0}v z@Vcs=qGKB?jEpPPMvW60{3ESwoxW6A{V`Sc?V})Q=B*$W5)XY$FAoR#lI7uhgKbPn zPnB4!M;~MI@aFF2;l!etJp2JZbjZVUcC!b0DD;rzZ`r{;Dh$#ZZFg{G4N+}LE~Un~ z+sK}ncx=XmJpGL9f{h>TTn0(Q5KJrHoRZWH$;X*E1hqlgLJTS%=HMYSJI<&=lvR<6 zvI=g%krEVJDgx%Q?2s1&-u50#!cBvqWn?#E+T0ntTCO_*F0vm;Reu1>r{4^uhKe7@ z-OB0{qFKno-J`z-^o&5Pc^|h6Ag<6Do1&F%^btbUqn;lfM(SN_EhGGVXdVP?0KuLr zR7G5W?zW&qbXaj??)m4-Q;=RC^ov*+h{_Ze@bDW{#dLTx#sUlFF)Z{_SQu_ji-D*_ zceTDt%7?|p8L|UC4rjan?7D(aF-3Oa!OXBPZ^Tya>*LYy3|%c2O-fA_GNq{up`Niy zi(FSw-ZEFA5dF9m{V-98yP512lrMzVQthhj2$q`TiSvBAg7EhvE&iuG z#i8=;(EHR*E8lkCwY+U>FmL7fsL3kPUP-x{kmcfnsxCk>v$avr)D#GCx1B5oPp!;N z!bLJBz~H2qn>9tjJWanWODkI&o18LU&;n0BLMCTBN`t*lOE3u1&(CW{C(qAQ2c?>y ziy^mS^YcXn(RZV-KB=~dioSX^K6K2_MME>0pJu2=ox~r57f24(CdK{vtQ3F(KiEOm z4pj`wYAFu3$T#=hmg5*Tj8Z9|H^}tGmKhFesc|4S=q#e)RLU0Uk%|F1nkGHMGSC(Sxn#Pi*D4cvnbCKxmM@YyG{OL#g`&P_)4;G{WF;0;cRu3 z>sv#J2MPK-G+dv*(p!*?1c`+LSH$&`f0Mj>V-KY(SYf@!3V3$?_WQyyaJzZMlpr>qL9^7ZC?<3#pQuoO99)4#Cf&>Y{( zaK11a;79B!bO7?bczWk2;}XKPljC7`SaN*R4{Tb4)cm=F*P>yJolKW~U+~xkt&9f@F`#P3C#r?uT*dn4u{n1qma9GZA--1Gvy3!CVIgn$ScZujq z7(cOPuw($ya0L1*QNZwdn4xFJ;Z|2x`YU(LIu!c{+%Ftrx8ENpr%3xdlG{%;9!fuX z@esO1utx(Un^Mbp9FxNOJcWLAO;6un-2L|_%l9fuGG+V2y8nKUhyCy7d*oE^uKzFg z^!?-Ae}7F+-(TGQ_b2!C{jl!8-*YhG&rd&ootU}ZjNgkregAm(-(S)U}s$f%E@08J%4mitiJbf-7Rge#hxk~H>vBp*HPasQeQW;c74zFO>oqA zYEShY*K>V)4vfM339h@H3-2ac9Le~5!cpJ-J=GWKxxRCy4(M0u_>13JM{<7#_4Iw8 z?!SL$Ko2yq+G)ilw|{R>--o;Z{#^OK8=CQV|NWqzzVFlh_wN+;z#mpa;kV~N3ix~a zKHUBH=i+;7exD|Fb%Tr1&bm_1$G(pGzH|2g+jeN|ap<;|*rQ0|HO! z)3^;6MLmz2zxT^;oT`BRj6%xs>Oxc0b7gW_q|#4tONTtwkt#W`s$9JK^CA57depU? z%0A73JpAER@<-bj^bJ%lyV95m#S&F;#Xrtt%<*%;_iq0< z_}1Z;@U1j|UzXp@;JZD!Y|rpL0^znl;rsRg1)mzePjN@^J~V&dm)`{NZb&hFS42I} zC6`SDUY;`AXlky6yh;n+VT_keH-2e`Pvn_n{05^}q)!E(+k~sP`5P@DyqUrGdUDyG z;rkEd(|(0-GDrQUm1RiB~}2?L9zg7-9lHT-zrOo|kb;cwUg-p5eJAMY$f~c@P3? zf5G!@zJkZ(f4CF=C%-*|^S2b`QiJ2*d7B{T_8y!J`Q34G@C-5G`9X?)rXsgbduPfI z9Ps4qPk5%GN8|@2L+BT$Ne8ihoT8(t06IKHxzq!Mt>PAg8xBFe_X9*vRSJb7Vg5vV z#lbTNw(HM#nam`#q-zMTt-pmEvI`_Xy zREmnMc^pDYB1*({>)JCEmg=Zcn!CD#E=iPm-FT~2e7RHc)z)C~j@GLDXb5>s5Ja*D zTWN7$5ar^Cx-X4kAxD*0 zT8w82UKxq=(}n^T$=T>I1jtfFE{OrIs{M|dvM+@ zyr|;eMDWUy7#sw}Fi|_Qa7-;mlJ-(0X?OaVohX-hBQKdvu~ANEc|^m4fhLQ2a7Gr% z@=4UQ9UL2}Rj~-05HaNZeg_3)bmuD3Yg#4!k?MjR^@_}5Ez6fbY)xS zrlya}*BkS_J739mxADy4B?kT&tMcg$N7#uOjE6Cvip0o^@U8&=?bLyr{=(CoLIZX6 z4i0{R?aDhCs~EVh=<378feL&)Q*$CZKyn=-fk>)~ivFq=1 zafz)h@#2p$3f);mLOTkF)R=F=jrroF4uGnY*kRwb~Ya}TaC1WmE*V&;6Y&X0)Yur?C|sm#JY2_ax^{fMh%6&ufH z>ZRG}!tBDRC!7WTQK7$b6f=b=?0$)s6m~D^ZDV&8n+ITL1H0|Gwy?`Vzi9xojaQkjA z6SqIq6x#X9Ms72ayW%xLZXn!Hp=#7O_#?IX#v2$}V%Oc)jDDxWaOZTqX#KXod;UM! z;5Pg*E=7YTZCTJ`p=g1bb_%(B+F7dkMbiBNR}AWdOtFZ(!a>}~FqS|?7OZ8zbi%4d z8Ud@Of2ygpQZZORbD?P=QqqzGUM@c4WNWbg7yF}i2E$z);O{Oh^$4tuHmnQ#rGa%8 zUSO#6+--E_C}8KZMKzHuV2=f~zDV5g=MQ=5;5kvj^T5nB@Z5wW?lXa>mMvIg z)Ezu;O^Ac1<3|=eT{xpCB{6Qoi`HEY?zVn%QH=cFa^ZEi?at?`I(OR+xoC3FXZpy9 z)@1xOw+D#(r-S&eUt|XHRJM=_#Q*ZgLHy=P7R2m*N{F}N#po75V!_j3Y|rTdp8L~L zj3q&jWZd`WXj2<;L%$ zNGjJIJnzj&Gd}Zg&kUZ4Y$22R^F~b^Jg*G5;OWHbK}vqG0WU^xaKKY&y!%}b@LZk_ zoEMBul{I>sz_S8>A-4PX1WxoPX@=)Nn1`8=+f(0W z2+m2>al`XN8=MKbX@+MwUbtWU_rdPgn8Y2;=DMS|ThamK$q=Be-((2Tg;j9?oq2*a zK1ivUn%)}l0xD6yQzg2T&2nqrdKoVi7;o(9 z0gOkcrUBzA8gUtz8dtJ~Ou+cs8wbY6$0{&VTXBAFU_v<9Pt8C`sP;wj%#4eaMaWi! zW@m+twx7dEfg`CLw`vViiUkK?-^J&-SdazxM~vkwT?g@J=)|Cj@>IEa*v=(dTZx4-)O!694yEz~m*wZ883^Lbq?KH@8 z;O7{=hq8RE*kv%N*J1w&5~QCCBgV&czE!?R?HFUvuDFV-7c>^YP=;madg5I`H2MQqbak-m^!CBf@5V%po(P~*=zwmjK|FC%S`i#C3pnK1Ng1c*9IcW z&7th1TgF(#M|?J!wC8Sf0fdxO=;H#@#(fLbskyY^D`GqjwBts$!|-#z0LKJjyJuk< z*rvzX?TNOmz*dKLjHB3u1GeKGuxa6^5@ECL+E3%a8(@R?LqL%dyaVt;nYA)>+t~PH zJCopZnza?imb24<*K%`@Lnq*kV+#)OG8(#r;$S;#4P9o_mZ1+RtI5rv&XRybxm-hiBme#_qLp0b}<{ zxqz{Iv0T8|-GD)*vAf~FJplh;k_<4Xer8 z1lp75rXktSZ_Es|#h-r<(B@YE-Vk(!LgoQ>t_ zK>I<4puPLq_W`PvUH(EiaY4QQ+I!ZeH(XkOz&HryR(e>x}4sGTxDvr)_W zKA^21R=-p;lE!iO6hwL@&s z$^b!1HgX_ABTyEHEHs|}v@8$t;HQoa+66~ikX;2^Ipv_?;6l@gjtTW$+k1d* zbvk`z!@SI3`vqIrTi9m6XB~rW{t*^z_rh#W2^)`zQ1)~Tw!?aY?f0jpp~(NXWCq)d zAAb+nZh|*A2HWF9E!bYpP6OK`c%e+|U*SsW(O$OP9gn=?tTeC{WeD4QUEc$?C*j$R z!M3*8g6#v$q?F^f8ZQ(?m$SX@Ac_XkKs0V{W}{W`eIVKb-);<|uZJjzQXAYjE|m86 zl$gDZ9gzL4ZHNwY8!K$;u~^$j#_pWPb^*@E`KM)UznFz#^KnaF#Rs`rqqDr&zup_) zt@IUS=^OCEv1Hp|o<)k&8~UNJV*x&GyaQJG>bP&e-luOCGaM%HMNi1$t=a{)p_8MN zZWq{je_&Cy3k=)8sE%UumvI~nB((!fZVw;*O>|Mza})a1fnld@m@}s@VY>7mxmmhF zD|4N(87GM>ik&XXj$zxA^Aw{PKv>H!)${>8=nm`HIU4q+YPqkQflUD|Z)3hd*FSourlNZ=$wxP?zL?(i@^)G^hBFoxjsc|bd zEAo>vRdSXK%jI2&S+NU4NTP5FUSq5}7_(B(&G8Mucsb9aR2w@PPh_3fq4w8|z(@!5 z!LPI4$Rq@OOeyj$&H`HtgohZTWfTzWhI0)^J&X-(VQdTUK$TXSJW%wge>o@`_rnwJ zhb7z(O}HPJa6cg7zE8q^cEbH`Oi7yn(f(%%_nis%|46vsl5oE<;eK_({nCW{B? zCfq-saQ|S!{Vx;lZ%eojC*03VxSySHe?`Lm#R>NpB;3ctlRzIeAmuZCt-G>=lkhhu zcsl;(h7fETQ&K1dEbBECSvi*f83_V6AZSUE4_QQ> zo*nlrdH+|p?f*{N-|}uZ?YHgE_U~5kch`P!f3`nK!T;T59CrC?7Kq z1?2e>@E50izZm$o?@fJB=aI+d(16gN+fd%t98J|6JUtUp>g+>8-rJ+H4-FEoSDmF2@yeLlb}ftr*yU6Oyue!gu~0bd6i2fL^wl`q6e&73&G8|L z7Y=xK4{1YPFu2?=WLGYAx3#EiA8vwI;-N1G7Z>s(7Z+z?d!@g1X&x$=yA~T!IO~0%W?Zdbz6wHef;`T9D$9s)MZ~Fb(arGBO<;W{>jD( zpG#q%(B8oTn6NUDo6ru|walEoz+ZH0I{bC~GGqMhMSHiVZEx;=X>Ul{_I|uy+WW&h z>G1jKJ^KTnW74+wyZzGM`Yq|;o4#M#o1V74A7tL1ZNKMsk_$7xsIvDxn*mJS9%>c(*fytM3iK>i6xocs{O9?eweBI_1#D~$S&ZR(6(QJ$<~tF-i`}8qssk}CL~Jy zEHucNB8b2v0#sqA)}6lG7=<@X*j~9jIAu|7WD2q~uB&BQ#n*#X;HU?>U+!PIF?59K zABipvRIbPI&C&J%J~#pez;<8GuG|p(7m^SH)qed|<3C7=psEZ#4I=5f(T_j#94+hM z@8Xl_UQ|Xs)f>dy@$WbB6Fw9fn&9v_+jMvslitoj4zR&>chJ#+m#)jJ$S7KoGA>Kg zZ{?;CEv(BA*nKZLwCaj9{iafR(JDXsP37v2Kl)7-_#1BJUsN~#ZdR9ztK;O#tXM>$ zxxq-A*U8p8AI54wl^lD%^y3#YU-0=`V_DHW)vs`Thd>@{h>WRFoyGx7TUC+^yS}YH zv%Yy=)ww5r!8);D$JLx+?Zz9J!U_HYoKw0^Fx<+QN&ckmZ*rEy2S6?0CWTNFeF|?8 z*AeJPhu{r!5tFeudi330?AuKWUZ?v>(J$vOz=k($w^q3YIiyLdBX@GYzCOO>vFuXweYMY$*mu%RlXmBjwZA{1UJFrnEpZJ>W98ag(vEnL>N){ zqt=T`zERW|9;1cl=9|O_jJM3r&O%-{Uv`#EcXK>jW*4LVEy2D~&(@8(Su3k>%OP8C zzb~}jUkh}utir8&FZ4Yno?nUb+k_s0c&m{wGvYaf_#!jGIiH)z^h`Dt^#svnsLD+k zbF0LF*M%+8)ta0SI?_6pVti4KgI94` zZas2T08CfNRvt=*sHX<(V-XN#9Z&jNK>zy`*}>+JA97%>@5Lu%mHtqE5Z`#P$M=->56s*3$!L-ej`?$efPGeDJhkU$r6jB4g@^0{l4;ka!0(syg4 zUTVR^V5Jg!_^vqW$tFGndMn>(VJV>LQ<}AKRWqfZn>*lGU(~aHy^J)p?={gykFhus zu!BsnOZGf@6}eVxnOmF{RQa>Lc(!tUF)FF0xs2(ZPlah6uJQr`F|Y|nT{CtEvII*Ph7M!FypRVLwpkGK2$af#i*#r^x|^o@ zAZ4nTvpyf{%a;0B+28~_-F(ej@S6G}`-`ot+!Jg02VgpH5%U-c8)+e@P1>l~u!Row zy>srvCWdx~w$NqCF?leby(!=d7gunD4(-?=MgH{>*<1yf>zM|0nhS{&ep>|B>%sNcTRZ-mgpd{!R7% zKk43|^r6)MUAp&osrQGhOfz0zs`tmIdw-@O^^Z$>Z|mz0e=Q`NKDnM+L}5VpHBctN z8?x*bi2s&r{WMRi{GeM}jpei&pTQeTz}fZ1$vA2|6mgclG4AG={=ZVR5akxZx#p{q z?2QMdghPf|ZSfaGynC%f|8KpZ$yN@1(CncP*2U?Az9w54Y=GKhPp84 zFe0g7+QUyPyY-iPk!idrTdMtbw8gDb%qLEHL}7twtiQLl^4unrLr>vnm+ahNuGM&q9yX8=>j^bzV7)P(jsswdiwiVD9RD(bXOO?L$cBdmpy^Zh z2P~O@5=a0g!})lVJs@Ecm(P%0*`>&=MooWJE1QV*uQoO7kmbLDuSWIP!VA?ScK|ugE42C1 z;2{k1D|?&aaAoVY+==y3Pp`$8B{*GxlUmE20+L&%h0$nuN)bRGNH$~`Sr`B`BfM~-n2nJ(=Mz^l#oVf}r(E28 z+UGOwqy#dx5s(Rdaq|s{0UYzqg6-E!_X}*9%)pb=fhI7@d`w=WfIUp1D-M{00?KJY zs)F2|@UqC=#;jm}xS#Q0%U|i?YXsDAEh^Krd^*JNFceh&inR5MYk)$%$*g=k>ViAQV6u7eS%TXu@KEju_S z5CMOWc#3YYxy7VH5PF?FA z+W&R*53~BZsONJuErJSIE3AcLjdg>5eyoO5+XDBJ^&e9o5d`<7lFUcldg~(m?LjNq z&?yWz{FKFT|N58jsg>NQY8qqLG>bK**GlZ^|C;D0Cf!g)X-ZI0z9DviD5xl%yi8P4 zAZVJq(@#|WEkK4+ff^F(zb{sQI92^IdBX{-*6~k+(vlO>TK^($^8C=L(EIYWvZXk; zWiF1jtb#1SqE-9cANpssYq?9={bI<5LSsEmGt7%8ErbVNBAQySEzM%yIq>K={{pM2 z-TDITrwTI-6~ZBm|E2hk9Z9N|O;1?0^kOaMKc$Mbeq3)mC?ypXm9Q`-`Ph7am~&H3 zfpmJ}_^6!idW}(-S&;W|QN|W0fcJXQlSZ`1sY}#h;~pf_JIGxF`o$K|0R5{in018p zVz3@luK=N&{nt8jz)zzc2noeM$XDxy`CjTTh856Hs!``psxv2xm20jjg=-G$BdjgK zH^(Z?`mmrGxvl+M*{)EoT1F4QS^|m_#m7E_6Z94*qQS%~G`+7j!-q4L3Ja|HiuI;| z?yo?KH!hfFGhka^?$2GVjhaVI#eHXc*jF&$C&$sul=d%?i;k?mFbdHDrt zx^p{p9CYV2^kptC1N!+De)y9CfgcIyVu!n}$qt`WF#j9@;e7QC{StEEiRji2|3r9l zKDIs3hdOdK#_l(BK>}eeuOV(Gi@Yveg+{Q{mTp&oJqWS!(l>&#D(tbED5_b~et>7J zKrs_V(SS(S@=Np?x7Dd1d z?r7ay-{AV7QzkKW=94le*}C5g<~FBy)N}eXGCik27v2=au7l);AuwHS*GV72^)Pzv zLCnmFMXAp;vgcr2Cu9C4iH9@J!{oQa;fB6I)!7*ir|=)w_w@K%ARr0;fK?>e69U^i z9NP9&T$=#AEDm42aDLApIB}CuICn+ghe54x=8)2i4g5}7}~_J2dBHUDM3TjBE* zCq6empM=je`l&`1QYW0_oKnV*3{^=`5x62tOI8w8nA+;T5S)A*&aK0C9^G~I3qVD< zdUzpVntCN+f=&82xGbC@STZyMtg5>ot9hxrl%B^8lvu>(ECULO#M%^Bs&=UBa&s_FGH(nBNK7 zazM{kBwE=XEC7~p05vs*(3jc_oba~vNd`iS$RD=3?U3nc;a&j8tESN1N(4} z{1YQnh|GxR$G=r7fM(=Amy?CFNwW|T*|+s0FxsrpuC4fzK@9_1C!KXi)0N=?NP>kOU{+RGx{h$S3)wBN# ze4qY>SakLdj%5dDXADz|ay`}$bF-8Ci z0MU94c^qTLE|x0`h)Q)QK-k)osUNC%DDcNa@vT~qvZB0JG_g8HdEJgrf^BrOH-c@zIzFMfW~ou;3u1D{X%TE2Y;+Z?rx|53|si8Y!>hyo^645vs_u= zou}>y9>!%Wr9&Sr(rVtf6SdxWNux9(aA(D9ZwQq3%RSe+`POiXGFX0;#8oi z_U9@8Yd5WJ5yJ7@exKFa%BNIoYzvCaWVD6DlXJN|VLEGL4aDH#Y6ysjUks5i2n#U7 z=-L!k>+7=O<~hu4wb-abtDr~3PtjWs5-2uqMTY5EQwkm{-+P^H&{AYKG9-eTGYCHm@Y6A$-Vtty#Q@(R<6VQV zKs9F6#mcqd5SANi1NPM#{}rQHu|5rq2!b5Jr|gKFJ`KHsJ3Qi!>XiPAJR%tH`>r@kDaj4VU9kCKd^}FQY-lF_8sqV|0u{#a zR}b7Z;J=N>j`=My%+;sB+*7Y5V(wfA=GHwD!yFVl?mhK_I zI{{y{=m4W7)8Z>e-`&ihyWf)eTK7w92DLpdGpNa)uLpn(Gn%g^KksHSqYoD|#B;&n zg4y{h%|NXK+>*6+0cCKqe^ z>{1$mWqY9b%|Ql>r5cw1qMrVQTA$b?dkiqWsv1LswA@u7b_%>wOd~*SN9ACuHNw^} z^+yiQt;Qq@PeG}P<>C#-9m>bb?gRK%X9!=!_4Xw=n1w1ZH9W4+(qq$;*EFW#-TpA= zVZ4TC%NK`#gxbGqhTcag74RNq)A8sB%51k4HSlrh5S7g`b8Ja=5b0ll7ja`O97vB~)Zh3}vGU3wp?`KK<^Jmz< z2Ok!pYWDBRg#NX5mUiM)DQkfM9fNUg!&Z{kulCCu|~05p%!yJB_7^if4pIe1G;~C0&pdbJZFiwmu91fXI^+ z0uz7-04%n*RtEb&cQYzN?bS3KUf05KB!sU96j#GFa5V(P)es|BW0|ur+V!C&RL^F( z;15L^L?0|^@arqc=OkN{!i-IyMvjcH>pAH*YMkme3@*=8z^7Sh*$MB4f{KejLGUv_%B{x8@k_5UPo{T2J9{+zV+ zd-nc1gv{aO2@{v*=X|IF|93H?t>ho6Rh zQvXlV)?c_!>d#4AfBU2R0zVI(mJUBn`=tJ{Y3ncEC-r|&o38&G9@!W8xgl-+E&HVY zBhuDizEA337D$JmZ4d7Y{QM+s{fqWV{W)pt_wJMWADWmBKRbTAFYq%qZT%1IllniH zkgorA`=tIG($=4~PwGD+ZT-(Yv@h_#%%2WF4SP{P{Cy08i8=2P*^m9k++S{Z*N9_g zgIWZ+UAAL+zHYPJeka_?;5hpKllHSiiCi;X?D;^%-{jkwogF;Qr)Oi^F!pg*izx{Q zV+EtW+@&xwXS(O|I8U0Ge9qXi*mLBr^oiZ$Wk<@5VcXFywdJ${0Uh<`#+a%GzJ?R- z@!Mfv9;c zsHd0%Vh1qRVITV)vJ_o@HF&S`zg+KrFh z^qaX4Ka}UwFDYKaAPHkW`;8^PMeHIBx`V3qwbh8{)8F7qI)d(U%oCjFibvJd)%V}#|69;^n#-Sm_EH)wG#NU;IhxrDwhQZTKTL3y0_5R+TewC zizt+3p-;IYjm)_ycse={f79u(GuKD!n&=hbGC~j;HW8;vPeuMBhEEf*2%j!R_;fi= z`irG{Rf}-p6udGWKLT_--@h2KIKSycbSR~76pY9cMs27Haso+9-a&0;c2wwV3R2aA4K2d_LA(lDQ+)` z4NMCPT4E(pJ_MZdVfexx<;lm+^4ZDd)qG+^0pfEdsNhvBFDciO?N6oaP~xM(55apu z8M26lFnlm(zm8xzdLZ>ZW>CI2LccRoZqb1^QLB!O1NWYVLbD*FW`Uv4!gQF0=`ahz zXyVN*d>*xp-t_UoZKqj#4dJp&@L$sVU2G;Cw>+4i!Gp;SHSl0wARf%A@L)FNht6<# zFtIFk5j>bHOb_NLcrYmd;hM&d1BVl{=0?+rNw;Mgj?1n==jdIn$=9-{Wp$nIbX?Yh z=vu!^<+yBDj>~nDY3xnODHdjQ6T_Ou1NiX(emsDGYrX|Mz^sC4vQ|=W-Qk#JFeV@s2W*AzzEtkORcznh91>&Gf}!p(8%xOIH6vSRriOd79j}s z8d~WiSI~FdsFK9^!&4UHn7r#sPOOboJqDrpw@G=(?=!JI>X{a%2-PLnn)rafb$t>( zNGrfepI-}3HeExU7-|j}1Y;OUKx6coXEf@Aa&aO=zi3Gs_LboglJs}tBwG9eTMA1! zC>@A8$kI0?J{71Cd~FSao`@+PR#lsCM7c7Lh6*0^ z7B?@D7Q0Gf{dxqC5=#A1mEr>f&NvB!( z-VRokDZYo@C-@%wE5Y||H?w<*_+E?p65x6VkN!t||GXP~f02yuM%AJd-zO#aYpg0| zm#iUq3>I?rQab@(9Stin6FOLd%Ium7u`@f z9%tD@vCXBy_13U8l7!NABC5bDt%5F2gbma^D^+e-Dw| zx8;szLbW)R!g)VB{vOOblq+0bw*Oqp5TzjaFczPtFV`Yfxn2Lp-<+=Z@i)6`3yOEW z5kmsx^}LU_v5y!L4Z@6FU;)cYy07FhoL2iYj9=@vZ^J(D71=>q z*lNbC-B&`ju6n_JCENua2rDMS-^a{*VvP4(+3#p8X~&Nl26!!aF?n^}&Z?4Z_x$_u zMnee}WC<|B7xN173{4hCJ--J5AP-kt2`gkd{>pp(PIW}5eSVUeUlboT-5}J2J~Kf< zol3C=_6o7aK6C6=wn^8sTNg^-vRfY(kiLWF4Th~1Qm%o?*NMF+hF;Y3Ap4@}x$Hf( zj`K=NeM{)!;Kn~MOAGOq8dRVr0sawx+)g~C|4Pbzz`5%CL?e{duAi>p_G#m_zRp-&JlR(hWHUk)_3pyl3#FX z)z){(RWeg`&jg7EK%B+UBSqEK5Ha&Mj6En<$w9qQ&%dv=6+V?tBYC|Z{yS+u#&SV| z&{DP-S>LdyYmEA*Y{SW`>6O@Q6FfSmk2tpCL|f3BV=E5AZDMfEWQOg}{XXrR^0Qd7KJHwW6C7Qw`*uN(8y<>AWsec{)^cMV4n*_rT$#-{ z_KCZU%Ww;h2{C||G$<;_QO{p)>6i#pYDp!OM0NPKBb}X0_rMRekFZ6Gk0nMV?-dECs zIR<#@;+;|Gx}85Te!M^z61V2eC;uryhc_rWpZrCqkQabtd(J1n7wyeW+uo4O+mrcP zj16sw4A~kiKJCws;`?(^H~netEbrur2j(=9{)yJ5{M#R| zB$c}^BVUV5Du+J+@z1!iecq94iO96^FxX~?c)RrV7j#Jd2dMgiV$`4StpB(k>gRe$ zGC!@OOQRm6J#s52D?CqdKfBh8PJ>9q)}=7ceb+-uQOkn-#q>BoA0;jm%IyX12APj> z09@2_5KH0BNck3fnZ~Jb$cSrz(vY~T^L$Y78eIg=qIcG_z(53%y%F^s$$Gkw6k7TW2Mh_jUK}7~ ziNv^D$I|4{UGFwK z=y5Y{YZfV@i)MP)j40z93=$qm@i+<1|$H# zERab0edLMkf<1zs_!ew za^aco`tA-}0bhkuerD)-XXJKBY~~eMEOWB0WE+p2g^ElA2m99v2*#iL-Z0`%m28&R zt_cL5PICw^<>JXwaagVl~75K0&@Nu-e*zBF5QJCAc!h8(L$k zC72oJ_k50Jvcf>*sJ!vawg0gF%BngLId021zIsZku8zQ=$=zEEWh-^m^I8yHYh53e zOmFH9pmPI3cXqduSG%cf~QJW012oCT;a}=c48J)v9YLUBaY`C)tK*Yn-9zo zF=9MIKH@Ek!2w|W;uu*gKLna{DI{kTa8lKRA;5JbR$_toMt-q#KJzkhb{EQxvG^Bi5LhLv z@*0ntPgL23VuKsE;h}U|o~9mzLaBUV34hH&@TM6A^bbBFa}cCyYY>Vt2;Y>eL8xa% zo>OO;gTPxN;~sf@Q^*_yRjN^yay`RuF$gSV6*gwQ7dHs^09I=d%*Im@6^ls~EWTk; z%9&_RK@O)(WIcbU4?8IkEbrh1`oe+*!e+jZPQt&t3)3gVqz-WvxdwvP0G`QGTzgJX z&D1Ri_u zEjEu`jM{2-qgwxbZk>veBF!U&6S5Ck)d9oMQ? z1s1Z%;fz&KWyp?sLw2lM!i+P&=X1r5M~84KNB~(Atyhk!C-A@!3=1EjW02&4g|aE? z>G&C7XV)~7+I3d`)9e2o()OHz~3}6fzfjkF`{-VU@4rI~f4((V$A&Ulg;0In}Ihi}$ zdpo&aRfKB{ynP96>efZhM~fnmqY2Tw6TZ5+KnHowwmJ z(U#1OTaOfc9|kd0j%M41k+`PKCdw7x@5<+wlmPtQCIu`>Xo|j5AdcR3*f+cYrwGH2GYid>hX28%0T%GDmDfENA%4DFCfeK!k%S(A^FS$A9($E?YO zebasCr()RqzCG_d+yQ`7CeILM1`>wMK z-Q$J%ud{Mj+70|XeA&`WN+^h=X1#7D( z3@8FZxX$}s`mhX;<_*w5e$7`gdVUt}Yalh)8 z%=;#4nyP`M&v=h|3Av3C%wC8ppoDoLNN8i31p;~_>V{e6%ae^k-!AXN8+o=25tkJx zZwcl$67(vF(3>j{d>gzE|AC7j(UBF<%x#kCxTJDxXu&@3{QG$o*4uIR2tIOdpdpzZ z8_3CThjDf+ez{JF9qldG_ffr|zRmy01DvKrqBDZtmp=~ZuON@@RO;p$lIb16;UC8O zppQX`TJ9>lGuqs*E7}k&M{#{r*_mO+pI3q9Odp~-r_hne()`@ABZ4sMg`#9sSOR_4HVg2ohZ>QMG z=JL6+mla>C5;rUM!L91;Si5gO^`Tzg(MRVhMR%{D-fHfjY}KpP{O16vmsIMjR7%Vd zxY|W>w`t`akz5mE6_$!su{Kh^D_Okx#!ULPac|L2T;fiy7Gdj^wmm}A+FxRwD~}fB zI*(|6`;$Da+(eCJy29=YtIF*wYWC7QlEtqI^NNrX0XC4&SMgi96~Y9n&M0-Y7vhYe z0%|-*Ve+|GWX0O`W|dSsA8K|@f9f&PAECv8s++QGn(w-y`)rRu1(ocy`bDa9TDv4v zP1l=;Y=wIMAv@b&K&h>yaH0TNpU4sAl~Pr^LKc;zB)?0AkfA?dTFkI|&r)o?Eh4te z_R4+!AxYU|Kh?XmXR^4GIthMgMm!gmVt-Kg0b%k#tBJzqb9Ny>Sii9OtFUv#X&#pIfP#C~8iy1rak9G_%{1X~xFB7X@M(03(@;C1VfIZ$zye`&U=n(#q2 z#lZGf!HEUJ`A4Y&xmWHRYfOBAZcju9JNzv2@b(FGgd-0X_nTC%a_d#bh0$u?Kat0h zD&S7l9QGZFnZ7;V(eKQ)+M6ZYEUY~iRP->Q#Hy(M$eebn8Uj@C&oTtoXhz;ZE!T{c zAAl<&<)3($Rg^Z4#HeZm8keM9sorcBL(!ABlo>0c9Xu^D4jTDYiP($|08b7j$&ZxEr~O&!NqYd$wXi7 z`|z))^~@e?vpQodYufRrBRUZSnD>s_H4KoWH zhGjokFr=|v&MDUK^)&o5TYs}Dk(X>Xs`Flb-xzlo3u%!#^d^q?)y>u{Iz21s`!L`g zeKd_sU=#?_@(OY{_QLgbNq@aM(i>*k}fQjihc28h(6)mlvAnOp@tU zY_PwWhQ`CUSz_RSBmJf9t0c&pd|E-O%VdpCNT zRA>1-%>3TakbgLD+wZ}Na}>=V(IbG_pC!{Q^8pBs2vPA@2z52OFdXk8Tg?c6=iq03 zw1>16g-l@Ppqq2D0xiKy3$udpIiAq`e?(80^Kum}zDjv1T0BU?%nr}+f=JWg-|K$? z&fWC?sH4<4tH`Xp@kAM*vavp%9f9Y%Wr1qeIl={lVJM3W{%5L-3#QC!H=Ydg3Iq6u>~r3Bd_yrn z$QZ$1IGX`xTMSSX!RcpK*a()w0ii4usB-VH0PPQei#%Z?5`+(4H&2;+h@*9NG*Qg^Ghpu z<8m2jK^2J>OLpZL^YI?wB}|QHg!g)pj{+4n18*w@4vl-!4cwD#_;8nTRiP3O#S^4A zH(25!KGkCcuV8?WEsT%MQ}UoUA@}+l*JlUy<&@D&bjB6t8L*TfXBL&JHfXonZ{QJ! zbWwii)2D3zCs+GeWiPAE%E>an3nrBYBK6cR16n#@MyjQLl8AysOuQBK{K4w9N&FII zLgN)uqXan5(O)ld5{7EGKUw^Pt7Z3;v|QTlchJKs+i)gy-`tWF}s-v`D=s0hMv})4LH+354o%>hXuTf+3 zyI^0dgU^bknr(Nmw6$3JbZ)v&eb?r~mR6T{>RNC=GlcB%?CZ4M?(=(?*9oPIb_4T8 zgITEPW-e5oZ+DfJAo)0LJj7$vV7)cCzk;0-(*~<6{ixcE)A~S>>UkA6?)7Ra!2!z< zh!bt}qh=r49vAVC?{0HTo)@yF8DoQxnTV^3F**~*7J;4VBh-OAVqbNrlN)tWhllu& zL!EPk6Q+_<9sUN>!weQD2xp)txQdDvh*Xm{rRgOsA+-lpvP&B3tT7+)3HGx&ngZz2 zQ=N*_qh0!QqP^5p<}~Clj5}$1s*ca9Crp7jhVND+7wY4;BlR**LYb%OJg_JquqdC~ zg6TKl;Y%1yY8O~GS7o!#GzLr5PZm-|Y=bf1^{Uyb&ydE%Ey=dIv-2vlqU9Xh7wezu z_sT|VDX50K>L%7wYs~LaaN-xnRY~EJlU)RH7LGxpMJd9NcnXPS7RTHz)qo&9#zSf2 ziPA>y*?L-8n;ft)!enRJ`!)_jCB!>HJO!Mjs2q#(zxs)DPGpGn%?)g zZ6y@kNNH=WnW&Pss!!G@i?6E@guYqYJq6ta>+*=z?!R4}Zub;v_r63v?GBN8jrqxS zY*7YX3kCK}5ejq&1=gFtn*{}c+H(H6xrS8!M_PmeEkc17hXPjPZ@$U3EKHFg-QOwq zHost3%OMGMr}X;}ETvyj{l3BbkUH?pIP-R?ht=<=1@v*Qe&0a9FVF0ECBroHstfIY z4|cdVTo-?T&i2lfx_r*|PAdO=?JaP(chd}4dq2MBf33aWSFC>K!}XuPz2CUt80{5* z9=n5k;E$Q^;bJMp8PD5dt`;Bp%D>d&&(F_xdw+1-=Wp+W=YQVzo+G6=?cEi1wYSf| z)?Tp()mw)a8ht*-Flk;O?%NqQp)~j7zwNA7{UY%yob@X1V0VGQ5%jar_q5}9&%#a9 z(F6TroF%cC|A>RxNL0~%iGDUn(+}B`)jdi5s0*3ikHRwzOCwhdii>yatiqN8B|YmT z7Bo&MD0$E|7m6De;_IOrgq%Rd680jTLrbdl6|C7riq@Ie5f;$9WS1=i-<>Q}?2v%c z>&@RzhlYx$MLC{uKPuCQ$|`-q#lGXMR)4aP(ZiAZrG=D;{nMxavpl^w+!7Pj{ym2;dQ6 ziRs%F?^SHXY{C{r`X?cT+DK==n0HAJbk*l618-|!_=@OR%Jz31b;c^PRyXm>)>1+J&4|9Snws3K zmKO05rDAvLaFz=!!A9r@uoogUF|LW-<@|tmNxwx^MQFp4tid^*X-|Mfg+8JbVtJYa zoD!8>9`dc3wl?E2N#wOt4df|?s!b7nl@xw$M&Xs4oZ>^2|HYubrG8OZUqks4q5ovc zAGXL}RTVwisle_eLBE;;zD?6M6HeKw376>-Pq05mrTSy(Nr2Duw1yTAF=)-H5BSzb z2Pcd31JWN$*Ls)IAsv1&xsMK+b}>IQ?G9P_FLj8}BcnfV$skF}9s)rw*ALXg`%Zsc zNPYS(svlOwG>1J~^~fg~q^Cz3mgh*9tjc)q?vl*@AkYQ66^9urH>HY~4nZZb#nm7E zDSsH{SHTde^68L{wpca22#WfbT`uB>$JU-=c zT*DSpuwZBO^hz`=ui5+6Iqyv4Ofs~J*-7G8;qt^dtB@h)ZwclLqLXb2*f5b1T~^55 z*N=XoUL915UGh%7Vxe@s6adl+?MZzW667R?TyM2s?KSh^F`^jhVb_DwTk=3kV&kJu znbmOUn5P{kj%{L#tQyP%AjPvp@@{*)_llTwmJ@u;(<3-a9$BVpR zAv^Lg5l-w8jMR{$(a%R?8)921t{`E(O^g=aF&ngv?Sc5!hr@bKOI|j51b%X|_N0cs z>O-NAiqC-miYyr$)+7UBJKr26i-#VjU&uM2hgmOr8|KPVgXMGu+K!;_4J;N1eFvsr zQ{JJ!o^16U^^Sf6gL+MNtS>6euu(`ToL9~JnMo2}*Mn)08C=@acXURv5{#X9sQQjh z-y!-T$`J}z2|;geu51h~SOq)Do76+W$8cN)RFILPM5Y4QN^k{@FhNjxOpwKf^xd~}@Amm-r=G#0y81!wPmKQW?lbA`F4O)5E6!Zog1HP>@ z&kL3lDyQ)DfN@Q>_-`!94h(Oa?FkHTd@wg4?mmiHV;@Q_J<1ips}eo*jX^_8Vq^)Rv5^{RNer&kY6CTBrUn}VzKt_W8OgcL!SdB1 zBarP~QWO~e>g%n#;CiB zSE_}2P5^YD+p6WhLA1D;r!4X=p=qmU=Ld$j&FL$0-nV>4f{9F8=4rM==BK9A}JQHN>mCYqX0QxgS&R6{RIHB>5MlUqowFDFqr_fv2j(JsdJC zzOX4?-t2JsXSHAN*NFlF7>ve40^jm!UE1Hq)9L?4`wLzYQRk+B?^qOIib%D8TIcq= z>hT%v*L$L}MTE5f*M4zqM4s69IcOaneuPPiH2pMd3|7<(S~H%drVkgtd}jGW zGRr@`bNQT;VU4}rR*`?}u1KUs6(8bl6x2uN>HUKA@>vkYclF`vr&a4cSvHpi^uS@I zKE6s0c9W&0Fub+IleZ2F%CZpB+d?Ak^@AeR$|@WAln?2aO;)#vAEijRUs<`}g{9=VrC%U_ zoTMV9o=i{660*ZZ1vd)pktUchX5o{#Id(rsM8xWFzlz-mxExRE(|rLWbkw(tzsZ<4 zPAQ?I4Aw+^OkQHNZ&%D4&{z6j$c`64N*+}jqmuE#@_?Ij z-DW8%Z^71Bt~!Sb?PeeszrifM=CJS0=~w#a?~L6jfh?vBDe-cGad@zrn%}x|cZhcw{gmkU!N8~^M zBWz<4RR?oh$_)sOuVipx(3gm9L|DGUisKZfbK(eH%Ayc_{-@Rg$=J?>Q~X|PIFu9n zrF^iM51t6?8^W@)<#Ic{9v@6vDVJ(|OYx)3Kgz$6C~-kOr&r@3X%Z-puxfrk+J6~2w{R4(S$#0>&R}4HNQG{A*gfvMEi;6k0x73KLxT;!j zHt)h;1LMm@`j|YPkHA~D3S-2WHoX9RF>-@%^|XHRljGwclhC6==rO6;G6dK+X;~px z_y|^F9M%pakO(@K6{sqT7*pwk#rsJ5$jhy1L1`+@LM;wR!c#knCO zIV64uGNvQYu`u0eu2!ZKG@wMkb?2ggR=qo_11sRdQadzD;5F#_3>!-(bz{B zfS=FvrW_COcdD<@u|&m3ECKT4HRquI-G)=!Im0a2AFBLEXVgz=Z0un&dtHl*GuWgN z65Zw|hXVln^`Us_{n9_0rZb|2CuBrVbl^uS{zfMLf9Fbi!ovSIcftR!$jdbT&({4N z8%-xeWUTJr>)fgNoGHcVk3Zx&i!Tx0Q0oIDZ={vRULRb1jP(KCWG@kfvKngy`K?Kh zA~l~V9`0^^Ab#$y^}#%Dh2oj(gKLkqJ_yMAAn`HlgCc8vAP+b{)F&=?|DgqfGoN-^ zA6N@%XMu2PdVwIV40)Gsj!dS<`m#B2>|rsDjSBiU3Lw8aSq~Jd4|#)YLcr1sL**OB z8igZ6#>i~P|E(`NidUz3_`7i?l1aE(LGphpqLTN9lng_&@g}o{$G95&-v<8QAT`3r zh84&vDK0FGC#pQ=T;*^wWZ}++l$S5@&_O`eK9R zU!$7C0)YVTc4`1nUQq7`loOjKoizP&94d0LXG=dxzT|(6YE_tJ6Vr{Ix6>l> zZa-GuB2TfBO9;!QtHo9>Gdq2i$k#Ve0)<^>7e+xN_2tqWjOHVyIDF+&Wi_SSVU@Ky z-43P$8;?Ikq%Jj{?fc!RMKK%ijr5n$u1jG%TDG#J*K1)raX zKB!9RgXlF!A0weFh$X)SZ|Q9QkoPJnrfX2at!XY*O1IoaQP#QuO~aKG&N; z=k7|xBou_&@n11fG*lF0D84R>Khb5M;SALx;8s?{a?lBOKp69!RN#0=i2H2 z|BcU!!RI)KTL9e=K_8{Dg&L1aAC|VyWZ?6qApxvi@%i}cT=@J3Zc_Ms=8%5}pN~H# zKEF)nQH9SHGS`d|0~JtjHShnD!suwaEP$@Sd40SPEj6fJf%7yAr_o?6Sj={||Cg@+7f95#(&_ih2@n5y+Bd{Jk@U3h zfl;pZ-OkMkwQmdwp@?chIJ1hU4U1G z(&_p(;&QbbAT+%M6+>O9e9e_c-f39uutY1ZP`$JjjWx%DD$NrY;=-XKa=NL_e9e4T zt>Ye#CMd+R*etg`PR$MZvP#o}{|eh)xmxo>~aJGun`5i2m?yok+VgG2#Q zV7B}nP~I=16FZaRzZ>EG67!+9By0azIU0xPxebSMqi6Z{r!@Gs+nyb`4^_Nxzjq|f z$$UjR>#mk~3mJ>(1&X`f9^A*G+T26Vro<%OMSDWM{SmRA{h zwa`)#@-nvGlVe(gr^x6Khx&A(UvKA&&qqH`viQF*#s}6WU@<|WIur1$)&Btkc1u5J zHRB>+(9s95zZ{GyhXLf9eaqDnzsxmcBl=s!o9C?1uN8$ki$I)g7t1P+&CDD4WmjGN zny13?QH}CsvwG9cEh~2`m{fIq)U(`gkb8-bQ5_$*jC+>a^GkPeukYb*o7{D9Cyd0u zQvQ|k??T?0{8sR9DF3SXH-dkDT#W4fU`Wxw)mUfNC5{`&JF?ElL!;4Y@pEj_YW+~bB@#0fYYnlK%eYswW@`BQiGNcE?EGF9yUcHuirx%zOc z0mcN!N8a8X&{39h;i&aU%B zc>`?eQbJ0NqHfz`75JT1O7)3mkwQfgCT{GHjNY<=3d zyH9CeMin%@9|9O#$l>;lY*Vn-Czh*>O;4MKlC7AqX^XGMGyPm$&OoQDHf}ecVrHPn zG8?Mr`WFrb1VJ^oS={a~_HWy@1VDuH;T7 zwU)dx#kDm;pLBpthrS$vy+x>G{)v)Z)4uaw?UKOrM%*<}fHB&^)^DHF0n|nPDuWvZf_(5EtUikGDc?Fn+t@X2;8tPA?15w*fE(I-+wx z#P}6pzG<8eKxsq0ksb0`9^-10fcdmkp@GxS?fDXunlRI2JeDVtAC@xwi&XcXA=HuJ z;7S;Z#DOFwYR_>1r*)hcR!L^<*V&&d`Y(S zVk}43gCJ@eXXJU)XoQoReO2FLMWE-W9UhZp~c||#) zNC+`8);J^En3Y|Ra&SI*Q=OM9)%hxLBU4Z>xjw-4LZlAU&d1rYccfbwGO4;*banm; zJ(UhvbZY~}%u*qK!D4u!szc4Sg1V(Ul5MG%Qm%UWd*`K-o5g?sHhlxo_lAFizPGRV zPt*5>fob|a$MyeJ`Z7Pcw>c$!YMwT%Jv#5#)8yrOXKCdKIA;-#&BUXtS<-a0#HPG7hki#Xhl+H>QK z4-$~TFt&}}fd?64&k-N(x_CC`d`v4E{Y^9BvS9%TuhH z;^P$#tA-wF3qG>EJ8u4&lO)Z}wmenxLPp7(*r>4Rs(B+loI9GN*^C^k*&}H-6P0(^ zgu^omTJm~l#cyC%kao@ADl zq+oU(+)%-OQ`g!_YUp=|#O@qUK=|(yx=f&Uen8)mI5{=*In{N*wo}_(XQXO7#p7BB zK)SQ+%AN$|KABL%)D>&ln!&2BEeT)@X6RHT0BV~?F=-xl@Ja?ucJTMRoEy>&{uW9V z#St2ehfMUH!Y|@lEt52Z&JFA_38e7%LuB3=e}^Y4{Cy$l8(@~ZN+5V=doX~tlRel4 z$fauiXSpDGx9ziL@oXy41*UdxQkU;L;qgEJ_4t`|`QE{-73RF|K0OW|pND1C!G|vT z+B7{59v{$sLG|&Wvn;vha#Ky?&+hTKvv*ZL=x}WJfc(jqj}6GrN&)hX9RIQMfxcSq zPNi5{nJnI01T61s+1QN>F($7x&u0i^K=aB~=u<&GvdpEwGT7RocM6{mr136@?Yy^l zF=ya&t3?h#|2r+{3eeN^5jWa_zQbCallAjBopP7lzg+ko}^yi)LS-%_~KfZ>fPvi4| zh0g;Dwy=YofH67s4IQ^&B5mlnZ^l4SOMuuHIW|atPsm||^l)r~7Q2PVg-@yk!&LX=$a3b@v#2DV|R1<_Fx=%i_Hm#}qI+V*OYc zJpIkNyFA7?)|udQeGL(Nk~p+A{0|%{j$AlZ_>+1QIu-E*0Hcph0dzY)QcgYRgyziX z2d9sX~S{f#rfpyf7} zuLhsnWQ)2e-&iYn!V{>XgF?04wtv}1&_WxD=f@U|RXVwpc z_-EHog^I~^5LP>ltr#%`a~H~m^aDYO3xqVr5yjRoDxxvr`8EW-V|E1z!7Zd5ZCvOH zNC>e&!;z#nsMZv=io3X93fj6<+_i-*tP&__E?;vQ&_4?6LnXc_%0LG$A5E#IIns=< zR6zo)sGY_oX8H3n(=s&+vMzp!I>iwkw~6uXw-%>?qQY zsBrR}$Fs)#?LzV2zs!>Lqae4ODF{+NoGm)7Uqn@lh{TTeqE7voV?ck^e|M6t0x z)?+hPc^hGG!nyrRRj?Q*LtD|FWY-@-K82=j3Tm_q$aV|zoHd^C~z1|Fb8N)amt*PvK^JbbSfwaW<=SjbL~0T*>b)s!s2>bo<1B~-$V0QpyE^;)F*>Q4tpE!;XdHo zJ1sw8L`#$Uy7Ki&gyN21`A31|E2u8ZH|D;EgYgu76wZx=SR3DP|K98euMYb*&+Lga z+Sw7j%|?Y}D_BThNf#Q|4q)?C&?joS{K91MpL;NW?2NL@WF-zDK7vFgi=W~dm}^Q) z-f3C!afjP?!ck)HK1VFO1f^#O*Ll*jDYkO9!?T-75GcpL@U^++4|u&CNhJ&GY3_qv zD9K9p2a?gk>E(oM3g@0G{GQI)>f(rTN0RDW=^r9}SRZWp8qXHjZ|Sm2noFh2j#`e# zbB0o5;s&b#XY;s8IX3*HFa3wRaTrwvsZ|HpNdl*XACLsFOq~e!sRB@D$%-}A&-S{t zYyYO=YPe$x=vxRW5R{Mt#De=$xZ$HhIpF|Z{b*~vnLjBrmEp5zSl zAhr@`5vvR!SZERFSabotrwCo@%=<97HXI^Kjx{`7Q79+SEh<{0N;i@*5#aRgK=~_J z!p0i?r^uj6^`)~uu}78UT*McSn8<_rLLLzlK=4tBi5{|suFh=~gbUR;qWUEBf4sz3 zN)4w=&vp%`-9uc|_7+*xo^pI@i@m$sNP99Z9(O!_yVH1j@NjUX|x(rqjKni%iycRamnI4bJd6h_>I6%gfErw>{OzvJ7+s}& z)XnIMo#h%`C0}xluCuvGjV?bN+j(^5NCIbc4VDC-J-S36!dYp8cQPXs`H6xo{_@Ig zwx7Ar3Si&cZ0#fYB73Y=PFH`y6y9J*EKZ$jeTFeHZLw7*B^8S}0bdtKDm-kbX_6W3 zI~3wt30siNUeCJp3FvFspPN#VqFfeXiLXWQ5~Pep>|R@^Cl4H2l6!l`}L`%(+|0F^bG zDjR?J%`e%tb0K3iL%FVMbyn`E`doLteg0Xa@UfoX?>tzj;)BDpg|sngd{kP9j{Gu4T0!A|?Mg>PFPKt~!au zCKYc?g_k3Kpvw3ZZSu%G{br9&@JrN@Mf;laqQ(1~a=Z&9>}aAF`hVJB@kZL>8M^-;XLJYc zI~G6cv(j37D>8fq2Zdh#+pY}B0$HLsr%l>vxUm3R)y*2t94{Ei-qIJILt^d_L1 z=^bOTu{?gKwHryO-6ORPA8#6WJ#qE4=sm%r_vUHmRO>|{hCZ7K#Gbqg5)%+%3)Ef{ zEN{bW%e-IQ7;Rz;eQkQ*%44CoMd2nK$P{he_GBwuMuxho&2lI!Yd^>STz!v-_VX`j zolwkx^s`Z!p;(n=9&vTG6sOXwgV3!ce&P*fBsnp8|9#eCiwN3izESY`dy=7VLsA!Dcc;7OiIeri5An-*PT87=MUK&_;d#7Y@dTqs$lgAdhoaEdb>s1xX} zGNKn{jWhCkNGyUus3Sq;BQ@g3pz~kP)T^&EFQnY|pUJnz$h{HFzf1>s)CBeWT+>0w zD7_+BendQm4nNuLe1HqpMNDicGe+Dgg;eJ@s!8Fx{W2+FS5%Y2w{v7tAp1bWVPcM? zXN90|8%m&U%nQNzS7l;Qs|Bdvqkd$(f1P-0SyO{dDXe@~lu6`X*$L2TK4yMU^Q&uq z@P}-7X={FX+C_Y!w>>{RX4AjZ{NT1{FhAJxGwpDcnjo%qeecWk6F83!7{`eYnnYH|ic{Jzcz$zE?5`Iq)bVv0I7+pLlqkKyB< zSA^H)zxMk97}llw+x_@;tuI_now~T&rn;CHtuJz{clLD+{QAl?wDOMwD3W0!_^<6#)?yY3b zDcwr+%-f+f+i2{kqME1wVmV2KjVpnz z`ERM$oYbP}CnMA_vz;kclYkFT>BbzCzskkfVgg` zcuK`{BuC%sXrVdcED?ppck^Ihb57LP@ct3&BZW&>?$vzTqi4wK=xh=;Jdl+|ENAYn zIGUXWrK=LX)ZaY$D@UYXY%5mJR0VW!k1o|Lv3lxsxyk2C+H|#(P(8Ct5NL_ z>W`MT!4~p??i~Fob^kzOs$x;n#5OM3)R?)7UMck}o&>00oq!KL2E#Q@z=xjPq%=e4 z9+vJU%mYO!^?+>57w1`tZ_O8MB3NU-G06I&r`+s4l%2Im^L;9>)|r2nSC^}3r|Zlu z)~h3y`u1n?%26=A%qy12GG;S^+B^E6H{qx!Pg1n2A`Lx(B;P3I{qQ4ct0SFL^7~;D z+wyzL@#Oc@00Ni%J|X$IMfspu^6`M%YivkINl92aUQex;<&KKL4@MXwI?+?=wDlH=`_tnQQRSq_m7*6!y!yFcIh-0^; z{IVq}r*ta%7F$wc*6AOR!Zma0o6-drd8A=sE|R^ZcU_|LoBy4zNEcCAAFrS`N=&x! zp^)aHzi=U|#uF2fKlo6x?;;|LX#O!p6VX=_3TbQ-iaGsH}dgz65H}o zQz^Q)7ShN(Q-U zDo1DeANK39)g_Ei_!Hzt! zkSVx3>E<-xRieDx@~5D6Rpoyo<M@E&hQ>KR9Hza`*7Wq+0pr8nSR3prxRtFi{Zr0Z{hA42rc8GSJ zwfY=po%5xCgO&Q01v|a-zb_aH-GPR$vc&o7!Csx57`FB0AY7El0*OdrWodPzxmt$vSeE)wVVq8UXpx;9fe1;qxlU-b7FlYNIXq{==aHFd57=FOzgm{ zvUw!l>wdU4Xnvn1izp3P`Pio>O52F0>}CapS^_WjqVnypu^@Ec=l?wO{t{Nl?)SGj zsOQA{^S_Am-Cck7%XjtXU;4ZHvyq!rf4==m*Z%yeByjrk&ywIX`_nIrJEtQ#$YU3A z|MjoYkq4wBMG+(&Su5(JIuw&3{e#XOx`qxtCEcMzlt+y~WN^JKsmv{Qht^o8a^<^< z->ReAOh|XW-Kjg*o7do{R=(Nyw$+{R<9g}N8rbhz^9Oc!){6Q^2DR!*bwZH^$ly!Y znjG1M`d1bcnk?$2Qo;qx*UQq!{e5xf`*UTn zq28#Il`gri$>;WKGVVkpb1R`|&^oC%#B|&v4U$Ty~4Fp>b4M*cj)j=GdQV z-#X1Z3WIJICn9oW979CIUrzluIO5wj@RURdHx66kKeN+aP6&j{-U z_B;R_T$L>Ysg3DiW?z{Olqj~gU?(oV(ipm{OF7kgtGMInZRTwU#Qgt`_A{+rK z>%y#<#Z_{dt{XCaJi_-;)fn?>zI0Vm9JHMp>SJI&+mXx znhAfj1Ny~j<|Gf~{XmP4DH2_`T01tZn5z77u;Do~T5w@{eZtJDMtAx&<5|+=8sQao zO1-CCu2^*)P=~eyC>SI%J^~VhQEdTKd%E?_=>tEUJ>3(M*7hFT74MPlUDs)vF@+U8 z%E6Y9a0F^6X6jSeh-M%Ap~UtproKb48;6K*gAAG+{ktTe3;lHt^Gz17{0FTUljzTQ zXgTy~w*OSbxTVvc_q!2T!+ ztFyw%m39zkIla}No#-Kv4{O-7*EoF$2IgF@Lky?fJxr##uSR^kXL1Vtk{-m2&Kuq| zr&l=HBF_pE+k@qM5_y4!bxCo3jP?mU$1$5$p^4mZ@)a21HcqGhhj;!k7Lx>Uwf6f_ zKH;0a3!cfwh~j-2Tk)eRa6_{AzuvdIVkoZ~<{Zw7oy@Sch|sH;nOk5@%yFEz4Gek`mMa zQ_2|nt166U?30U*uqqmjk$S$$SoB7gzQ&yKh>RZVhFONS**)+cG$q!8eKH#@gihC` z3Eb;NDFy1XUL%;CxLp%Y#c{dTa@PddW}M48z-=>6BP#dszc>IUTp+1=oA+9;n|b|~cm9PrBA3^g!z76>%e!Ep z{opGkYsaB2EASWC2_8k+%?vVvYYv!4#ss{fBUrR$G-GHK`ycR&wWR1ee)LN*AbRJw z@+t1CMbwolA30EvrS>;yG6|!l*Cp65ftvg<6L^ra*{1sx?1u=8;Qc zquk8eFPw|*2bDQ!p^_j)8HIKipl6$Tj-2j~?6Oo;IY#F9=(V~mwbf?C^I z{6T#Rlj=%)2Z-Oi7GbC%L`AET5+_wa3zp%fqog(0j>-w*5D?L=&T^)__ODBafi9L7 zj;;^-6uemKh8L%Aw!7~#61tdG;KdLg)#Ka&z7V0U7{<*JD#P&>?a}>ouJlhI`d2Ic zBBi;zG^lsM7$a5YZp>(REkt=~BHyzUJWUv&h7jAq8WWFM=fu2!vCe6fQ6JK8%ThyX z!C!2gQ77Y~GtLkuPRV==XE@{FmEF!bxSx6X1joT*(OY*v4vJN)Qp5shOemDonR8{q z$XtQQzkwoU$^b^JF^efxrVOQ?AM&n387<5PHV8rUo(3T&Tdx%e@y=h!E5RPGN|Gx; z88ht%JKh0h+{ulDGM-V=6`jF9gtZjP_%x`mQ}DtDVG3bv!Cg%d#(9#FapudPD1`Ae zKa4-eP^3Sqve7L}fKz#;LKxHQ2;z|TBv?MZ1_wiBO20NlW@1b4rJ{eJ+fEFXnOr)> zwK93@L1Bj!;TU?hWnHLO0QEAbZ>vkMEv*x|#`Ha0Gp@3y?>~^S-I1N9?+jtKl`zjK zNT*ctaXw6`4S)5vOy6CJ^gJ3onZb8BGq}DB4sy@nBPE;E41P2DoX8Bmt06nv(M^cL zl>2nBZSJclx1ms+GXSNV!h0P4B1^0aLJ!Ijblso7VDJCrqzwI_dBG}bvMhUU^1&?r zMfA;j>wlLo-`GK}Ba z9hy}>PTc202NjRe7N)|xtC^!Dt9qmr@b{QFw7qhI_ynFbdU zMQkFN1YKo@MqTD|PdA$;E1b=B1jcsUbq__v$HpLBoO0I{Rp+draSz9C?`!y=AlgH3 zEpO3x!NQB^s$lNEfN>?NdUR<)y*-Fh6E$d=+WDRQ9;)x##J4wXCgZ2^U5+?z?nJf;x%AP~J)?wo+{4t7;F3IJ1gt@O# z72~QR9(=+B{L7QYck!%}Ko2dcg`T@Di(a)iccEM!#z*5!-{H5J{Z|CjUAPAGS*et=w zqRkx8L$b`jdoSH#`7bw;L;^+CqB<5voGRA7c45B?(u!8BieJ$iQXBLo`^5dYrkb!) zUq%Oh#`AQHG5Rv7GT{YrL+*1YKjwm!ELrt7o_)KAmiR8kMx()Tc4I zeU?v^wy*tT+F03W@t?D6;%YSN;-6-#_i$UoQ%OBJU@QD0y>iWGUqdL!$)3u2qD=sP zxrCH)_zTU@LM5^2?mb~PDyvd<|IWKKKLly&<6|fy^|W#o@~!v6pf`z(<8;b z$dCDEv+63*%a@5X!XHmDL#v(6yki4oe3{9Ul|4O=urTXDpCNR$3%<+nlSShT_Z(*W zhz_HeUK9gI+{)*_wu)IM#Z0$~LB-CCCGw=NGvyz?KE z$Ee|Pmv5LotPn`?kV}qxm&@Bx z{?yZD^0bJjSR9lGsz|aL)RYB*W!9IEsV~)I;=H;Z%ctsbNmxHsF23S7XHdF*$Z;*B zbMSL?55iW;=C;JXl`mVYr-$Y~U-}%k%lQ2RzhB|^kNn=v@ALfrnBN!p{Vl(uPnY*g z`3>;BWRuVRi~RnP-n^yfCQ^sL{g1~|}k z`gKahBL42^rskK<6%K$CnTll;>2sY2WefvG33Dd?hDd~fzSbOy{U%5{xd*-`i_d0O zYhO$j#8*?R<8N~<_R3l{pdI<)X3W@RF|+zlqylP~W>nyh9LJYYfuC>6$->P(cYFCB zHfH%&ct^j3;Qe-xD%1#@*NSJQI54<+R5&%o&fL6W&H#sN`l+&kq+xbdVODfFL;Sqo z5m#Z^pJ)(c`A*?ZqtE^PnBV%VB}*X0R9?-LXBVt>#D@&0xqM_keD|SbLIn9c|05ZF z-O6a=vnr#%^J9jrj4WxfO`g2JCY24~gHY&RYp39PW=>V*WD%>>1i>N*UB(~5BQmvV zY!E2`+sTBcCck+rWKulVEUNIBm=4sY+Y-%qu7sHrHx%Pr+o)!;cq?R$==pB}lk8`a z_&2Rr8+fLmp-22|_O6#g1@lQ|#Jt%V`^l7zy|~O=%I<88T#qq#qynGX^SOHe#%H`Q zSakgFFa3=7V?N{k_+!6U{!A`gd&6aC0WGp(m%La6xy-GK2%BXXp{i*VeIhda(zQao z{5oJ1=33K8J^$vd-BlV3>)7yWvNU# zFkb%O+m*+z}6INj6je!eB3^%h>LSk^gPpYFL9eF#pK_*`T;= z=c=5n5hdc&)7*XsZ%BBjlQ74faD_@3dxHekPJ)b_eqkk8qVkqEDB^cPyJYc%7MV%& zPw(b49q)%btq;eFFX+&SfiYhhBpBAa82;((SYITILnJ1z?dnbE#+0a>tj0V>^U}?H zeWjf2wpHI8(qFA6e%auxEA`-7dJsC$%t(2YcS+xoK%VUK4Y2|U2b9^#%J?KX7F^C8 zI#4+Kl|*hxe-nv=D-rrFq_?10KM&YChpz%RA{VRp)r^M6kkedU3r^#wmVb5TX5@z` zYlSQ#HWX_iHa5J%^LZ2!EM+ zQT&kfC9oSY(mtf+h}#j{B~QpNX65&R>ia%22JHOSzNqrssa_Nhapm`Sz;(J_&XwhF zBLvZ8oneLf(S;CGgb>vEj%SeGD}ScwF~y<>E(+#kOdN~Modi1-;DbGAs?5fB?-k<% zce6x`^w;zqT|3qM_Ue9zxQzND)#zx|0^NoBa5Y8I*DWAj{(-X;Y# zVrcaF!Zu7g%Q(G78r?_gVe~mg+J5gcH7W9Ru-u*w9aTMMnP22spR<;kJNYq4=mDbnIoS?$jPRc;B(f`wHaJ1?|lW*A?#MXHI# z(}2j*mJ;(Hm~T0-oCxWCtp}qhbx33T_4E*4d*W+lpU7#CdYh&d<^`*Tjt#4!z0l}J zampd~i8vA&W3m}gL7|V|%C!#`46EoA^THReHl(HK+B?P7r-;9QZ^$Zt+&_X$2U zIGbN~^w&(c`YyF}vkB{6uvxM;E?cW|iDkK|?p>guWb1NKQiPEL1?@@h z#ZSn0sypse<{~_aCzp}*K^n5lk zuD{qa6CE{*o*6|64sV|^fDeer$eibwts>ye@g)|c|J+VLBj3uM%2b(sC`@oPCb-l$ z_#upk1J{@}RLTzNtmGcY{nlkgFHhBLsM0@Q4{?Qn%kdf3^f{38$};nXImzTp6+$xE z$o&ImYE9qIA~y!yZBEodkRSfa>W;5H(On_FR3Tr|bf<(mcL~>IlyFIA366)Ew=9ZW zp0{EyHR&3wSoll%yDDLn3RXNz{P^SxWDv|Yl`EU65c1~JsuIFg-uW`j9S$lp&tiYb zBB5fL=3^#_vT>L}oh-hW0RTfn(skw$YK`!jU8-e8L)OkcRs+7YK8F5M^ei){i7T`| z33^^tX5RKdik_^^#z>Cr5Mez8JzEkt**T6%f;+$BjQsX9+*0`&#pnG} zx@MiZLA*i*{7;nW%%(}hiaPgHZ;#KkpB`X$k&p$-smT<7TwCW>QLTTV7Kq9*v371) z-xrBbU1*P2k9oDKpc=2E6{3`E6l-WY74yz)CLPJAL`?rCiK>Y#Q@N>f zmHE@0BRG+Uut}_JXUUQ3n_6=LvVcJ^VaDj7T84&q{v1AC6fwroUGGFM6y>7oPktp+ z@%Ag^{Ad{nW1tqjX1T&^iHo{AdZ{m2t*YvJJThV0%T!IRrhnWl6z*^ek1bS(bwKlQ z`C-`>klEhzK2q&fLG4~K@6GD2!N9OE>-J}$hBR3usxwGuWkn~*Mg@#8JEM%B>l8=T znkC|ltv>)qRhOA3-=E?rO!}WLm->BdjZj4)Cc-JoYuc(aw^QYImYB!}y7*Q0YCxhD z_aXXxZ^b?qN7|Fa<$JY;4|stW?k8 zH64ms{>J`=&y*%qBI}qbNw>?sSHIkM$bI}76^;p(mw@_mTg0yoCu@b9r<%{BIz_C> zWOajDkf3zx@N|X9jF>@9JepM4(436XEvL$(uN1*|LL0USd{IbMaSSTqT=NyJ>F>G8;(xr1F6fb! ziCq53`2>FY5%5>xzU;054m;3l77X*7BAiCOC#an*6De@vgYC3Z!rT0qot-Pm&B{j*QL_CRZJESLgY+*8KTN(nNbc{~npy_=x#@ z=3mnDZvv+;QMOq%^P6jCNQWEal*Fr&Qp?N&r&fM5LPpX*iy#{PL*IOjzTw=6v`f8P zdK^71v;tg$Q4OV2vtXe!;IsiT}Z+GrQT9^~$ zF06-&D%Ca!CCS*XQSA|WmRL1jS&ZC&Hk;6(GFkk3%3(;U@bUZ`WWh;`E39U7MTZOU zKlC26ka#FazZq2+KV)?rj2)Gwa8zk5UG^>MP9@t&v-o=~)_wS% zMa!7XJ497lrYuJ6n@J^GV@`mii{yDl;?&f0RoW!>Vc06s_2#CiloO!&8fkin0c6VPGyaJASe#&D z3x%e2*B_QI((S4_e!C>;Q__OZCV`q_oQ&MUO(qQ?O%GIf*aedv`i# zutS~RBE@>YBsEPIU-l9sN44bLY5&l4QFS1`)S}}HyrT9NHO$g!s`WMlpW@sJYnUGH zgzAzd7)3^fI{vH6;UJw3i_yJ$IDlA490f(PUuj#6Y?k1k9EuwMQkQ zW$aOjP+(S7zGnljJo8()77s&p0SxLV5?`cVL_g3ZIwj^6PId4Hq(tGj#nN;tRbqaDN{R7` zW=t`QMOh~D2eE&xOdR$$rD}rfs^-DofsX`N8@I|ZQ4$v~R+$>gEI0+}tLjNRDC|3< z6gLPP=x+Unbn+l6vnKJL@Z!nBcXgt!SG(?hyHxR=)Z+J~wcGimTy`PEw@zlS=1*vX zUGXw=qG4B@N-4!%pWm)6$TGjTJ6-SiPP^XB1|lt~$tx*|%e%sbmub>~3cSm6$O!L@oJVsjj>%G3WCVWO0Pbl29Wfsb%l0DdUY-Yw9tg~>if=Ni0+QGuZ8hE#QJ1irZ#f=l@L@L?rmT} zR?>ZUe~<2)!#*dMMrrP4C#_K(_JT^2KNypM1@!dGezaLSY<8-{fJqykO?6m_-C+wB zTOC$nUj4^(hkf`%Cm+VWk~l9oZLctUnTpaIL?)`{eIK_a4+vp2?W8 zR;TZN7ZMr8*YVb3z{v_8h`wW;c`BpKmRmdS6ggWwjNX$sXYxiFfYzD)w5e3YPq$vOq!)NX>eJSlzz^y*7w%*D!X^B zm9_vvQetuw#Fgg{SKnU8N$-YM8PE^ieZ$G62!W)$C|Queu%AM<-Z zwQL*~Boy5e?h(4U`b?JBzj_*xYvsE24ymrx0u4*8R2Y!5!tdzB9R0UM7pssiFvn7F zhLuunyL-|aKBq%P`U&^0C##@OBSe`5%^-KD!+cXxmwd zm9CHdRRSiEBb`}TZE-&RJ)b&`9Ba&SRY`Vv%PIu}i^Hml>qL9jUT}Mg2gPrDf(R4a ze?$V9e4-XZ&U!?AJ=d88LxW28YPPlBy_)^a?^3H-e0HT!28rc8vZpyco>TgEM$$nU z;B_)y)F4Y1U!?NbERzAltFc5bSgSFnqQs~3YH<%n3jS#x^S4xfk?0FX0UkzCIn~k{ zdr(T%c}{$HnM_KQ?Xd(sP?0itV`D^+H`1kq-eXM?BouSR_$vQula-D5h(`N|y)Sca zsF61}ObEW*rfT*Y?a=fqfWkdU)kx>OWN1FY<2@fi7S>T>$^u0C5!nBL7?a%fyQV~i_tp29bAM=AlxFnM zINV*#NjHi{Cbm`c?U(h~^(v(~fn=KU5>w`<{-(@AT6{F5->ms&4u}m+Tx|BYo)uZo ziemKOn4-k#)_3N=k7)vqU$z#1+*{fs(XqkJI6tQn$Rsj7kmkHesncwx4r~JV0iO7N0;Rus1OZ`bXs0 zfc55Y6{m|TDUNmtB2s}`A&_@)kRF4i7>tF8>&?L`(++&77ZNoAQ&@Z^*(c{PKwRQdUH2qSKY}QPf0@O}jjCPKTEEoz2pj z_$DPIG`sbp;42UKaca-i8alEGjQOnPJ!igp9+Ny$q(-gc0RT10;tzi#1A82l2y|#t z(FPOBh>U=IqdZ!^N-KiH&^okuZz;S+{?tmVv8%peMkXr|AqY$Q#yRh*;ocH*-V$Y3 z1e9-Oq7w-uv?p6>skE9=n}OY=iE6w`fBN~HZ>T7VgVvuiCE?^xm1tT-tmjrXvS&8c zVNUkLMtY*xa)`7375WLHt}%1rJt4lNfUk8weWcEycdn(s#vQl6y6GxMjHU$6vHNEW zQq`k1JXn$yWpylrdA<2NnQ`L}771|}>G22Y3K`WZV!B1q_DAYclMkhw*!eIj@&_~1 zkg#u;cm8t>2S?sU^p7 zpYh_zFU8?bW?B5w2~IADNT~UCEc0!S%(rsp11@r$CBFm1@BTfQ;==kMX-Qb+(CZjE zoR#69e4OmICr+x?zu~Da|6;i5h=dfud3daT#x}thzAdq@XvQ4RSiNwY=JUq#@hM77 z9&60r#)+-oSWkkOG2eTm`y)C#jkkrH4wJzOO}|3J2;*ytRyq8r9uX^~DfVgNY?6%C z^C;kuCiDL0XkP-OZi)6*iR1zMA$)_PS4m9qsSe)4V8g5zAFLUiT;_Nt7*~4Cd#v@% zI#avJ<~H1hlEoK5mvHi`GIEM>E`%a@0Y`{!8*5yP_#-~vscw7{KTR1>eXB)YTiutz zg`Je3o$!VmR53LICczt;KDvnBx>E1o81eOu6|lWQ-;(fO>ASX(eOMD4JA{4-PsDd} zlo?Rbq8$00s|?}E*0686Oegb&CE-c_AIPKFr(u1gxl`siRKVcBIMVM*BzOMf68bvgz&0$GJ892oPJAC-W`oc|DyH;h3Rz*m4aW;h*(xS-Iu%3u81ju-L5;Zs zN^yNPGNs95*2v0*F{oJ;1sInT_^9b1LH@*I#m=u}@iRYXOty|GQ%Tg5u{^Qd*^rU4 zT}%LtGvq2JwI5_MNr_uEUm!H^vf|a*A+uPWwo`*56)bCevN-uOQ5l^o!F?mfG#kA} z^frR;N57zkLkf=yoJHj?aJEUo*|upr!uSNJA*Sg=Z756B3Qn<`-$1pM-Z`Y7>+oX= z&jx%e96IWtKuw7|DJwBrIS0>)DV+ht%>zI#UF=rvORE9dlTPdfNCth?Yruxs)N2Q^k zptjb4C8^P>BHNnin&%dama}!V>YLWltUl&m@#3wtI9dD=uasM74I1<-NxSkF(v65) z=|}0_IxwI8Zk5UhS>6S_QK{5?&!Qv$-8fS@NF3%7NTR=K8Z;%fntW(+=hdY09}mZ` zDN6g-1Oze@9-08(QhFOR>Co=btIkT2><1TSPvO{%rZI?MD)_n!*uHiRdqJTwXw*a(EH& zm%*|<&v!xW%!m=n3j=r#n{#L>2ob>tfVx7a(>KQHkx!A6R#fR&VdYjaWIanQ6IE9k zkxx6qzMiq(_0&^_de7*7aT6_nTWdNb`xUG8o?!qJg10x9A~QtbMtsTWV6-^!VOU?4 zEME2#+4?}cT=I{D9^U3iiO8o&#-?aL_Mc)bP8ta})glX$iMW-)o#w6I_36-zR$L8Ws71ms1 z&K#c-=uDLp=pXUwTLpvtAJ*OkKFaF)|4$%+fW#*v!H6hPQ4w5HQ49v{3?wj-AVFMk zB^FJwZm1cJWs6SG3=gBU)mFP{TidFwR%->ctptz|L#mt>&uJD^E`Jw_uPBWJ@=e*&z+HR?!)kwX1_CC@`*2;qI1glQ@H<;oZE9gZH4H5 z5pqVZhWEcA?+a%J+;*^pi09y-AyeX@yNP5KjeSYF{(D)j4+6b%Vcf&R@mLwS^(M zLD0V@_6lS>sy)=3v0VEr%}#j8P2THT4>I}eko%N;SsPR%X;z=nVKAX7BjZvdG{mOI zeLXs(#M&T~crSdag#|owhP;DJ>eLqhxzvB&=|At$lg?&JFR_Ujd-6ZNM{9&qQE-D5 z-aw7;W8TUY5dhFll!;i9b{T|;YIy7sFi2uyzk-Sg z+qKe&8K?FJahJ(4 zLV-pEVq?KPN1u&KSL?le2C&Fk_USS_4EUyuBczQPlQdu~^&X+Ll!)kFvZM_jZvLfu zFm1qyQyq>z=?{x~n=}yWKr|5SS1yAkzZU({J4SA0k`O%);HW*=b?x2f!d1it&B}bTwa@&t_XP%OG_rn>qPk8d~*X8D2@aDPj2* zc?Td!Ae7;=ZUphLN5$tZ(xS6Lw<=WrR(%SP&;|=B zXf|-^YRp*ipPL2kMz2ypYAC=RFb+yH$3P~6{x^6Zn*M(c?>7+(@9lyA65j6e;FP^o z6G#-+%hFh%4c1xS-*WTs`e)yq`*OpFM%r_u`Ahgf!4%{euy^_6h&>=$ceJ+TUrTsr zUuRkV4|tKskp2`IIPS~QA9Fy>&41)CeRIl78m6P34_}D0y^7}b4WpaJ^)3q!bDm^* z+`iPP*H}LDZzgG{Ugqm%ZxZ)z+FsUBaMFN^hTef?xrwzbx@64>|5c?Gg=RggLr&#+ zW1Z$ZG!|mTU)%^{ppSEa!Mj;nWTP$h175rubj6DIrU|J;t8Cc#%&-RuL*psoFAJ{9 zG7~ycJnv^_fi>QG&DV zoV>PR9txfeP+I+j^O6Z$INp&Fe-H6M(rBWa4R)%TS5OKYs1Ha+hj;azoDqhoYKSqJ z${?!cdoU6+@`en~8B_***;}mmwgFP4fyH0{6fDMy*EMPI^Zk(hzA72Nz9}hkT+HI zVRv}!eg*z51Ly8m??n&+PA0Q2^Qte)Y)+Op=cGM!b%D3}$GJHV>i|u*)w6}a=>}nA zYs10X`FJf9h*-41`yF|NsN~sQ#FcHqlAPmOcSBo>JA*GW|Vite^FjP(uD3b1%)E*V*Rq4YxU#ehqLf$VEN0B$O}rn zk{UyeHjumQym)`UQq4<_54qg#=lw=G7PU&I3V|hg5As}NkB%ipbpfIQFHDXkHoHM) z>qzehg=sUh$V_cE{|P3)Y8V_A9BkoYNw9lT=23;pXX|I~S4-); zMt_X*qtbnwy=S2OQsi+L8A{CdZDGBgbgqY= z{{}gH**4DrdX5G3dj`;Q0ebdA185x~mF~0bx2xQzyw6>k6iB}zRUUE*cAf!^p_Hsi zBcca-8&dbx`T{3}+@F~_a`of6kbdt?K`t>rT$gkoy|i-STl|cZWm4-gS{t~~q9f6? zfH_zkT#-X2Lue5gK65L1XLZT0L8SLt?{0PCqQpWjmw48@<|tWvf`|#sv4?XzdqD;O z9}+HTe39^O6xgSaT`XFWi0^B(iHscajUP;svZnHZ}7dcAkhzy zX3r$r?~jc1HCIciW`&D%$&EyNX(G4a0n02cuhM^~hQnl(N5&y{{yS z=_3vPDRIrsbph?Sp-(!>0E@$U<;R(mtlfndfd(z_wYKG8o+Xm!=JX!$w?cGbrY$;cnq`Um}LK3Vg59D}Ux9gCMKb~dLxQFm94b!e49_M_m&YqJHnEJ68Q!D`>R2(C-MGUf zf4EsBl_sz>pI&4wmA55|b6i;3ZX8rLKkAE^WkNMW!00?AHI{lO00U!O@{LX22n&$0 zF0|3h#_tIr!=L!}46?*9niRN`6zzW1w52zCVur1B*;+x3wS+thWE(Gm(aiGj8_*6( zPZpJs=o{TZtB`iP8T)26&ML>aE=)_;A$Nh)CfpbyLuKI3J`auhEVOD@AIZmWMR=W| zZ{}`BdyoN@N0E2AgpDq(ieu+siYR$Fp|piQ!xx2?8lj~rajikep1}MUv;^^d z2AJyew-9jvWB4kHDpOH95~@4NNiHF#;*#{ltnz68W3Q{kE-0<$Wa{H>K- zvZqQe_AA-jRC1QBr1MJfw_6Qy*nvGaqxYU_=#^E&zfUpE=p10`d!H|1H1brS+v#0V zl^L4P8T5-SNXX2Zu~H8QBo;o@@aso6vy7kw^?piukh2aiW~%A%ZdpVO({!PED!-Ow zF2qi{7Nv$-BedC-05EEpW9+%K1XssqGtrH~e3|!4S|On!TsNXf>4+zkRI_qPo1{tM z%aT|RH+ne+QCmVVzxf7^HKI0isJb@gEWq+V$oBhL!Mzc`a`VSj!4NR&Z|q*Wv`)g{ zl(Mw2-oRL9Ej2Kw_PYqX0jBmO^h>N#XmnT>&Elkuf!QxgKR?sIx=vd>5J*!#mu-z> z?9Kk`iq@$jtQFeAZ0SrX{+5wj>yq4}=qI-%@7{j_U*>~*4*oq}!XK|gy$3sS5RNt! zye=?650>TIu4ZE-?QUZc%tdQRXg9c2v$D4gJ@Rwz4HzpW8ffS+i&jv1sNogAzEL9v zUh(2_cF*pe$BRbFnGubc4sVKiCP$3DkB?3(&loIP<~iE3YDXUS0gIknFv>6|)o6R7 zICu>JH`4VqFU8%!NJ+F1hB`OV0B*%f|1;w;t9;|FDXaWrrFSpEf~z_Th`30OQb)Rc z3a^f|VhbalCh>IVGm@AmtI50{>r8aLX{K|wMtzoU6R4_{s#*_j(2A(Wx}(T!Nu=ISZKf5P!r<}%?Sd>Sj|oujH( zy_Z+h+e?XbbwCFFGiqNv8Mb>LLU60y*G*zB{P9$Gcw|=UG9z9%3o5e2fb0#<0LI+S zh$Ihd5=M&A{QFYpI`0i@-QcrUXQf%I-`^-S9v+HbSRjm5_x{G%gSx)z&Ub_`(;hR- z9A9!KeOQKm+F2$K`Te+WyoLLZa4o$Wfi#I0zMnaZ)8i8@*l*?_hG?lSXbbk!2*-dh z*q;|2jSiN!1@~f1t_nq`F#vSzd5&6lN2~|e#k7i(|Axni>F zGOZw<=iE;cwfOn1!YSo^R@FBdFgSKTh1k$tp;4xX*be0u3%l1ul zwCvS_El?|J3*;Rih7x#`{A%G1*b}76CzrAEYp2izNAKwBIpBFp2xSKsk&a1L%Tgm~ zY$ZdTWqUcxiYULJWnT)X3YCv7@0`v&{aw_`r9@w@(4ebysUS`hBR1)$8r!J|h-JAMDM09kT`xO<7 zHd&I@T`=>4tcjrZLI$$z?UPnnA@}N1M6Fy|#_hGa^n29gMBNA*vbvjoTlj>K8+kPY(BBzKw8J9m+`3Rb zwdlFV%R}y^rJ;%!=g*?RP~urFCG0`YWb=+QpHCnK^Nuz1-M}vowrTiHqZ#IgRg`3wCW-50hZ(AvRvJcVt-?EB7stT4hH0e>A$8RKw5js?Qlzc( zk6LQ#L)et6kCExuceSYxd2=VHB1-20lw5Jq8y%*Q^3I!*NXmGc@nx|VVEHOlwZQpLsJ@b!?-3 z3##n8?atz`gSE%3K>{Ud(gp?#l$fPk%}o@Knq7B$=25tiM{tD}DDU=5%n7fkJ&8tw>c5`f?Ws24#KMyMe)}0Kpe6Y6}d?fH8Bx5f4XH*^*gCxA^*K zZMX7j)9v3Aeh=Z=nQZ)iUie?*Hv?9|AHk6k`p;CGy2ID4KWKmdR9fZtPZ15Quc+-m ze?Z7tiP74CRm7kZP9^jUwfk+>jMikf@0)BFnQS6v%IlufQ|GqSo6g;89))l72(GAt z_4Jm{GM)P-A*s%tevR#1hJPtNJYr2fSB#mL%;u?olYn%CAD=@#!Jkg=7{L1(z+-u< zdPq!7nm_FWvgQx{osB;RND58BLwvgb1z$8BZO4E1d>f(hJuuvTJp9)AZ^lE9`}LH5 z(z3p2obwwNH*2d4hCNLJ)| zhSsb)%e@+RQW^D+^6RhF=5YkpW-#*H5QeN${&41K&kd8ChU!;XxMvU@pn|ti=|D9b zsB{D->z{$gNTLGTj|-mJf@hB4X$%^8aBr1D$j`5}2&of5)c|DOxEYwrYcSMVO793P zXt0$~N$>ymX9A?KX&}U1%2xit@Y9I zgEM#THok=TqGWtYc6{#W_4UzEas>b%<~w<)T<{fiYyS)`B*=t!O9s3>&*!A?2=O+L z-y?eQ_MD7~%&q`t&8Sp|j_cl`A&oq3mU^7Q5|zn9mKoI#1KCnze^Y^P7I+GYUK{^(6OZEdGb{NzD}mpe5M&?E6B_U@e#Dg z@+K76@;<)u|E9d3aKKye{p$ayyhD$$|OL&vBM78GaA>>!J5$ zBK~5z?MeW+EG}l<+F0XqBjyX$h`-NZ1NUD5&kSgkgSjRZ$KtS?U%(#d0V|;2e*sGi zUb@`&pIKB*{{@T)SWFsfKnOs)_qR}H|Cx2PM~bl9cyX3xj+c9Y)2sLEGvno;^msuK z+NKH_Gwt4ltP1xyVD_NjppB@Gd|LPAWvTIEnm9DGYXW{N1K#FI|1HWh_!-O38s$41 z=k(}G3QGItu?t^b97GhdiY%Wn2)K2OE60>kH~YncyCPlvoS*K-+iMP| z_Gc0tZ#7piO$e}o4~%|}5AM={Gx~)_YfbbQE%sqKq+i^_m)extiW!n%@Cy@$3_}7- z$rl{vCfQ1bCdWvU_US1)2FK66x6xn`zk&Qp_zi9e7Pka5Hlltelb(2FmL@%0 z?JPDb*&oI0cY{C3@kmREb}n<1oCrKg??|DK>aRERb0}5;#+?5$Tmu zj-|Y5JdYp-evSiu3=_#ygXdqc##tWhuYnI4kTcscNN!kuWB&EV z-{TZSkh=s-VSU9GPV+k}k?D+M``F=qszY1Q(((Fun-65^)uYzx#A~v@p&7Zz({n3F ztq%9q1uV~nKSF&Hc7o2zr*Ix%ZcR8Q(N^zP^{-E?p^AA+0F_>=Rj)zY0MlH1l?ET% zvCh{s@vHvmhF3p2HQv+seRsA-0G2bRqhHcj#;6urEGalnq@aBNhH*ktnYq6Xd^Eor z-rsrBNfcjOr{heo!mn1n!7Od!&ii*3Bi16VVD_iKLQ)?|m@=O}{pnGjT{ZgSnptmg zX=gB2l^b+9fc^0l_uq@wFSs;~-)eX0r|MeWjLFS}xIU3t%U7Fwe+I=Ut6wUEk;9!Q z5iPEs*()dDEK4kEU6IS^cdEJ;Pk@H3frh+7s)pX&-Hon=8`tMOfK6^+lL|59tc_kL z_R7`ne=1u>Ae7W)WVh2Jn;b=<4YGKa$~L5rW^<}}N6s#`pjz6V8qg&962LRv+)Qgo}#{e{fFns>4BFC0n!e^M^*S=e{FzJ0e#e?gG%ycF#K6&stUS z(fn?u4)vo@`f!!CJU}d&qCKN*fwLs(W@u%(eMof?(4e*Z);XUw@Qd#)aZOLzoVTmt{>-FUi@ znk*(HB{ew^qedYpWpyxw;$38OsvVUQD7SkHZDwq}3g11;WFAcB zb8O}xn9LvenTOlVm!va~H7%LkSf9HPJO3C)AFx-HV-XiU7);UM|H5WGAN8E$I&^ zR=D*{1Jf(E+)f_B728a?|MCvBg}zUqkHZQ|f@_$Bt0rmP+ z1JoM?`bPx5KF>f@pIGP3A%8tLJuJbww;EV$3tD9hQhC7@Ur>+=at?Edt4V*!Ua}fDT~Jk_#D#T6x6!fEw^4(Fx3kb_}JyGkviauO-P`7C+^g>nM8Sr>Yr{ zRou7UGf!nmiO*K;a$?Itml>yTWRBA+J5GmMGz~x9py@F)5DMFP_~Z1l8iS^%2uY!- zFB1lZlsEJJ6hF4XJDm?^arLOD{#$-*ZIT}wD}HPWqpE5k^H>8*v$)zv-nX-6Yf`M4 zL80YuwTk}eVVd2BhdawW!+=Wr87zf=js}MkI-(^wGJ4~^LQeF?`|RTZIel-nY9`AHZQjd zR_kPJw_0j@!zOKbxHNcDYr|2_vg8(RTUATtz>)Qd@gq`MgNaquiPe>hP3G{^)w5Q$ zRThoR$y`bO^;P_+Sx?1=mZp3wxcMJ8vNIjc>4;_{xp0=>fP;f`MzY+7VhF9B<)2C) z%EjW#VCz=5aF+=T6np)Nr9jakp1f(t(G7by?HJY2Z{5V91t6$%AJN*xIzIdHSsz_M zJ2!e;ASb$)-2Iw{p4QXWbRgT(6WEr1r-yB6YDaqgzD8;Kz;sT{-mGqZc;C)LtS1Hm z92&I+`klPraxVjIee_Bou%a2A-CuCNJxHse#6j8;4$_wH>14XapTECyR!*a!U@3zF z($Nbpw7{@Y?46pnmoCU}+P+}H(E7v#_0VKePAFYGAeU5cCsV=RZdAOpeEJCI$yJfo zS41kyB3)-Yw|osWTum1IHEQ5Hon_5^8)xO`xJzyc@CfzpNW5kEUy+$Ik(u+>_&3j` zzqwGBA)Ee2R+;q;>CgJk%l_8fe;zYC&YUmWN|ZYR5lXr=#M4>15vON;bNVFf8^u)C zcTu-*ZuiNIujlLuqV=ciqtn6M2Je2%dYxqxMuuW@M>1f}+tYv<&wx4QTL(-jf{Brd z;>Kx=n21Kq3Tru(ZW@|~EKrvI?tA$;FJ6?N^B%twc%Q{@I=^Cmhw=Ll;rTox{I2Eq z6Mm2K%PGw5RoFYPcVWiA{K7tkeKWpydo3vJw_8|dK>xi4*io4NYsX{LSfJk8FaUB0 z0kVU_gCk8u={Yk*k~z%&(3iIx3JP^9Aa9cRF|Z5la9Xzx`pnkv?T`~Nyx|FF8&QYm z3RKYuLm1qC>aX5PCfhrm3<4RwaVhC*Tvypx{#RFzomRSFdX4)^(jG8aUJY5SbO*Hu zBRlqO7{=d$4g2#qH@si9YwD?vtm#!>6|L;Ot23`Ym}vEj(<^yft1E(~^On`P5!F#W zwzh7;v>NxhWch(c=HSzn?f}Z)ZpzR$E1=o!6sb@r}LtoBRd zjhuL_3)Ib9p*7yjwrq7A_9d6&%1iPQE|tQTWb6-0om-Eh|AlCTSo84hj%`z*CU)4> zKbKj@POYBl{dUN`kgff=iX5l;17@L{SCMFsbPaKC(M|TDJA>|$=7)cfpHtoYnZ#Q# zau=LxmhoR(cJnWW-TV|%>)l&v_{Il)Ms6*9csxQ8%K6t9`*0J5}L^38rDcR0MfGf~}5op_ua2+B`q9d46m1S)`lQz%K{5=1H zdynHhNqHvNJWFhzUzt4nD$in@=NEpSKPk_pHjiWTEVX%lWAf~$JZITFcl&v+SDq7W zo>wOsY}{`1{NCg_KzRR(s=E;k7nTR(lRr zo+oUcWP4tP&5z$@^L)35dX7+@o3rcrqw-v0^X%0_dqyeG={AoU&kVe4mFGJ)&&v}H z4(_x#(EJImI97QMvU&cf2=aVad3K8a#R`9C^O$iQE7Uv^1ylT=ex{Sj zlp%_@bUh@5sFO%iCe2s&~VJQG{^h&3Jrk+m(J3#SW^={0GxKSy32$I zE9UAt+UOm8IxBm0Cht_=Hfe_0)O1_ud;qFOKi3wVkyAN#S^=7!X|t=`)eFW{yU$H= zpZ3o)1p_S0Itf}89Rvo0n24{+t6_2N;sd<^FO?O+f_X1ynnfg=_pSDt$lR*~)oxrj z&Vfnf?fZH4(~R^z3N5bT8Oh87yIR z%|8SeYXSCuG-+B%FfwjwPJ;tO2R~OIrNxZD_j(+Ez{>uku?Td`5jvdZgKEiv1bo^M zj%YQa(Tt7H#kRV-qPlec{uBx0SUaTJ4VIJqX>pm+P+4bQRdhyK&<&I!Q>+~lY2Bq% z$49zKoLhd(c&gzZlBL?+aW#%^+p9Tkvt~~* zBi01l0%LLkr?X8}@1Bqnlo{yCdw_V{7i;R5esNWP&SU&K_;vC7gkPNB2;j=e?UVY~ zt52WadFCs>Pp{0*R)0(WXZ9Cvu*~S>@%}rQjvi=kXy4%dg3Z4>p{!zE7^Aw~d1~cH zKEeGC5>1qe33l_R&Q6IYN(ph6Up+*9VoKau4 zmP+^IpC8K7|Byt{DaFzLHCA!t1N#Z9mr4ykpcH;$RO8ril-5VD9fD%4tul8Plo)|S zqWJ7dG8$hpTtG^^Fs#Ok7t{Cdc~Jl;DYK9<(N=LSBzpDh6m{k ztFv-C%JfiUXkFfBt!#d?zhcL2l7?T@s+_5zH~Srb|G1B~Jli?5&=_)WY9V`D@Equ0 za87;215JE7F$_NlFl!nX3(1Yp?AVS(QlINA*WnJF+IcroX=Gs;8=?Klm5i@+CzS;w zUA>%UU4R>m1rm|2y`5Wr%ZJ?^eRHk9J0evd$DB^GrTl>;KC+ImMfVB67uR-=ltoVS z&AinwRoaFHe!2v#2?0gnc;{t!#Uou_!}m;z^ZgXB+Z1`EsJ1BvGz3hFll&BawKYY504nYXoiu;-X z)>+cQAUC~jxTe5jY)H)^44-?Nxklz5sgI@if|~jK#`@0>ud(0BaK-6&p8rgK58^vH zfXw*Re6&hz^|#v(iwsuN!!wKtUfhyM$o>40Y8En^j%qdU#QNys_a7VLgi0-OU@Xxp>;R5gFF4p z(yJ!RH0zriRT>r4qfJjTt)6E5n*$^PG!`M7Q(}BF7b6Z%6tz|5!uDK_b({{`am$Od za>@vyu~ulD5pu(&5)0JDZb&#!p8p-GXKp#kaMUZlYdGrlJc26@h30x2k7sW{E`0Ci zuO@hk`%F?uPk|#SrqnxE8&_8NIt>Pjr=sp4WHcb;`=|6>g4QyksKH+5Yg~fTzi=6+ zmm;VYL%Pm*vBespp@7?~+(|<_K~abIpAV5OO&S{M8t5##pN+26mgYVgKa-K8#ik-` zn=oE9kpqOu1U{?0Tamv_7MbTXOJ7Z0k*<7ak!Xk#=Tmx#^k(BNhTYbYpk*<9k z_K$QOz(qo_;*U=e>)YX-NxS01dH{?|d!g26BTQT}yoq=3?+0)Y{bB41ywR(+!%N)cei*io>zHRh~VTdv-*Dw!%0RA z$j|d3{{>`vX)4djJ9j1GXS0ju^6jugvh)39_P!hIs6;aLO56!Y77p#Bt8a^E`iT%J zBsy`P)Zx8|>QvOMh}et#*zaW3^!zu}^f9=OboF)?y$WhlNM{Onc)in&6r#uZg?t2Q zjV}|KPj#>zHbW?Z1rtL@41y|U8l*GV{6Q#IIh+Z>5{jmzCN_z_C_b`6L*MU`P>Jyk zkZ#=fxh+#LIDRYC#&=G(J$)Gf*fxGfHznKno*z4f*fcgj{hBuRGi@w1!{rS>+2Lx% zHX!ca3M<;~@a|CA3x^hPWdm^BV!!q^WvuqgcpLH^|1)K{ci*eOtjim{ou66A&$K!A zG2Qd9pW|V2bk0|SW3q}Lkt|;A{F9$JqQtfC&s28B^9vP~EN8Z&uxi`r-Rf8OKAk00 zl#=QRzku;dr6F{`a80G(At33G7k|t>-!t8VJ!z7TeTEZ@k=ScH&G!IP$o&_Vh2utH zXLvN15GU54;qkw8G?jDJs64J)>O8p_p}|dKb)s!kg+0->7x9aU4!_-bo;az1_YxgN zx77>}c^mXv={(t+V+a60K&>%pKKJC_@C<2QH+q+F$%3Du{|-NIz)Ni3mB8~|pW|AS z-tX9&ee3a*XOi~78Aom`>E@KIvrOke*l`@#bVFW_>B`6Ond^BRWQJR^U!Hv~hzs6hbLA4`TwnP9Eu1yr_VN zSweE1HzkS8+1_});wnhfb(fj0a%Xn=2kM1Q#;8^qKI*LjZ)|$u0x&T&y)xTt=<<7| z&byS?_y%Yi=CF^SsP3FQ@^Pxq`Qi^@zuK4RI6vbCz53PuB~|Tz-p{T!oLTLRbhSG% zT`&dJd7twdKf_cz9j&IppjY^bz}?_IhH@K3^=)8)?2cQ`TjaF$rgwhQ%A3+3W=XQs z95V7ud{^ZH4Pqw94?i#}H=N**@ll=SD1|@DJezkY>$m z@9J+%Go3}!tH%c$-fe?-N)mjpyYpO4oqm27bkCdKy2A&-%pF{^C9M@18;Uh#yZ1XXT{+ zTpi;qd653b_746P~NTrT`|c=!LCun!4qTG$&Y4VZn|kGYMQ>=w;` z(;&j|h6N3WsWqjvrfrgDXLgH3DC68AoAXzqI8Q{2Lhfy?gk})hbvf$x_~m}>;S$>F zEE+C=@i*>+Q2-d-OD`f@+a!!fP#?sPwhfx$r{4lE7T@0#nQt3(mLKyZF#w@C&^9R_ zxcHx34VX7TwmX0dr~3-x>!3MJ3n{Tr(?U)Y2vG<5<<_Um%_@@|J(j6(0LY17%Nyl< zA~Fn;4ie-T+F~{_DW6qfNYlbTjCfKE@N4=8RxExpDMVVN5-Ok6$60igiXs1%47f^n z=Fni|>)eJxRmd#_rRSI4N?tlEzROUg;3;P1ON99Vbn9C!cB=0} zh^GMgvvOypVegTW*0%y@djFA@)@Ki`L*6h$3ofrOd{b6o>vGHrFsVslV8pz7Uj*gd3X4E4^dtnetX7SPEHIwe>uDfv{JD;(k)?`e(TD z4?efmc&n8vdOgzu>mGAXUL_*4%2NE<`hC_VV~o)}6rMU+&)C6(l?Nxo z*a_XZs)auEia&wxu4Y5OR9}7dg$?|a=%4LDNZeKtXHtHBgr?_<`|e4l3SZN28+_1q1@0;*cAl6PoN7|mdbIYs zT+nI8766!0nlKy6Mz?Yh7i|X?mkBN_+uqB$880L`=&TqxVs+{IItleh)bLr#AUi#W zGvBps6K3QDwWUG6E(ZBdwlJnpw`+83vb>s#xD#0?6p`XOQXmDdI__OrVvj%@dhL*w zn&HzF_k(wdn~RkGRGn8uEdd`pZ=g;j-uk5w#?GSoK=B{3;tuU_V}&`$2)tRX61GpS z;}EhJ#0zgII=2qMFs^+!JW=KL5>zN1hwudb$0_WSw+Ty?<53*$hP{%EqP{#XT~u{q zZPVNtEM)t!b@(7O`sEA$paDtWGExV-Pt|vdWV1krY=dMObZUR2Sy(^g(&r8vYZMOnse|*B&4I z8|orp)#mAsvLs(nm5)x0om3kAo@_t&yrqi)=LIftJo?5 z=LUz1bafonXoJ_i8P1}25Qq9De+3&WIGsf?0^{rXV^5jKijUG>GFEsd4=?A*^bmUk z-{Q$37XK9)P{rUCL4B1WeMf(hdEVUmorjb*_Hf=Dw|kp{ERG}F8I#glbG_xbRuW@%n{jzezZARXl@t{MQ-On{;ZocRMf9+QeT|=%!C;H zOglam{4s}G&F&4<@4nT8im6#PamLor4{tTm8R2~QnBImXFMq)@*ivhm?e~)#WlB9M zx8-InV1^679a=KQ=)Uj@ViAajrfBh+&R+JgX&{Sl?(P(%hM@)js72M>BAwNZ}5J^EM$Yk(7i>iHU6Agy3L^JzI2oP zg^^}3@2z#dD03`ve8-G$U$ApQ){NF%D$Tq<+jsEm=Kp+B-{g6UCaSMG?m14CE}h{1CQ z+-N9R@wyYc2eAzXU;AAtg}Q11MQ4`R%vv+v&0Fmo5-(Ax42dHT|4dS%4GB|IV|_64 zkKs*3<}SFLp?~)wF!|C>K}U|rXM^f21Gdg-!N20CR&SFMYy9}otgl1vpdDV0k}W2# z3Yqw!9L!=3>M9r*#@?BbPZ_e zXT+y75WTpSPZt=O=43w1`aa&gU<5GMyrAbdmLaj?qv89LP+zTTbdBR7pd{~#9TqmA z22)Gkh4ja-CO7?H+7oYJ(XMgNEFHamO2z8=&z}|>uo`=zpqq=#<_3^h z@dP5dg1|>aVvQM5-bJZoQOYodHSv<{MDAu(Hco2yrTlw&_l`~GV5FKd;!_2VZA!oJ zxixN8X<`lTKh@S&hM$3L&}z5Wo^aMDiL*Z8e&Ebmas^ouxVYe=2vf+sHSu!^lE!pm z_u`*p0&^d@Ek2x=Sk+lXXN31ktT#nHN_19HFURjOIf`FBJcX=aqRpGp%SUj$$;PkD zjNj;$r{e2u{O{87-T_2v$kI8C`y}!-{Bwx`d+rZ=d(l-$yul#zal zo7a^n?kMe*6ThFShGl04Q#_ zB7+XX6%?;LFpa5UWM}7P716w`OFRv!IEGZ4N!5u=d@xtEvmzBF+MC7|m13}iEH$Hm zHxg1)Eg7yZl|k6vhiei0rEyyB-zk%Fw|gOeG=}9A|2RMAKYVKD;Z9es}&9nG-*~Pxix-aXmd7$(zNP`X4>$dy!HgW+;AI)SO`* z@LHq^w4>=~_o!ti^z&W2lD+)LRMOW8#0N~p-NL~9G4)G>kL0okU86A?5JU72baj{k zWQE;FnDO0Va?Qgh9JO+v=`hAN9Du29_-n!}yo*jHvoa@z7REo2;Ya*MIg24N>@WM* zZT`VqUt00~*UgSSW`q^z>O-zFq7NFjAy&L&uv#9|bo6T2>wyf<2AK?F395`Xn9N+z`MrqDbb^bhuoMc8{XA8szMKx8#LaJ(G~ql zQPu8%;k$DpYsHBeZxeG;5)Q^qMn|fJCB{!eX%p1d} z;Eh_W=__zuh&{r4W6_)_z6&Wr{3&>C%vOy5lO0x85xd$*FH*7)JOagPa{GFRl?omN zUs!sy>tL+z^T15HB@gq5)u8zcmeJV61jfRPS{o0x`d!ZV?mzC>hJDAK-{6cprlEj~ zg>rBBu(LPIcQrgZW4p{7A*D5Uhzo~r%zfRH|~wp;P#-uiz~@wPh!ZZVX{d-e|UP%#(O}o?JbId2%;`!X&84d2(sD zd9oJZm?t~g44b1&f;;ck?j9}t^wih$z&BZ-c{tgSGD!&7$ zJEK*)i%c26d4aieBVn35qm5`s)8;+)O$a!CI+AfZ_D}veol@uRcaVnZd?rnmoin}> zeYN|IOz7*4|DI?T5@P%+bel-{o0Mm7m!7(W)j-`5_cvDb3 z`al|rA03zph3S;zv&uc(FL!^+wWH7gD2$E(%8c{1^7qsY-Vxph&l`AsAh+@*AfEwC zsUgTkAQN-p$IA2e_lfj>It!HQ52Omk&dN;9YAV!t$G0%?>FQmpVdVumCR1+x6#Wuu0|o!KUK52y7zqgE_eKf$`c!v7 zJW+hlKy9oxX%t-n0@;$YJDND@vQeE@>@U2j$dn2y!-_vKZfKa4UCVB zdtgx5!3xxxk9|p+&I72Z$kxzjZ*I)k#QoOFw3W!j8o$A=ZLDjXNy8?e!{%J}MAfq> zI$6NZeS8q@Kz-?+6ygPnw~X(g`?8O$U}D|qgpWl^+&+U6Utz3=W<71Yy&Z>S-XW%p zEx4yiChei!uVZ9m+Ks*tuy;e?kMfB)!qjx{b_pOf96EA|1j&%<4Bxyypj8g=xnh74vJ57 zo*Zx-Hrl=5Ebcylr4BDi#jg}{v5ER{Z{`Q5N}l&h&1f;x#q?SZ-}F^AMiFMl^-%LQ zx9zE=hXQ+NUmpP|y`Mrp^Arn)v&7z)>91aP<-5>W`><19`otgdbKbx2zyB4GUcUi< zv_IuNoL_4FOy4!jhV#acwjg5GU3BJlKaMWmer!q$&`Ol(J=g4b+LwPOF-2Vn`F;ST ziiFkHxc3eZ@nKHNDW=ws386R$x^J+#FGnB7gdFr|Mp<+s9@UVkmj|0ZU^V*3@EIfz ze7P<++<(!>4Sf>NR!7zrxa%Ts_6mx>OaXB9oiuR#S6p*ZYQC8*XL==z{2e(WtZkaeJ@fTwr= zq@9V!3p7lw$gB%Z1~jAH`#H-5+W}kCn==2IeV36+^edk@D4aJMTm6S~DF1Q16VeQE{=?Ay4(~Tbxm@S-Wy9d61%q=V%U)=E+EH`bpKvl7C3L*9H!!& zJaL^S_-KOF;k_$c5aF1h2cRj^`OmQxQ(>aR&95X+w6C))|GT`jOya&WNhBsd4Ug;9 zo%}V^nW=phS?NMza8F6kRR{I(&f2T zlID2601$r{V|ON&rsWIDTo!5kCMP^fNmz)U^+HM#*^sNzFJcTx0dLOpy(} zvf49&va{RMOYPaInnT_l6sPu_W&wGLPiZ^n*mnG-SF#68`!P5 z={E|Q-4`#JFb%fE4c^;(OTf{+IXm(Ylbmdj{A{B58@`ND5PKl+<@>%i^x%Fnn(A|-~1Tz{wpz#F}wX@gJxFj_*SBQ5rcq9Ng!T-pa+y|okAlquMjld_>`8dlz8aE3>9~v+xk|b1qoT=Jz#T} zXiJF0Opwr)!jy6P{fyl?t1T_-$O{FRd&@2Bv-vV$(6n8waFVF`DWY2ZyJl=6fJ(QvE@;? zAy4~FN#14jaw*lq&L~G`e~mHwE-Qi!2WuHL_5{4KHmVyM*~><&kd#yvV0wp7Q2yYx zB3f0VKZAoURmJ?wlDL;%ygP7>qjD=kx=ZtnMaG^`5x$~7r{QERp>uK?POud}+dl&xxVKQR z!HG914^!wK)@3cA{yKV+&2(pGrh{dbWZNR!p&6ptGnDrX!wo0%nzhv!2|{~)w0C%$ z%(~CoDqvHK>&RcI)()?dgPL0VXDg;8*fgId7VD!9ue#JMvH8fTGS=8fDNJi@A=yZ* zMYbL*ZL`Q;zzZX3lNd}bu<%fs3+(m={CBKpi(F~f*8%Kl6RYt3S+VY#Pu(4r?rO8X zE*btXh)EP5+#BDa&ExbTI``|H%&=#oko#aXJ@edI=6~oRU@~6-!>G6 zk;(%72Jha%r-;hFsV=Eim;8nUzf0x%zAi=f?IH_0p7GvMY-D3D3{b#d&}zMhuwBkv zl+68%g^~BoDqHR)j4}t;u&)s#WhH8nz|9dNi#% z%TUhb+B-D0_Fnj?dfaxei1~!he8c|Q(SHKV)cHGDBv-`kGBwlGT{wq__q%_aWy&Z< z5WE*(tH397#B4q5>4JBIs#n~K5P8>Zio9~dP;F+Ug*!sb{yolq^L{zhww*FljQS91* zw)j0I<@^T^Z`$WcrtJ%TUbE|)ET&Dmkwozz3Jg9ermZ^iOrn0N_%)+7N%CvVTk&fO z>yckWl8f;^KJ0o4vTbR8O*srPd;Du+48PWJmgU#ZVj@icN?>EPB(Tr2V1Hk5{`@na zUuz@cB89Bj`HkV%Y>6Aai)oFOZE5{FUcBF&5DeZ(vp9thwcOiUkS{^yTJ0#A@B@59&9%_I zrQ-D*%$W^e4hMo+Cc|3Sg4?@YuiG)q3RVlClduGx)nK zO9?dN=EjLpLCy@UgeFj}_uYT6EJ+gKEdQix(Z^2nC+b!6FRAaUHg~<$3@;%~<#6L} zzryp~lCSOE4x?V}<_!5L6QPbvle={0X zaX{L9I?Zo0qTRqZl~FiXUK8zbsm;7#s}g(|FVjAkWb!uta~J+dB3+4wsY|WWktA^8 zCi1dgV%N~b1l`Hs#3uCel@!q>do1qAWO3oYGD!YfYRicbsw$31)qtqg)X!o`y6BOhH?vC3J3 z9*Kyx&hoXvinY#7rF??XHxNcw4#y)umvQQfkWFCrb0=o(1eW5gzrNy?`THS_Yq$RT z)#H`i2@vxg_W%3~b$Qr!`C$g0!ZAF&w?0mG`H6hmE}w5Ujvd~6x0o)s%`14x3`2Z} z_o_Kg!F**= z>nwcK`nlTZ-9W)j3ufdrjB!>@oL$isKIRGdbMUj#dlJanCeFZ9{FNnb%|`#2t8F3C z2Eh2KfYSV`(>#V}(?3iCChHKs>b0FD9RkTASy}cYQe_YPiy^TM$JM(R4)O8n?R?0L zE3cSHIJV{wf#iuIADS|tiEqgaIY=RGgs8w(%B$7(F#?(voP$2ad(ba$El+WP|0JqD zdf|}xkCe$-c_FSJmJGj-3cSw|IW5KN!Q9j_5xezJIerSa4q!9FWdREYB{ozi+LM?? z!Ln*V__$Am!ua^(% z5FgZ4;wg9UP3%x#hGzO*`MSxp(OdhV@Ye3deq`GzdmYKAPFFqm5y_%4u|#r8_ADdB zo2bqDfOF=^G;#|gdn>${hqrvOX8soRuXWxXJC*LjI)*`R{KtZc63^;ZVzQ7^Y#|F( z$Tb;-)MgffuU1pYJ{D&Dzkh+7^wY{KR?VATF>&0&S;ljfoktUEL(xI)PZR^Jdej`r zbEIIggi^$jCpf#9dW!T7iyloux@=kK=WlfE?eNINLZh7H(?YiQ|%J0TE@| zA@zir^;U2;M2-4YG>w_L-(+B>kv_>TZ-hjfK61abMVzQ2&dn&IJhKSSHDYJHw~X6| zglG93{Efs&i~?GF8ocpDB;t9S1^wabhmC(S)1}6>Bd>;dZ!y+`8Vav{jo}H3e)>O| zir8PiYGa=yc6U4v^6~6cy|`x-A0`wh`HOEwb>}65^n?uXG}4a5g&AzcxjeinEopp? z5=j0?>l{T}l(^C+zC?*jGZOFGkxrbNW_Lfr!f(k_{9#5J7ndzxVBJz~^!{qFu+jVZ z17HA&z&s;gh%Dd)-qofuFtvcV8t(K;%fJ20XmZlW@3@SnAz9{yewh<(nc+t|4~ML( z$b)=&DIc5)^*i%ek}Echqq>dWK7OWZX+LjQKf7HVZ=}(~thlpQ!p%{)q%qVlv(a$1 z+qX05{PwmodZ5IM&;%wKs(>leh|X&1rv=bDN$UIkRcj_oL=C=Rf;uA7-&wSPo`>9Z zmFO^D2%SXUc7vZpM=0VIM9NFT|A{1W7Yu~)huCd`eNa&wIY_IfOq2zLCL#BpNM2~& ziAjJJpgDKiKKx95c>c|5PM0AqCjmZ|9)`4Zeplt}!gip>ZBNch6{SHSr+18)9O2`D zi~_cIr3+L$!(rXP)q2$>6;nASJ^$E{JIS1o4&gsn_SpDA&Q1D3_HJ8j1+Q4IUo|AI zZkIdH<67gNOS%ubQkhL!e zJe#dzH;1epUcH|bf2?i?ii_(@ya|7>WCiMo|2TbcPVzLKXdgKhK8b0CKNp)Q%+-^V z=1};v++uYU$1Kf(OK!ugEWdt+vq+c1DfKp_>xQ9ujQ~ak6lp)NApN&6A zEd4d6p+A35bedo0n-i6AgUJC?q7Y~0RJwkW4x7Vg%X1Li%2W+!@hWEx zf?NkgiJWAPnopX&TWk6w@p_sL>hNaa8mRLq|KlYP)j13ll;9uAE>0a@kQ7kTnK&YN zHQ?OQW(Svia>h|kcuGb>z;PBe30bu3Yb$waxK`kXukbqc&4BDp z-3-Vgwz-;g-lI>Nsa0c=p^NMAR{1Hn@+9SP3VTptvErA$Hj<1_c?jZ{7p5V8?DI6l zyP>2{!^NijGgQ_^e)%_;^1}gjC6va_>`Fz#Rg6^16aAD^ZAx~dFy4kxOe*n)*p%Uy zOn)@*%V8|$Rb#SUd(loqg~}Z1KmMPj=v9g{Bl1O+XHH;9wiRNLkgaL0m6t_uuw#sF zGS8-n2G_W+$y-gGwzsuxkiqpmbXMK> z5SFU@PMcu&y5D@;)V=I6_jZdqu!D_Fv zWv=c&m^*vy^nwK_Y&xpluZWbiO(jhTppL^LxH3Af4_}=2YUBEYdAVJDaQy)<71Imm zy=;Vf8@!>M9b+=GD)zP^p(w5%Z0o7$96slGKl{YY<#L>J&PK8YqlyT2=%=b}a$TZ$ zPCQrM`7VPTdX%Fp3vCPReZQT18BOd4uN8*^mF071IL*UYo+``D!6|2v%>R=|reY_r zezR9kr=_0A2;R5bX{q)KO^YlaGa>iIL~TJQy3mgsbT90gWQWdN`MIGp3wZ=rOhO9p z{qhw^O{{o6A($j+Uv|<5-&hA-2irV9w0T^Ur&@Vt+dNT4kf9$LD$C~$Zwyz-2;mhh zVW{z)f0-JW*xbJ|xu=pF=Gr^L7I4N#s?^wHSG_Na*Ws=E7$(4s3!(UNzI=H)xA+L-f>$~F4Jh;LZKIxoBLk{Oe z%=T^WhlsST2K%K>z%Y~r0%|P5etMlSzNNF5Jn(PuCfy)635XLps-N6iaNej5H$`Y6 zw?aYvqlZvCRb_Rm(gQ?{%kK>M;<|e$<6C;s-Tiejz60Jqq|{!5Lh#hp+vfY$`(6@= zwm8rF@Gf;+&-cCbc%DLaCu)ATd%s3%1yWj#)xsKe zNl1;Y6^I!`Eu(#=zJREE>L3yL*=}pOK($!g3Jh6V8`x>ocK2STYLBq(+JZ`)OKeQ9 z;QB-(>wK^cj?;C+Wyjg{q)@DHxnNC#w0k@(Tc9-2?k`Nx$Hjp_dX*CWv-eko+_9iX z%9}$pl1Ce2#0u}x2D@qqoHD>Eow+8ojyl!2REQ5iy1da0nysO+HSl~?O*h3PGxcji z6p0pdsRsKU4nFD(EZrjgBIFvlv0}PiDn_XW!(*85&l^Unc#y_ctne@%!4({3$nj2k z$xxU>2{EF}c5lnu-{9`1vwHNaV)XL=E>yTvOA-Gk)>p8H(uN`3-m`U43S;S6d`PVJ zUVCI`g0u+I6YB{^Cil9m#Dzwt7O0UqfE`Bf6ToJd{pJR_@BuFArMXwreJZ2 z8f+wp5v&F#=nN!qMkW@ERIEyA)24W}E@ej1vP_y#6OPkzTl=fM*S6Z*+wIzFm&;-; zCV(M`tZt~SxYYK9aRF>0LCg7lpXZ!evH*J9`~UsMdDrKC_UCzfT&Ar^SjTzK z3jXS>vo`ahP@cT|stmI0b1Ex!+CO?gti#62SNT@3KHzu%g@80q|7FZ(2X>lV3w z!@aJ@Yy_T5%<-+yK{Ryyu|J4Svs(b`Wds7<6fR{afgCKOcGxQ$ma>W2xgpoZE#Z&S zQO7@hpEMIC2z{Hq5TKM^4A)-8KGAgpoE766uH$>i9p4S^`0nDzjy;n#z9sTxB;%7R z0$+X4)o2W(P6m7%@FCCZsTx*5a=~5{vYhagWu?o{3|srcq#^)-^XxzTg@F;2vx&?O zTf%|b(xrdm9rSuqEh0LaQhNygo8Z-&veJ0fIY#p>6c-DT+R94p ziXWoG>&8Z8G-EbpCER7O=Dg1r<%vj@4~$)znC?I@82COB9-6;O`zcGHq)|$B>E;JL zOJM90W`8xt=g_*>-Pxb3{V)DJKlYJ5S+oBy^5on5-gl6+lMg0Lpx^C6yxMnmY^<0J zL8f9(^u~QE9x`1q78q1Dq2G9`>{Idc#72eBj641penO|7cd!45ABtEbOGDP&_njx* zhn+lBqgIu@{eDrfF>8*0)hlYVk~IvICPi0qzMOHUllmhHrq^aN1C<6dYcuahx;85% z-AA&>{p2=2kqli!RQ}52jlk8m6#{RmvDHbLRULh!SA)liUL~I&JG9j-l&o4ru}Z4D zY~tgdYk|Lg4JUMPT!$n}46e(`%9Z$-yEJgAt>(QR889RCbpyD_K`A&3@NBLU`P)@?<{A zBFm9jVTeQo{RC>UhjBG~sRUgq`V6yGbRm$#s+?GXJ+Br6%8J6A=ot|dXc6OK`Q&Qq z#~l^#2yHo)SBX)=RjBCLKR18+ycasU7iS4=CQL;`9RGZpju7H3@*`fA+u-e$9ADct zhz2}*!92Lr}@<;Y- z;ARdll$;0}oDs1a#)JcJE?t3UnOS*4=7}JePnB?jJQLH-<4S&a5ih~W>FnudYC$0upxz)&n zbTx6RQCpK1Hk~Jh{K#Yt%u-~tQ||d?vTsu79o^=R^93{ToU3zN4#o9RsaIo^g%JKk zxGxTkWBKHO$8fpHsSnf53Y)aI5{~ujG^L4bU}ny&!3`d*mQPzC(G;j|Ms*jg_c$ELHFkZ&KFF9rPNlUZKyPI zcw=sKnj93x7WE-#Jz6Crps`AO$G#lAygPi+AuvPT_LsOd6EkR>m(qD%h6Mz-7d-OU z-gu&tuJy$e_UqSi#+Fo9C=Ar|e~^jNE_(HN<2RjgKilogu-*`u@|9bcpCSD&wO&}g z-i9G`{3|JAHYI(rccd$&jIKPh=duCJYVZAA>T8RiV>*b?CVAB0M#7trBA?owWZ|W(>|mCB_jD7M5LQ#=8()Ke)^| zV0(IQ;CgLle0DR)>YzS+1p(~7O&mueP0?dnl)?b;_x5Hk6BYV@OXOGkC8dM{v~OAZ+hBJCyBcJ>j)O+Yzaz^c|h7M zv7f*9nA2P8Me8-N#BH{++*vR+VEZEP>)Lt!c=pBK{rDKzG;Ecat!TT~%qeV{Qy8+$ zVXfw{aAFQviXQm~=+S_RfRnUuTBo{ML=&@1?1kSHW^!N zYoW2`^1>Fg(7If@MREYQTg$)If1(ELR(ioAa=O`wFb?Tca%00dp>x=j>BC}qkN7w{ zuh&NKzBo>K3iC<2*35-$-2wFniwk7ViLl2Gcg=TwC zUh8apW>qsZV24ukr<>nU)wJly)03w8!us>iL1k`UV7lhZbSW#d)BXVzNgj!GYFb0> z$5mj|#)HS%px~25!Y^2bSP4|;@Y*|rB!GY90cAj=pOPOWOzJKD8THz$#-fKw_}}f! zcVF1EoH;pYC2>K+y`Bh~l(p z0NUlY=<#sUjMeEikP37PS}PRi8}_+6AvzHYbt2M9$>1DVDWBQiou?hn-XAY?gbLwL z+e_@jTSY7#8^$1G&7pjWSwCYKq)Zr^#b$5)QC>veS+GycspTWVK%3*A2K~kGeYzvB zs01B^TwO_BBfNUPtU2P90m-Nj)8|Ptv*`NTqF-`C!{T@-M(RUk$~M6hUWe#RgwE8d z^*dXiMQd|Q>gwCFyYtIk+MQCjJ2jT{r7$dIdi4mqMg|gH90Kj>wXh1mL317AzWhVu zveyjky8U7x~#V@)tQ5|PZ zE|>kj|1b1~AL9F7`FtAR6fdoesTHr+5=DUpe}5a;nT+bBxlCLuYE>O9%$?{HZslCx z*7MBP8&Ig;P!epp;WXgJXs+SY)*HqM@6G`MRx0b)olYW@4;yOxcT0REOk$^5u_LAL zHP#Gj$-mHaI@q1>q8KE-c}Y zT|Rxqw7ybk2EI}fJw;Uf!2DpdX+~jT*JddUhEo+J1Y00Mpg^>MrmI{OjbBp_qT39B zlx;M@X9nIj?*5g0iGA)|HW-F3ZrEF;s{3;M6GT9e;8D~OX8T)tkh8<4HPWm&8Xch` z(+p0)egI4lrktitV({~wy{W1qPtyVdU$VdTA zqE(LW;uo(ph0Mmy05NDQn-t z+6#G23|qSn5S66 z&ol#vVxv(;a;pqQRhA7%=E>TI{?h&vYsM;|SQ1g0&ID0Q>LP6kWsLtw=mA_S!>-MDDzn~7) zcF3v)?CE9VGxT)3BnT+we<1t_)5@$?E<4BTFZ|SYlSDJtTuQ!+Ql-!#PoflA`kL9a zh2BmK2i}kUSQhG2|&=7q8kHOo(LHKz2APg3)%N|m&!V2>Sj}L}#C50S(*1B? zZ&*MADC>z>hn8JHJd>>dRr~16uze{2;6U4LzyM+V`A4LWiR;GZnt?wq-NT<4N{Ska z%d5mF?P(zs47n+In@<6Lb&ru@zVsPeaLlrOmuzxK8XN|S4MU}z^ z6F#L%*31D_f~JUX@j^BAO+lF||h;e#c7!lh~J zcrxV_>B;<$5iC)vo;%cYs7{zM><9;imzRMMLS}qjjn=ezs|;pI+P*9MDMX#xX&ABy zOot4Il0o|$c%aH);6vcncr@Q$Dv#8*wsa!cM~$`aHxmUygZ8x)kdm^Be767?+{97S zlE-d)SV%Lqm)ifW)ttTx?V~Td!rr( z{@bz#SRdW5uY$d;1p|!<3T3b3Fic^iNCHMI<#YHkXop2(Km|E=IV(xrWnJKJi{Af6Z_! zlh`^Jt3czMamJ^BP6P{kGz-v;uipt4#G4a`(XWhw?>3qxpQUNJIXBG2U2M7SR*2n( z_&JwpJw2~u#`$5h)q6vR~t^$9M;riJ!-xZIo8 z%ks!HBKwkVF?}r0mKE?)vhGke{jC%<$yIkB!-?Pu1kzXu@aIm!S(Q?o{Ricg6@9wL0M7YLFA{(~=RzEm(hOMFA2-7MXZ~ro zUF{i;$!r6VW&;?gT(_^||0|w32I0@;>P3Nf+6`@D0jgX1l6hayxEJnwMxn&}W53$^ z2i5Ssra#3=enRVnQrX>)sEN6YCy&<4RH4hb_wn}~m){jmI^$fI(VVz_B%Y)sTP8~B zR}d~l7P6+7s61p%2g!y|I7}~X36*A5@?p#Oo}DcH1oTH(d*tc0B2$6?1*$7$QI-g> zLQt-f)y0UiaE*8>8O@s*EBsGo_|e}_zw3=>?|uBa@cQlE)U$X?cz02FUCQ9alcl1P zS`r3A$m`X!_~927GP>nQ>PGjFC_c(vXq_QA%>ByVMLv5p#Rqwj zhLf9qFRnxB>N0@qU73w{7RnqfP4WMYp~AM`A0S=4FZF6!(v-6ymVHF?)A3&C%o(Cj zm%iO=yMT{FVE^!eJbNuv33r? zl;y{oT1d6GvuzHk%I#L5A!3Eu%c9~G;vC5f120R30mJy7HznNIBa4yQHcQE6)fU$M z0uf>qTivm4HS>snjfe-Y@_ zk$0exqs{jBAaa{r8}nXs-Rx_=R`uKyU%DuKgiLQ6VH0qWN3ypsV})>y2_LZ$^D(=n ztkTHs-!xggiZ*lIkXLtijJWaOdEuruCCDr>DCUpX5D_Y0ZLV(@!6_mtYxXzQrLgI}-f+s=Q<$tSvj~ZR?b~ipa2%@)mU;!eWL_t=B!h*Xw-j-ZHPcUUP63 zJn4E#bW@h)%{u+HeKflArP!y$8=5%BbT+749kzJ}YD(&y7u(Dg}6Jign@? z;PhI}ybTqd#DLKnw+VG{jiIOCEmdQ!aQvssY~XY}O=Uj&uaCOTNF9^+gx1A9F5_)R zpx+ADRBYFX5X+FQZp8(Z*$ zN?cije$C#ZW9VgfO;xG*zqe2xG_BjlnAQy?8Kh?B-BxJkoo6;46C9-|&OGvGCDdsY zXXYI=^Y){vNTWDWRCtI`vec(goSAn3r#1VNtaNyNYPUqtYoJ|CV>|Q6TN%WLg2#_@ z&D*kl)H1bAP>&!wGY^YZ3fIAy{10{vwf4q!RO8{gw*=P-yYm5dj9Gz*v{SmB!L_L! z^Y|XwG3~#VMOF-_xK0xR%C^ZSL*9C%*mgxW_SFPc*=H*yPU9IS>;LztrXRuC1(0V^ zh_>noL?5MA3ePBFV%Ca;Li}vEaA}_iI{v$V$2Zh;RBX^za;Bkv<3AW!+~JAaeo_ug!!S?Ic641EOKX6f8? z49*ewOzAj}H$Nh8;`&u6+Gl$tGzh!6iCx_^)~JY8*)q7FRb9$P?kq?>Y<8%{Ko$S;Z1??K|P-x~=$z3eL)nq+P`=LfN-^A25eiTV3+;cu47e`$SW zoi&?LcZ4A@%4X(g*xW}hKfE78*aq*)yGI6_M91t#yh(9ChxdoZx6R0@8R5|2(lvqu zAbX;oW}-3}AbU2%pCMxH9-!~FL@w697}&M!vlYn5OUp_)fkNy*b=Kvz3a@p-3(xSTWwCwsgV13e6e2(t6b8H zLvZs4rVJ5GMZphuz?6t%6BK(z;pK^|#yfa|la5QZfA@I2G43$n z1FFZA;aYd>Ba8k{7Px}w1<2mNdrGjn{~7%$euJOTx;F%aeh%+0UL@rO=(9;qZ$p^@ z6I1-}Jgc4jC#xhdB38U=PX5#@^J4`eYjd(-Y+KV_2S^%)$+^H|BDaUu`0T%akwXw9 z*2_Njuy!VlozU8A-}EmMHx|1*B|nDNNg>r@ZMPriBUrZc@%xX|x8ZC(TF%@0C@%d$ zWYU&=0}u)ab}ik{;Ow)trsxKlu7$tWHtgjQnKpNR(DUQ>F0K%;$XArt)o(}S3*~LE z33M&{RH$NmjkR0yy^+*P;RB6Z=*XL)yk~mD2VTD(c)Tg#@eBuTWP?X=eD{o;rG*@- zv)>o{P0E~>%E>Qo0#D!;5nP4HD;5HTo}t{c*@LcmCOh?=0=h>}vyC*RY$=K813PBo z6+7)o*D={jNC)eSj*Q*SCWE;PP_3762Yb?)NHf=ib5YpvU03W zK|!;h=OsSkz#yvb{k1D!S{~K?gEAqtf1U^(*&oW=(|dkogL@OSUnXcz$k~`ZLGJ!J zgL|0Y>VbpS8_*I&ztUT*jURt1#}U;Vsfr`>6#;f)6s03PFA(IJSzNRqS-Uq6j%am>dme@VPmh#}L3nZ%VjbPP8PO@0x6v-hM zsZz4Ra^NRY-8oTTWB~>D6f%hsIb3sVO$&7YXiXJK{?tT<-&nb`O+OsNw{KL^vZCcb8$qvhgpt{1e;7lvf6El zRBRbIXVx&v7E4(z2o{3M$Pr}kr4F`9HDP>@1mxfr*lhaFYVa3@Vir-)LcvhVnyMi& zl4UeAAlY@H@<^a9Y)o&9(7`dLj4v+~WIN&NR8!~>);_{XVpdLhz-3jY^QCI?6uisy zxt6g~NxOhz^MHGXYp`lc>^iBO^UF=CC? z=E3sc{9_o`m2Dy8+Z&x3rj~#RaX?jIcKOoHde4>dz4n%&eV16YR7;hwEA>oS+Ho|> zB`u*6enzx}M(}e=OXw7SMz(}TqJ2hFMF@;Fy_8>oY7kej`^wWpwkl8K%G3L)u9rOh z?`pL}o__5oS@Lv&kSN6R(`%%56{(-(y@=EotG zN3xWFM4m>*T#94TO=CV?Kvb!#U|C1P19RGt4GF2{jz%ewXx-E^hdXP=2 z%>j=f!F$}#AREv8oC+H^OTE3=M2}vi=F^kE1~Etd<*!274ItS4Kh2iE{=l6(RFa7@Rb6P=4FB7l}QVUgHfX@({{eG`1RliHZQ?C2P#OHX#|<7gObG zau2cTPr!rB2Uho+(J~|U*0#j%w!?yV(W4?*UhZl!*X09n+m!h z4Z$(ak^T?l{VMo4Yihvj#Be#$3MC9m-{dd~>oOp|#2zbC3^TFqC;XC9{7)WA*pcpn z0)&7;*UIv&ImyOo{nn#L{2X62TPI0SoPF@eGLpsU=<$aE5?Zm3G_5H0MXbG&Bl{C$ zE>Gm28s-Q{F`CROl_#dt8OeJA9Q)^`;nBTs1-GOhWp_u%@42Ei-C4r; z4#rMhUn<*amkiT_yzDYL&&r;mcmeiOboa)}f7dC$i8F)og_S0!To9{wKm3k-h{;Cq z1G)O_{i5ckm@(?qR zI9M(qBuUd6D;WB9@rDh{m9$ahw(+{##+&?v*3FbQy1u1t?9a4uw+f!PUevNeGG;FE zju4kdb<-Wg9{WmRjC^K!VCbk{7EnQWzOLyuyAW=}gA|>=$FVIBW7-xu66ZwwFb;w)Bu`jPDTjX#OE%{ze{eJrp*^cOpyblP3=u3)=a( zcq$)HZaZXLQ&7%TgKU6X%t7^XuJV?rmrxs2Z6)Q0WI@o)Q{ zOaxtb{JZYwGGSKAN+|z&J=XVaJj~jmGQV#8r=;FFXimANbom4sHDA4_=Z{{&tPh)F zFBa5_8fy!@^CmMelk^h8plIca3kEjWX#F14Pg~JBD2HaIe5Q0c?(H(YIPY1XkOnTa zPw^V)a2uGV4QLrJwp8hktIraRO7RqGbNuH#plLYBb?Wc@hUX*=B@i7`j(yJ$L6a06 zPSMuWySK2**reAo4Ur$X(;)hE3cSTD$NxMJfoG{}saKc81qsp1v4dV+8>JRzt<*aG zC;F9-uUyhqO6`3V@>0@`M^kgX{ZO0Z$WduvkDd0b@D-jpGDY4|UY;teDcD9h*39}S zJ^W$G+;Jm;CGDyf3>|lX?CjvVzGU4`D^2AFF%K06}iUJ14sg(cA`M-?+ zPYbrl#?-MvrX>cmzmjWXA3Cb*l@aQ)Qu_%Q&x#I!~o#N`vTS(3y@ii1ZX9P;#l*?J>SN2)Ohv{7LH2TE1P7Ke8 zuCEE;Sf{}~L*(!*1-A?Jq@~G+ojK*q^r@z`xKI*%ehSZFIt@p#?efY%{1eoBA@6llui*sDpDCs3r@v>xn|BN(&2|NF%Ge1ZrZPqNOiQEX22 zAx^V*z<-wO_~f^6$1~O(LJ!H=*S9Z_!3V`(+>BUnc=DKf(hkcPKmGF*f1N5xkqnLe z(xEzYDw7O3To`gNIc*c9{i4Hx+M5dlw-kQ)adB=1S_ES%XQy4laon5le()mpxGk7w z9`W_x{Cp!b>hV8`f-gP}YKNF`@~CghorSm0k^w+tbj9EC_(371!^)-qBi;VnkA6>< zN_%<$D%12tVPJXTm+5?(HzDV*{f8e&Dfp=rvF$o=Mjzm&KV4&4cW*56gGQ%o9oRr*RfuUXM(TLlc!jKC*gCxEuImMh3h+dQ( zKdA7+OAlU1B>|{;@Dc!CSQ!9H0&Zkx+!4xv)V_=YM*4T0d<6ulI*(QgSPS{G&tN{X z@)i6Zpc@b$ewxoRi3qN^UuIEp#(?+`drjk;uSg>h1~2i|{bdK_fmQIa^^gWv+}gzx z+kw48;l6N)DBQ(+!kTt}yHF4MhhDylZ!k-Z_^wNJM1E0pp70-Q@d9M*Ct-x2lCnPg z!CYx%uh~vQAFKFUsYpTqq4TKO%q<1AJduC#X&r00iegSEp7(pQWAB|r8bG+TXV4N)G6f=}?oJqM)VyJ~izw>RF0*j~XY?tJ(S z{V*a?I)D0(@ETm&m!I~&vwkEsJN^r3ceO~7G8>|N{JPGrH(!mLU*T~tY0}d-sO>~$ zIU9qyOyTK;=_x$@8ewE*<9Jg@ny($SE`*yYoa0U5@9uV|aE^U9Nn_k8WZKH)V|UuV zD|(781;cqF|Ln5>1bRz#cT?S@HuiJx*h|?XYJa~PRtP2XFq~IpnC89k5(Do3e&aE% z)Bo*p>A_2}$)Z2mM&DGBq2ody{Gyff8??`$=M>4Hz5IKpe~$0s)8_IQ@n|ItLvsfW zW(X+y%lpj7hX2!VKK|=#srk5r@DX=D;?we_y4Z!R{yB`v@qhapzMS7)$JN+@HKtF@u<2u}K#K{NchrJ-9ln#%;gL)c6;! zrAB+r$3z4w{=sipnuPxq9y23d{DjusBr}cSV3XvbPx#y9bHNXt_N(6%MrNB`FKd`e ze=7@-l2=|7afPV!Vo`3TSa2{HOf5pWNESF1Me~9!lOCO@Gd1{MeL0U_pbc(EukQE{ zuhxwVene|<3Fjf+F-fk@7gH7zU@7>6h}>-aO1f;$PHd?e>Hwe+$|Fev-(QkO5Z$Fk zm$E74Ri3j?gx^2Z|5UeDpyxz zN$th4n@|h^W~FhC|KoJXg9!M8R~&y?s)zU^e@n`t3{On^*Y}I%OZg{7Q;VoH!J?w7vP`hx{EJrD&8=~qi9>W{(WQx z$NwhncI2N)l}{wElUIBx_E}?1k(l-(Bfa%{0`8V(E|zA-)>Qmi6D*`_`LS0^@+0!R z;^hYHgT23JV?o?ym$B7uDhmobW`Z4hDe=>*@5y%`d_Ld6%Gzr_pW%V7c(3_vSle$t zujbJ|aX#+{rT*jd`6;iKf9`yy^1pz8+5c($>+QWXmJMK1`W;9&71 zVc@3XB@aHb@hNV~E@R4q!i!QC9hjovls&39I7TEtXZ9yqEAL(kzWq@BeSOvc`tu~c{wMUO=}nyA+Xd_fD+`H16GREhtS*z8N=)1H8}_D))r{si zFvzowJj2wO%53>1*DOOWjzhnMQ#e9?4-TrhTJ*iBTuKtt_f=ni$Nc!wYol}HN3V}w z5kI;#8jc^Wj@HDFUK+hDezYPwHGXtj^yBfPfoNs?=)`D6{OASIbK^&^i=GufIw5+R zgU3u8@;A9PejzBT#I&l(2*5Op0oJ?s`rm8T@sDqOGpLeCLDfUBOP+N}p8yCt?5;0y zYpfa3yeE1{h9YrN;#4NVaSQeLv9c*T~+&49Lnz7+Y$N!raWo->yP;!Z<_ezl8h4NRsckw_@@V_PR@Eb-mks@;HiP8Kp z7ar!^#?f}AF6;j7yJ>-~UoL4Q6OZX*v!WZLgs1OK;rG!>AChD!xYsR&JYMm%;C~lC z6nXp>NC8Ydo!W`qjTLaTO)|eD=&;DYb-znYd*N3ea(?&6Mstyr%)D!tcT5E&j}u)K zIIa>G7z}X~w0B7Sej+MQru|!XQKIqTLDkbIZOe1#vd~M6LBb5P5-26@VKDL;Cy@T0^gJJHi{(Uz!3VK#0yYv0C*TxZMHGSu zQDUl;R|uKseVyON%>txpwM`m^)!(wzB@EHeN?%>f^a9P-$WE=QzAjeKk#|AzRAa*d z0jeigD!>)VbqCiid8=ly=9}QJpOLMiEH$`IJb8sEh#!MswDess)FrPGKTmge$46GP zFJpNfIoGYi@5*VzkKk=eWce!gv%ia~)p!J2-s)Rl&f?iE_b}X)hbWqXPP=7r%JWnd zGQC|-b^KZWtBl@MTa?th_Tj90E3@lmKVD5;o%Wl6q3hM^*3D3by-E8Hy83zQx>4#v zF)WH~LS`5<}GApQ5^^Ql#r@2JEe{ z-mk^UHGMBpdBHI${heur3Q0Pby2W2q?hE_eXF~j>zR<6HUH1Y_X&}nF(D&%jo}mB_59{%Qg9pQXS0yTywE^!ZG6&M$S@q^aY~b4I^)bE`X> z%Ahj)eRoLLw`nKcd+2MT;7S)N$e+^6TumR=8X88Jqhx(KZj@uD0=^&NeoyztbY0TB z_NSiuu(c|`q!d++O7SVchO!{m3sIc=?5u#RAX`lFn`fn}yJG2PeoQ$dv) z*LHuIcwa{#%wjQ%aNM$3yXKkF`IZl>` zZXjKXKZk5v&nZc&K#1>A@}mOcHa_d9sV>clf5o{VDp|IKkV?-F{gTXB_Rmw9u^5l= zqi{7YrJcOBxBev`fe;0ZaSTW}Sc_v{X|KAhf@}Uu^Jy7t3g&XvGK+jZLXp3E_ItuZ zl#;c?ml@53%xG2y(a>Q~5jyM5|LwfR?qwaQMMH6x<6pi)ramV+%JDDe()y!S7{VdF zqzelI1#6*i!@B;J>lW;naN#6cSm`WZY^?RqXMDn6&bBT>wR9N0zOI?_XD(mX*rUEu z33jlu8oh>}_Q%Fwc6iqKwU9eqhkn`6dbI=FSw}|Z`*h5Y%F;XjN5~`~eQ{w>sJp@> z2^s_zO(E^_3u(XNYy3jmxy66=I>}GPh8puT-B3dq0&2Tc_zA7^1L3x@ST|IOykJ9B zHoh;B!w|4e>(oTfV6EER5t-i?)X4BR?hqV$@+Ir`hV9TAQ=9FnIw1=DB zCra!e;p~{s!w3KManS0M`stv=CkpMA@|rDb(s5Cq_%GHdd@$EC1)pwQRtl4OXamjG zk5AH3Y?9keg7)SJ6Ei=XhNmZo3-AjmZW`ZQh|v|~Qu{y}xm5C`hBJdou?fmo zm-9@(t&f$>=YhxwbNF4y(kr?$rFqiT5Qb(1LFMGX`>J%&Rh-rV{jyQu%R0$Zr#f=G z4eps8R_wMo!`G#3x|I~H7o<9Y|C+#`V+(5%7hS9kh3hqft+zG$7mf6wp3EFJX?ZvV zT%3m^6`ijAF>DPZ0A*ugxXFgKyu4fZxt(^fS+M5cBS^I6A?t7zNIg15wg6rlKZF2j zS2^KcHPbJ!}XiB>}gx>7Ksa<%hPWrFp1@m;?a&OW@xYzrVRR~JpACO?kdPY3Pe@4rKZr@ryQu5~v z+h;cl{G%Ho#nL-6oZGmU)Fke-341O}W5S-xQfaCReq}?;D#->GV37@+kTq)DEYK7h zAiJEa4@>Dodf0Q#KTd7TN0-TN6TBWfOZTQ-7MIG4KkF4qZOiXcup^J-4@xDizg2Ld zj9dKK3NFyA8HHBC`CQ>p0Al|bR}HN*IPXe#gU7dHyB99q@kf`4x&;TXgx^O=4y#oQ z(chM)w|;Mx?g{w2gJfoUw4C*G`Mc#zMHYW|y3EYL{N1kqlmWn_gvZ#20lo}3_rMC7 z0qwYFt?a`edH$H+r-uIim`ijJJMB+XOQz0<)~Rw$_KbK)!R(XodSfqck@f52XMM8w zearW~|Iptj3SRhuPM6Cm2}Ar*xWuU6-Jew>VtFj2+C9wA=wo`E#ZGS#EhG z#Hkx&1$>em9Gcn|%WWCqefvhgZ>0wLenLYN6`bqp57+t{I22$IUcJ8LkcLp8Etc27 z95%J*N~zesKDGQ4zITtukvQm5k#9u?TPlcfH_YmV)uqextCue?S~ZD(y>8hP&NCULOsFC+} z%QV)w)mN8E?MI0`QNF*aD;K_xBLvR!^t<_^VUdS%ZphA1OMXd9WV8<+B@);o{%z>& zL?h;QUH@j)KeKQB4Tm0vRZ2H-w$Kw}ZT?-;jkUw3Q-8zs*8J%Wt&zKOy%t{U-@^ZP z{hHqb_7OBjo;v1<#Ijq#NgeE&m0OMG-*b&iqR~v)BPu+f=!kx%I18F36U%Z{^#>wj z4F?qyz|+zEQuHF`6IZFNfZIn`Pu&|EL^u+#wkjmM4v2e`L*ipt$u@>s#xN19KHB_J z4D*HbJ$tO0iK@XGBYs5Tg6l)t4o*Vb@)oXt-L=2s#1Q2>#rz^A+ZlCD(o@q4{;6({#($)+m?cMg&w}_ z(!`wM62V~<)yLwP-3>P zHZj+ioRF$$g!kn}_sb{AutilvLKY94HY!V8?sG`ou_=G@o*L_gT5F3`TtqaMv$1Oy zJdE%@sLFI;xWb6<7v?dILc1=#T0;Ln_81lrjJMI zeYDsctZ@&j7j{AR%uVY*bNcC(Or5dzs@hx*E|1nUG!Z~`X+zV}+MIvVENUa(G**7_ zX-s1*-Q!!M)rWoY=q2m}ws7c?+~|aqJX~XJC<>wE2<2^U-g;+IZEj~M{_2XF7O`rE zjd!PF26ojh?j{U_`&(wxlQ&?~K=s-&oVa&S*QfG0rhmuTwa) zvpoDS`Y+EP7`+Yg)?1_VmHiE^D`M6i|G(WL`yJM}bug@6fsmO?6cI(wQT+~5&6ZnL zzf&q+C6%JNNA{^)l>=^h#Z~1fGxQn31t~pON^zq6S)canw0*~K-z+Ut)gfcVVAS`~ zix?bOtW=dipZuRUnqCd$DLrfFAH=^QR)wRCuJmQ2-DyhCGPw5)z5jstxAXY;_t!W6 zqxkm><%h;-e;THYh~*12l;(im>IEVK4ig^o z>cc?ne`>!9rerMbWK2GmIT>rZ$oW5`B!5Z4sbAEJm zQ%^qj~+0nVHnq)V@noF*NlIF=l2EqgP{7&$#IPrk?uf+@_w- zN3Uq=`9d_@)YA~HY3li6^s=U&8=_O2dTx$>ys77wXk}B+tWehl)DxEX^N#o4wWmye$+JlpiY`uUE>)+(Z{)HKgw=RF z286l%5%?pgdYrKm3zKMbq6ICvPy*PrP`qkfY@|FI)R8;Reb)6INz>e?{VuJpKfDf>|F2J#Pl=D zeym^FTAB@6?GW;DM)M(FH+9z=&0Tu&d87Fiz4!u0#_2_a(Y!-1zGyT*p%*t8&5!BD z%|UZxaa#OZ>=NKLT&R)UcCyn zTSvAerUufyM&`eTeCbYG7aUq@y4!X&bq_J_eiECJ6f97JEt9*?TMw_mvjY^ME3ugr z+tkz@mIay@Q%A(}I&#Au(|s3rOwYZDWEJtL^VWS{Fb}?PO&jy;up7{gt{?Hp*+l=k zaC_9j#imi0=?jzeU!bu7XpD`QPI2~RTSx99t%W6@M@{sQ%5teFxxhi?+m^hVnF*6{ zp7KLGa&M3V&c-BJFk~=Oc{wkJ>m4tHEmOUhW$w$<ORJMEu&AL8+-X85eMDq1~t?^RW zOHGcy`3rJdotsD_(CvmD{aX5rvQBy^$|JAOS)=;%&6!c9X3G7ArGi2Zk53s#;swQn zM$N`73Z8y{^GV*{I`Dg`{Y+!ckT^JVR2(#D#6h7&aj<7Wyk}OwlftC@L@j?q^qe>- z_l!80_0%}{l+TyQ=kw)r;8H-T-$7$;f5*n}bS{ed<98mrIA+A}{Cjxd&M^gU`P1T4 z>SLpgHCK``;il+tN~>@y1xKh>nZ-*-IYqBp7J&&od= z8%9_gy_Y*eEhT&>FYOfk&_AR3C>y(vA{YrOgqlHv5Lt_lRF992uAUghR=1@^?9{6U zBR%bpUp78A4psN3S2IFPrKBkFe-mnk6+K$4?Nd}t>fOc~K8TK7O&yFQtB$whmraZ< z;x$hx+%khB#ii&Nm%pIhe&xqQe-i%v-@xE2Y5}*|v0k%;S7*1_yTga19bx1dgIe&j zRWCXvz@tvd`!Xe>?0T1rh*cCpdQw>lTdgl7%is@f5sRM~%+UY>8$xs2xdRtn8#C)j zE|_4y_go(vD+jWCL=G__D&ANrZp`ti3yhVkxR6g;isbuMLs)H`z_)WBYPsBJtZA=n z9l8mi{z4qSZ($rJ@!B}d->2d|pN(EAXf7gHZ4Lka2^Omod^R}_<2X^!+j;Sx zP_#@Ceg`)Ze2_a7>h*K_r3P_|At}*4ze5y2XVXHC2Fe6 z?MKv35u$cmgEWafl9&|;cH*(JO6i1Dp^o+2?AcU_whQlxuyt6pk0WVStbd&pj3mC$ zExrnETzmRF@y}ShQ1t<`)%oM+?#<}b``M@+@znp8K90R(Y#8qNzos`v^Y`FijSW{& zqQmju=9TEWTb|KjqYlaFe1;h;{G1n1%DDX6z(?TTIC`S_qx{Z~MERRjHl%9C zF_PM}Cr4M`6f4%7dtt@f^OA!?>b^)f1*>@QfTKjEdQlYJlPkyUmZHdV zna|a!sA20QI7Utrca=w0&G!r#gM7BlQ19>cW? z0uT-C^_i4%Lf=5$<7%$;vBR$Jr*ufYpKu)-hkkEe`?H4_)PJJyA47CH(fjI? z^zW*Z)PL3S>UYL9@+w76#hw=%4&hLC#@LX1WG^1R93~FkXMM&MWS5nXM89xN_V>=X z-M#KXbs~goWJ0u9WB@fwajt+0D!q(NQeUs~!==1yW;)IXAG*B9si7AZbHrBqe`>G( zrrtZ_9%M?>^OCxd`4LW!?;20?-q&}oq>ilbU(IfJfco1{^8Ue-y#Lur-nX9Q{b%Q& zc>JN0yg%zC?|sL4&w(5Wud=DO(8E5PLiS_&{ZCHv-k^mOegDqYCw{;4B<~*{;63_& z;>n39@RaVv#Cb}828AKm%TDQT0?fx2wpm!?lsATIX`95c8j1uPc~;e+*htN-LgoT! z#A7rG=dm;GlfBPn1m!!SKJsM>mK5Ipm-{o}!}ce8{f^8#@%%r3lJ{#*^8Py~c|ZCj z@87xV#QocHlJ`G2$@{xc^8PaezK?M7=N;dU)kuO<5 zSosJI!p2(%9Dj(*z=7DfRkPsFMV4d%pEEWL=C#Otl@;w-wdrNW0g)eh)qmfo2BG#p zY1d84q4^zCRCi76rj!cgz^IV5@lMZX1*ellzg%_SJF153# zwnr!FAm5p*NbBP&ue|2olp7mxx31$xhHpFm>0DOWu~XB-H=P~Kz%QvP1_zNGMkH&Y zI~_6;G}*V`sWE5&X4^kg;~r@J1QN5SSJw|ej*LCk;7-=Bfn9PzLlY}_p0Sn{T=hvg zp-ES81(&Sgc@5UATrx-T|0e9@ZL!_P+QAh0pR8h60MQ|9Ko!#>!m1OmYuNj*FI=YEd3V z*2Ku>IlM{!PW(s4@y;PNmL(4@-a4JCzsZT+AD=otHZp#fFDF*K4vtzlYw43nKi*ZQ z!=^>Oi^fP4U7HluyeiuJ4RR~J|8KcyT~cB;?Zi83exb3ZE@zb}!@?*}5W@!1`5Dr8 zB9xf#k6tMtQ-_w<@ek*kurtgvPar%bpWryfqGgxL?rFX0{Zf|e{abmJ{6+lIoap7e zbe5mRBJj|X*UnBp;#DjWUzRgIHYkoGW%L}`5BIwnJdid-t1LlRugGuX<~Dv7Y|>FD z_e#k=Z!!b-uKK8@@UQX0-dVrRQm4$o@3;WHiW?H)U)WT}N3U$^zARea5J2X09nd!d zP3^h%Lx2PNZB_oT2|e`FFy&OtDHs~GOv`{}L@J#)bK`by3xaVPHzChu8$tlme@eOxDxbP2uNwzlXCoxCkpH&Jta3S{p4 zPaZJDL`n1^hMulpAr<%GW_!Jtd_V)ecjXnTI2)sAq++86h@|{sTzeZygGMUUK7-hnz_SQiM|H=5awoVRo zAzV2>bfio2aO;t5yEt$vudSw{v!*qiPwmwByqKh_*`E1kp!1G%__&#-MDHe^A^Fsw zPjf*{0bfm#+y5-;ac#X9PQia7)8FVV{rjpN%;f)ECkNiS{d<-3#QS&bMcY zuFsWZS=0VPJvi?GE0N@z5Y4bSRUHxi&8=qZs4}rTA|YXXlt)X%0ttesL6e2?FV2*h ziBV;$FqH=_Jix7A9#aOA-|U{k%T1N=yK=rD1i2LdtLRwhpJ|CQT1Fx2;pvXJfVCFI zY=BT>6kY81L(TJ8_S)_HTOs?VZ)P zKO4!dE+tPoB|XxmJ#2{-e24G_W)pz{S|g+B(AL;ndce@}rf8u4m|6Wv#mlNp9Tss~ zG(cGn)%LL~fECy8vQv!672A5ptL4F2w{XG)=nmO@Bddygh>LqOYQgk+^=j`L^0~ui zX^U!#evjd*PhHe^%dr=IG2pAG*ahNZx@&ptRL8I9a+;OJ?o-cGn}wiwvjaDWua5KCCdYJ;;s z1LKzme;ZK2ZgSchT8psyfG04#0D)hr)fQu#0UU6+4yt=H`}9OYxN zSJrgaTKTKm?Lr=yaz@O)(2-rdNzaw%A3pOR^ACR#E_mvUe#$`^59=ojcbf}S(56z` zlq@EQCG6^p4(G(97r?AQnZz%>?1T8D1Bm3gR`4PAa*6I;kch`yn*w{MI%0413k|Vq?3TmwOWPS}tis3Ow zH$v8~TI+fFxCnmFY42JJn1$=#TbD1`XiEooj(OxSKr&mm(|&EHK=J`$C9B*bnRgw$ ztFv|x$zeTBxGCA&T#l2nrS`b()KhPBuEc#*?D3-yxvc1sH&WF%QN`rL$hdqHln|G% z9}yAP+FMugS1-R#$jUEEL@GJ-ZL571#R=5x$jO;qKkD3Y-m~$kMaIfF4WL{pirz&k zVfS1!^kkRHh8ro)4HB4fVPd9FDMp}u`5B2hPKMu-bh2PzK)<8L=aj-|-qFE_saBSxK$(cAxM5x0*2%%BVx zt;pB7HLZYaJyFw%J-SvN*-RcUT$h_kW+Ik~&daYZijDD3g%ec1-SH2hPjWs8R%X?( z!T{pff$3#?*7#I%5T_+%2MRF0?e>>vNh9uRV|VA6j7^1R(_1(g9SPU7*zOx+rDSlG zbjqA547mwgJM1dHiw zTCH@~yY7u7UHTlBIN_}b;0T$OwZ`LHjmP)cKD;E!^k#5+d?j?q6?#s@>q^anw#LjO zerq21g1EU?BBHN>+ujo!HTkUuj+R69G)E}1B(A=G6VF<4e%%`VlUNV-HB31#`l88s z0skT~siIK^bC~v@B|e|`WxV<|uk2^#L1Nnf;d;HSHH21DVn8dC=NfB;W{R41Y{TjX zJgaXX#NXw&7dKcTRd9(|^A}_7l;EVpb=DIN!0PO5c_Y7!^UUP)v*4#10^PB}K^3xd z8?5QBGD5y5yGLx4N=l*DsxZt^gEfV!Vw`VAx6xOWn-wpR4+X8SD^3kn^~F0gqqU@1(>B;K7bb80sx%9DVVEX5-ud((8$A8{bq1Ult4NdQX zRi)NzHxd4$zv-HFk4*&Yq+?D|z>#jQc=iqMid4yp1nu7*VgYb_Vwx@$nl#0wNx?O_ z(TUmn-PkZSXl&S#w^O2}g1N_n@xQFVGZ{5;@K}ck_Ae`SI=@=*ljI_>YmoW{f80o; zhZnKd;Y?GLrKyRTrq02i@B`JqJ>b=U!2Z(=>Q79ws9HgXWSQryI{srDN`WL}ZNOMd z-sbnJbhZi`0>`3x4IJbmQkMuSbXb@{*MPuO=me%Y>lFPiuz$^h@U9O*mk)vMC{3jNXY=a}NX zEdSzR`BMI^5IR$8zc6OtPkXgDIxM1c$`{Fg_M^x7Ce_|YLX=Mzf9|y76j%OE?Q{Qt zJ@TJz-}`6RcRYWXu6?if<5^`tXUg}sZ+6}C#)m6^*#PAgKMQVloff6NY}_r~Te#03Ag1UHzq>vT-X#G)s>mjrP(PT`%>c+&R(LPfi@GLf2Gk%Dwb zh!ia-TIwp^N2-w7tkT)b%%3M;j(J$%rJAaht2oxsk9)~n28DvxOG zGOm1?(Lwjg2tY;;V2a0em8i z{E?Q$x-TEZ5Xgsm(#i-WxEMT7*LhB7E-Q+Cw6|1KK}Q+!r6vdcrTh+rK_*?1qRhVXjqtOsow%WOy0Wxy z!noOA2g(MPaSn&;k7Z<>`_(m9WgK`XNuP8TUy6(q(Hi>6IHvTYmyDAo-Yg0&2wlJ6 zT2MNv6gH>sQn*Qe1v3|P-OlN`{Y;x$Oym{JM;w|X2)#6QC{QIH>Uwn1K}kcU_@0W! z)h22=&h;uza_Y@}eXUqVPd_a?NuG$ee&Z7Yco`1L#N!`}UhK-39|PXV_fe*92$NY8 zW6et|+Ylzvul^CI1LVb5c+U~;6Us6uA0l&8O0;X*T-oQmAI`HD%?ySuC{d5J3-bJ? z08pCW(++2tJ~wZGk}uK7EOeQ`XNJoNRGNGRAQT__Bb@TalldZFE@XNuKZ7Lcx>HOL z;EL0VjOQF3Pd#wtj%Oxz{(VTv4ChrDPNek6YqUt$;=K;xe$!wkVD3_#g{vEnEif4{ z5dtb-(K=)kqhTo2!oMxc;kP!i&}W?Y+p=90s7)-&&8DB|FUa=XM#t%qB>5e#5ooCl zzK5*$Dth7pB0YXc31fpk_dXyH>fW+;9$TG!cblu9m-y}miSPcb#CKmO@!eNTeD^0M zzPnE1yUAaDb99EpcV8;;-BTpK`yz?&zChx;$4h+oIEn8rmH6(l65st1iSH(@)FzF! zHa6r*gRyVp@EWn+Ysr;J^uem>ayHfEZHYgY8qT&FoEN`FMz>dk0+YTf=q*% zpcF_v*y2VF$glhS9vu>nYacLd?FyL`MmtM+O1R7hHhMPJHlMDOBudQntt&V-|$*weg%#LqcmlfZ}jv%%{s&r8mtV>Z^ zSbQFPxYbRQ+KU+Ra~Erq=eS?V(*eGEP`)})C-iOBHaU6l#$zFM?2Z{=~od^Z9PHU#{`iX z*s|=upqG%!Ox)mfeM?&)N`0bU)Edp>^x_Lf^J#iP-1+(%dJ!|4$LIy|>V{qri#|v%!bbDo=_RqSM)P0wqCR#eL!aeT-$Yd49nn+V0fNIL z?=!@s`W4~s;+00sQEX_4bG>gen*THV{tlygw-#I+t4dXN0hLvREeJ7T&P-ZrxXxCq zYvp01`2ju%>@Xq+t+%`G)sN>&DAHnM<=41#TUq%PF2mMv`QE+|=}#&{hnIDZc;ZgN z)wbC$Phv6Ok$Q0+$O#-XB1fnfEliF(o?OQBcg7<##Ze-VLuuh6xQF-(f__Kx^Y?IU zSe?7Tq>oPyuC>l}zn!!El+a^*M+3Eqo63A$Z*ZMi++ORx6cxLi{eE%gJ&TW8&hdw7 z+r!wh{54>$8nBh(Zh--Db?fz2t+mvD^gZfllKHpy2i?fP*fV_F0PGODWvQnX+o0( zX-ia+AaRofk%FIvk5YV%AE6+5>`y{X+N227q2#v{0@^DM zzgTGKhVx|v-7e8ARWiBF{!eN_%15y(lyY#7;{=|t4lFyR&U#9XrO#q9ar`UBF{>!T zN{Q=pL+>wT)08rpA$x`k{tTy85^!9 zZt@QPFTP{Gq-_4|$5bAO78x6`SRZT6OP)=V|K#b_<70(e@?>KsM^s-X*O$q40c=?7 zP|y3Bykd~VpQ^?KM`<$uw_-hq@Ch>+WXPI%KXvb_2{iD->wn7Mk`(mr5L7Vv7VVf8 zM{0pK?&cc(POJiLY;;tb9+?gw_;?okTPn2_JY=dx(Itm|?PC}z`Hu4qL4czBxZboz zTSai$S2gy?;9x-$)ALoW^gPEX+n<9itXZ`yS!rx&3v@M@LB>4TMoaA{?xI ziA)iJveWZr(m8$E$*bj*fcuadrBnDL50-?_Wf&cmzH(23kv)x7(qxTMD}E07d^e>q z_NRztgm79hRyN7HX$8@*NISPjDt3h1dvY;=F8`MZyAv!cD3;t5;d)#o+t?M7+OdB3 z<%#@UIwx}!^4c2;FA*3N9Xw6CALB4x^87V*(57~9UuYHn7y~$`*W#OToLAn$GR;{F z>G9YK|8CL_;Frw&3V&F=T;}=rT+~<$q%9hC`3?00x;E6O> zfn1H3t8uBePt_`r(?he5GqSBXNUvikg3kt9r)FzV#U>WWyA;!e3M(5q0>`z5iD#sihHnZ}0M zKF8m3Hc_|T^JABY;w{N^{3$j;UZ3T@zQKEqU*4u%sNlNf@X%xMVmSm4YwxU%;Ht^B zlMa7ZHg9?8zkQ)2?=u5sHCDIgpcj1tiPfWXg2tM+14<443o)8|c@m?Pn9`Jh# zt_pfMtZU~95}LK z9Dia%0lO_G5K_LvFA(y8499qQ2ak`Kc?XlL1yU>*QqC}syqx6peRm6x_ zW3?|CFvTh_Hv&yiUhIBHZ(lt6ohQ_O=Lx;v`Qd8xJ3oki=W4s(`LI$&F&2Fxivq6)P?~?L1aH&;6g1ECIqFpK!jRU z62kC*TvhF{v?PEl_^S)Ww^drFkE^jC&axf`$1S!WPPQHnmZG_MfKHt55@|ir+6WMD zh7ZCL$g6oc`XOEo$2S%5>KomrQO(z5(TPxJj4>aMq~MDRuXfHt2MH(0(S7a=`^sZ6AX>L2ckbp1NSo0OUA90rce#D5_-iII#v6@;Oy_MO;#jxIw&B)H; z-Dc~BiUT8Jt=EEkaV;`M=f=qw@aLtXV^ZG0*bjjMoz=02^y8Kbh5bON9@m$|T2Iik zcj5`y=gDn24=WVmIT4Z67+y!%+!2eSVRFpMHcV-$i!8?a_2v+!OmAZ2>;m?86jR!u zd4$t9*`GMw##V#U)T4yT1&*E37EFLPWM~v+6$V}(R9^0=NF9T>s=a{o9dzG&COi zTTs-L!?4O72-^8|yz!XYheyy45n!X>@pJfM35KDujM*o3plNKj_0hyw#u}taWbh%r zn1@@8=o?PI;Hz?c84Hud!CRO5F-_|9!Q=SMF1{hqN2tl$FvCYS;mM^(speRkNp^yh zU=C#kZHuWPwOZPL4{xSxj&L1PC0&QKh^|Abrt6Su={lsPbRAMLU57M>u0yJz>yYy4 zI;6Swbx37=4U(=6tfFfJ2iD-)z-wzeuJ2%SdXG; zBgnjh`fXS>-L?TOhYvNI?xPYj{K0SIvD$oL*5B#v&=0%uDi7MmEo}YC)W#pc4l9z3 zB^XBURpf-COFN47hdDXhYBH6Yiuu>Q$5F9CLzLHW&K#V2rLAJ5^SxF&IEssj5Tj@_ z@o6@gQ5fyq6^V^yj5(G*y#m8&tXu3PGen1DkUq;fT88lYld!*{>_NMN2{Yc4wu|5n zw8G?UYO~1)xK#>Y?w}fc{_(tqRq_(g4b`}6@%H>SHn%UWFcx4z0W;b2yWze~7#%9x z63QtG+)x^d6lLQz@v@dsq{rKs7o*NnGOUh=dK&6|sBojZ;paTJ;b`Z;+BB91#i*St z^Ktz66+ElLv%`J(vs!xg7~78!t^ru5C7isyCwj8SzmpV{Ha)u%_VPKNe7qrJa|KxQ6f|3=!zoysxORbwv`R6KO>g zm0zTjvKIZXJqp450=x0uBJA13GJMD}h`}zXMmgteeE)#?bxRSZX(MV3CIOglZ={kh zh9+39qeWcY|AyK@yYesEt zrDYy|@CjP3qtZ@BY~_{hEqoLKuPB^{YQrOWLR3NebTpFwIy(bE-3<_l&cdth&d>x7 z2mTi80$hwrvZ>Gq)dJ%@;59$tC&tBn^R&}U1;dYI^Of75C{y7|?4@D~IpP4$nQ_Bl z_i>hma0Lx~HRnAq{B|#%TkDO~$iy)L_Dgl= zXwmVW0k+S0Zhp+I5=H7$NAVlNR{Bso z_`};zW7o$Q>a;cF42);s({VkY!rIst`iMsM3pjj&>DCJT1}7gk9qv|p99{y)iJvjo zwBz#~4P(R*@z^f;5?`eQ!Qgrw_1LNBHqA%&*y}cprco_ksvM zcSZx6g2+$Q1w=2^1~fVN4DH+3cOLw1Yv;(jgWtyOD}8v6MFT}@xN(0T4G{4L)Q)sy z3>5bKFLd;DCIh7+%==%cjV|jJi{d?tvMp@E@km$MTLlrkU4nyMk!|>tTrA@zlBR~^ zH&P8h2^EU`Oop{{!`vgS0{Wo(kNo8vT-4eX<>LHTJD=mjcE+lGJvc zT^=dND9O-@ceQCe|0Krq_WRmxp=jShFpQU^Vyp1S_#gBIPJDR~;#4*$eHb>#E*qEE z;kh*i%pHu^YF^}9U=Mb~X_d3ISosM(D}NC^F1NH_7pJAQZWySuv<&oa2k6uh1~@o> zwv3yAfdXUyLUAdmKZt!9B&_GkLA=WIQ>4g}g2*dkxS(W>HFl>Wfo%GqtMfWHgQoo;{#*U{9@$;6IWp;)bjlVK$pCvBeDY`xUNu6tzeJ5e zwBJO0ogmcE@8i*3FsbW9H8p@@mdWP|fzW3E3jpYcKU5-;ek;)t(j&En|NoHPjJfD3$s& z=P3ZsI^w~k0EfA(0fNgVarXsbtj^A)B2(Xtub#PIXW;q8juH4sFZ@fsSK3^h0O#kc zgZ#w;FyUpW8RBSl3B7z9>E|cY;k;4gylp5AvIz9$E_tB`F9N|z5afMBzxPLV@wIlv z39*KLuj5ZRcVr0_k33xcN#hyp%h0QnX!ZNT6Y%iKI1^J$j)$k8`Kc2~;ecYc5~s1> zAl?q_Y%)DseKN)t*f+tM5Y#UHyi_`U;jS5V65EWp{{*@NFpDpZP+HI_lpx(fXUeL1 zoXo;{k=2Qc3#aKMc?tWP+S|yej+79p(v?t4Cd1S;X=*mi&*tUk9h~38TnR>ggFy@S z)aCkH&nLx|*Uh=NhGVc0<3y-h-kmJu<7r+|T$5_WLb4CzN6adyH$ZayiwDCVI+sU< z6l+?Mt=J)EPkjD!jGZSe4v&-;KZR(d#WRiw=LYBy61O-YEQY^=WHGk=GiiR8(B5rm z6>0A=GZ%;9XqcD(<U|^N?Ls@vA9GOX2LW(3)#s#U(K_!-C@RP#jn znuTc5#O#`5m9MM7F3Z=IBpLN@zXFyeC|}P()K$JNJ#3Y)f#|Vi`FaBPW-UKsXit%!b-21-`szVf6Zly^K%Albmixd-&^_lN>@8S7myR9#l_q;KluKG{Je-a{=B*TpXKMNbl4~| ze)u^uKktP?nV%D+=}vxjq$F^o&xg_fS$;O6eLdRzjBoF68VGyi+WSraM0wSms6UYNKQ=%n$U0cg#7TeFw)1D4?0&N-vT4H&d`N(!D_D1FhZItE zJnws8R2I_8HtqU3)_U;^K6*_F{n2X}Wby$Sdbd#Ymr@NMq|7Jz2!n=n(R_U z;|6#Vu7Zp4HV~Vh7mIzEf|nV1fC^=vz6cUoVgGG zwtmyIm=U8u@fE`2z4QoQBOFX4u7o38kNDr4$m8$#$tgU39ye6KBUJPF3q}0Xc>FIT z{&x}CioCJX7M0Tq~54+Y=ZWifppp zsR=8i{9+u>nul>^b)e^2)jN8gwG^L&&-}WetQmumA20k7t;(Y>E#1+Bt|NUky=`xb zkG_^0Eltn8b=-hCJ;KJnT{5Ro?P_E&zSH3B&Oh)q2rcMOq{D}_K*EJ zx~}ck&OK?kkNdyqOTAnu|GA%In0w=+Emhn8)$@zjzUg^scSOAzo`$!J+d}oPz~OZ5 z16jM0?vE^pY-+^;`Ax0GLd6}=!xGemP-J><@uYdJZiz5Mys&4bkK2>iGbRlBS_nS% zED3HZjA8;(5V;&LyBJeY%(J^227+;2ntxu!qj0X8mRF za`_a_*n5;qcYDC~V@xsaM{3AuY+akezO3L8phVm2)K(i}@%L5vpGwZZ=a8cR7H@vc z?;iuJ72O-~cZ2_hGtnb7_?tmqej_$89sN^m;BowS&Cm4r9R54Ve-G!s$MN6&`R{b) z`AGivt5`3N4Q%1RU*f-4bm)L5m+;>q{`)la>-g>iMr*NwY5aFO|9u?)-HZQT$A3S~ ze|JOc9UIt-|L($n2l(&%`0xAq@4tLc@jk?VujRk@@ZazA-&@4c=m(uU+P#hC@+$Gs4$v(C&qIduw3k8yRk^gdz7yD^2;HH zH$+jHCLU@%fmMUY>1zT6(558j;xr=RtIL?I#Rk4{n5^2!f3N4iAK<@l=f9Wp-_`gn z$_Jl!rZ!_HivAg?q8S);9g5+uaT;MX;aTf)v7$2j7<_gLs~~r9?qxQ2By}l&GG9JH zrv%9w3PrZ?>lnmo*S?VFODX7iP>wmbKc6PSn12Sb?dRjz@4ZIH}JT~xlUd}O_E=C7C53OP~TE(UE$VfrtQuNB-@K@jV z7Dgv_F2D!HBinFtk=+kZ=0z^Y?V!^;`wr!P@9T`~voMf~1XGI2!kvHEQHZ_L zvMq(Dzm2a#Uli$;9*Rs%kAzY}ohGGxpWCTtO^=>W=60&z)fT#^u+x^p5nJ(0L8`bBykiU-_UI3PtH2M!iQzRryt;^V##>c8rM zmH6tVJqreZOzb685Z-TPt;pdSIM{%pggDWFzO1kz6v%4`LRmO{s#J#WjelBDb_f|& zU$O-<|HL`P53!+7d1~oS4-MXF>CTO9Z~c;%L1=FX+OtW!HCTxaJpTtO7kj>=Qb*;2 z_mUJ}s3DYM^`%+(#yLN|iaPn@h>seIcQh1#oSXCU^(SC}mm7O8)Cuq0VnaOkG7>bP z^>aHqqzLJ&;mNYzB^7z~4B8`9r%CwW7b*Zsd@N%w{oUX%&g9Kw0THZ~_@fg$w4NTS zKi$XX)2oQl)YTyDI64)Mdt&JCF8r!to>ds9igd{Gy|i~>LrH+{0}%TQBQRFpg_lus z{?T(~HY|wvb5H9+pAcPjI@z-&FY;A`e<&VRgd&{>X7L83IHfh6zBhgHGprNY{8Srl z?Y4z_KGyF}wBfa%;bb)5U5faZAzXi`V@=OzPJt*p0_*nv5sJ|u+z2R^apgCdjNn{2 zeYEs2c`fhiu^>^ec_&)`U5EiIr|r178-NCujcDmMh~E&G5Nll&#`F@H3KM2 zKKs|#_yea-V;JVA_UD>XIF{NK0nTy=EQL8oYW;;dZ8d+!JBx*p&sy*1d-xe(jb;27 z*WurB2KW01APwXHj^BAXU-ex185w!Y_(u@l`w#p*qM3j5ss1qbLpLLA`zeC8KDVc$ zU)i3T4+Zd)Upi_kf;pROdI&$gi+N4!1PsQOp;RDOD?m2;2ZIN`^Rab+b2itm0K-JV zK>Z>64IXdy`@uzoDcVHhQy{x+Ril0^?adFDV067k9DXWg;CBhU^wXjvAclTfi{e?3M2hSA)+EE$DuUA zl^c5(`-}eiSf|?2w$_)yjBew#(_fE#K<_osGMNAHnZm|&i_NmNwF^>(?T^j=FEijp z{D__w4#WXy+Ule8Fu1Msi*b(~IvZDCy*Gj`1g(5I3KGUM z{C9}|9**BMW8$@i^rEpoaaydBFp?*MtAUkb9d5EjxUfYi5^P!C$nDi9lW;IIxR z;zb#nCuBn&3TTZP5Dp^bp&;Ndyf5S5(x2)Da;FgTC=^)2ry+U?-q@y(-?g4br@y%Y z4gNb}KnSnd@?d>48X^Cw^eE!LmPmuYwI7A7TcQ2?p?kCcB|Plf+1I?;{~Z3JQUrl( zdXYdL9d+~9%D<~|FXw)gG5^_+<2UND6)4OjUW;YS$8&gK>KSz4tpb7i@m;`#!YBA< zfDi2kT(g0q;%f!VN#@2)WbDhafm?td&3Tkx6nG2wh9rpJcvux!qlU-a&IXEV;O6qeGDUbs-DxXVS+ zLSJthkMxiHqDNuQJBzzTa#K3JRG8DU7@u&%TRksk=I6Y=csIqMtufH}iLQl@rtTi| zBPKPkvH2+2Nb6}ugRx`ULQm*>1(f`rk>G$A*(pD?)bHxRcgo~Qs~TR74V=w?7xLc| z@Ed7^ZYuEUq5AQE8h~Wfc8O&~uoJ9|BFJ~*d;@a*>f=xX>dOb<4l(1M~=0=V@mnnPjPXfX8fJis}oy);(aQ9!47`r@(mcW+_=H{Nd&;4!UKPw-Y= zA-1rdrWzc>B&6{49igX3$mj}l{#l5jU13ANeaCk375wln{$luxq6$)n%SY3fKv8U9 zi2okTf4>16Fuulbd+MY7p^We9_v6dXbjv!*Oc8GAL_O_YIJl*#=lSne6h`_Eq#fC! zoOhR;FemrM-M+S>=xSeEESCFfCwf}jRv3XSY{5)-Q#$(oAioEY-nxnwg<1c`RJd^F*jiXwg`iogp68WYi@-q$ck!TBC!*X;MCH>JIgkN{^PpXLu zUKC_Abr3nE;vu#ZYZKn-D`EYU$Tu0~!)&<`FJUuJ(TtC?Z-P-i#Fn3AmYoG;mJhNCI;hJRWwQ3USlaR| zpD4dc@Qq3ECKDdr!qY!rGeJpzw3+bhJaO1R!K5E}e-keB_j%Zzh47N|qu5gvU}@|O-e$n5ystChB)`glN0C31|7sg9v(T|W3i+W%Jd>pv@obj% ziFf*)%?k?B~Xf{)hv-ElajRn6}R+V9{2bAGj#X_ZeeFQ`8| z<8b~ev(<+dM)FIrJdDvU?0N~jBi_N7_aFOX*h-S6g!4}&flTUjBUj)c(ML5{Sb3d& z{S~dNzs^>Jp9?lg!A$jgwmAKkuF8jTx+sXluyi-!)KKWf-JN0?7h+&a3#Su<_!r{3 zrXb0jpjD6} z(m`_%+~0;F9QV`yeeQHP`lD2S3QhGTn{CvM)6y3$%4W;|q}t|Ae+g?Z@h-oSq7n?_ zamZ}gmuS|kM$55J;JsrR$C~g=cG-1m9B%nycAEje@kN?Cv3~^Ht!7h~zwyPV3BTKM zgMvrN*{qMAL^m#yIQa`Ji3xBdai|H;WLIBnCozG1G5f0lmr4BgJUfY$P4-WmKAGeP zXWLQQy!1x`{$_GfFUJHA{%FxWHguL-tE!JSt-bw8@&{)c=x{Q_9%d6v`lGzA^oe8f$ZzU%4sJ2| zBgnQH@oKith-b1@M!cF;8SzXu*@Q>=cy6%HqLx=0-=ls9*qJxk#WD`R@x@|V;oh^^ z?Fr#Fg}(^%aVY!nMq8QY**Jb-Uqn}|=;Px_uJRT~WgIT;%hT1^F)RGY&&EC8<%{ZP z)$hIggJ{uGHra?*v!Mo@>hHlrZr`Z(q5R{5Y`ZJrY0&W&Z#Rp6t|=2 z4YD=3d{oz_wSVBK^VSCqAy#6*$zK!VVsPxG@o;|=F6IkIR%=Gu@{yHPT}6_Pum3Xz zkSa0e*zCyI{Gq5QPu;s|Q*Il#^x>212 zqO&MC;!1N2m+>gL@W&)ic{#uGz#}WEItzaxeH3U%`m)*K6g7QHe86^U7@4HtBEHM- z)AC1}Z4-qb>04#g$J^g4brw|~^WS^jktw(wZ+glLyt@Y;Sy`w0hXUlEO!nb1UjE5s z8#T=BAJV~c;!L)EvbzE34v}Y}-8s|G@w2j5y}EMjZWF z7u6Ro`$heFL}yX$%VI-K`bBxV(oKMzp z+npscX9dyqRhjgmEKWA!0k-XT?#LAVvi;Ll#Nn--)#N($J+hL93wHZK0jeKaY=b7C z=mXZIVQ&BM@kgIHlRf=|`hS-pedtfN8F8$SOfc0S)DI($^`Vmu^1OV~e2CrM$7WOf zA#gcgsX57J@k*~K@0UBebpN3TM`U64;hm~al=#qorwwq&qTtc>R6bX0;!b|^zG2(x z2Kgeaf9t|9P|**3f&q{4_<0gebl4nu8!ur${MJsQgVXq-J#MhB;9`CESw~j)AC+Cx ze1z8Xmg~Z<^kuS{MjY$k2AsxE6YzS4J_2*>r?rv(2Au4laH6+7t!Jj4=Ehy?VbSSW zKtn{Yj5$oluX|%(@xH#*er*2}ig{iM;1`{~IawjY61 z6d67J4&)GKn7HdQ7EZ@AfA3+3V>mH{Ek|WF&0RkFlbE$MLfNB~A2Q4LfqT5Gtq;N4 z{e#Hb&xExX3Twk;+tkh6TA2C^3V!=8H`RZ#WtOt%#v_)$9RKt6qYStI99-1D6t;n` zL(tNYSRdw#ym7cMsPe1&2oJE!R-5ol)=i7gEuYN>8Szjot*;8K$Tl2oVd^zc% z@%+wnRa;&9?`lT-8H$K)%sUTi-KK4prjIvvgMO`+c?XY74^a(b7ipTb@{YA`;t8@R za}J9o>(=*N3!th#vA%lvfNGgrAMup1IhMZF27SE0Nnu+@t3FZUgI(J+%;m45kVyY3 zkM!TQM);H5%JMX;ZFh?GbG{yrRbi~>OQ9*zmy0@ z@4U^OSk*qvPmMUz-)xG1DO+xo&tfyZ@koBPz1~z!>rEvrD~?<3UnoC@n(Ptt_tpk? zk`4L0^94itguc~D^+onY{Ga^GkGW5KgMT~za8B!R`~Op%UyDxXfslTay=Y$#-s(=g zn%^OIaHAo;Sf5;HlrLthjChz;8S!FPWsE<>CYx|MKh>j9(kI%J)?3^z@XY^ewlR)b z(FcETeBSJ@OyPc(`Kfs!dcnLfBt$SBZ zmB;+#I}<*SwHxJeKE@b7`oEPX`>WYxqyBkpxe-VH8FBP~&zk(dl+85Shx|_>-`^;Y z^sg|bAN$)z9Od7Lqx^3$q))Vu*TtzY)VIlT%xZZ-{Wryj_9@LMkN)yDgS{9#&ots# zA9}_lzm%=2H~Blns*>Q7li^9?PczCFvoxbT+W)5w=@aJxAGt|OqLM#R8h^8hj%%5X zfWnXVbGa!#%1`CU5bvJdB}jO|m94ZYRiucAOK zBlR`ce87pH&lld?V#3k?xb^GviKzd_8hr+#>PP&vhNYxl_do6ho$ifCu>aP)S#zG| zAHvrd@er#s;51%Y{-is7iv40gJ*Q49Z|3qe)TpnH%4h2h|AxMu|81}j<*zADnPPv@ z2;@KBAoB8mw2dD|dgoP@MU@{O%Xm`*HUCGW=~%UjW!#~$sPe;*{~G9$4|Dk`8jB(y zC7k7~)Lfw8ML3l|L=$%D&*J*OdBr1rQNr0rD?Iftjb;3|Cami(LixSRgu}k535Wja z23(9MAGuw#&#r%2{0zQ-$}(C1veZ#M43$5szc4=jyQU00DvtiagbRBHU$2#4MP7_= zyX&}RheZ{B%$M6AH`}w*gbRCCFEiV7osL^}SX8&C$`l{ki^(Qjj{o)e6#a7if1PHp zWrsx-e#E!)F@t~P{7=`X%2WIQvRe2NiH%3{2Z;G?T7AU;n%|GTgXbKLVnDToLi=pJ*L&XPviy#rk-F zZP0j}_(?vk!7Z=Hk39f!?lTKbe=OslFUpm?Z<}4qo>un9t=EOvMNzp{`a&Wh-JWeo zt=8N-`AzGtp&MVUqceO@4YAo&`I1=1d(EyA;NVeS-eMUWG!_S^6_Ry>ZN)N08jkow z6#p@&*=#DF#adqQ?(ePm$9l$(^CvghisRzPepoEy=NCNFhZ?~5FSagMbvX7#i%yMY zJnxow=XZ&f#($w`&_2=^cWVXE(FcFwJwmrW2gi9V+`sFMlfNenQf;LqJMzFSTka0n zY$~3`N;Vq&P4U0E(c~}IbiJ)OvA?56d9h!l>2U1h{w{LMd-}T`Lt*mw^IrarM%Po> zdqf{HtMQ=)eC2u1^hV`)X@kb1$`{8nR%)P@A9TKMr#{$l;fO!qARlG0KbA38V{ygr z*Fcwk%=b<4M|W`jA3mqLK-G`Et3PX?OF!02>b=W@Xirnvz8bXybo2{6oozMZz1dnL z9$>d=I84-j?D!)2A$xr{B+e6t6G+6^!@!(H>>uuh6oPX!BtT=Afzf^wG;y4`t^79FcOzFk>MVK&r+i}lIwaV%ODu**Y&_O4~2 z+dE46iaY-vVrxwNs6ROW6~|$UKOD!c#1DPg-;U$3^>I8c34U;aJB5n8SZ{k>XHjtU z2+i(;fJJl`RX)h7bWoSadBe#@9Or+HIL@mj!4F<(NI%-UZAKjXpWe87{u}QT z)cM5w1h;~+s~s`bAK3qP09i_Pe1Z|j{#O#beZDEZS!|~fNB?KUvsqITe0dUlrV$UY z2}V4d^-qGgS0+in5l8xscqVHy;&}hl8;`7f2t`8-kx*VJfKifI!@AHBQ_BPP_Z=WX zdBOP>15V@Tw*N{#9^E=m^_dgD7{8>mwMM)*yUmCP*nAC_$*_qUUzi1r_KNe6Cu{mN zc|LwVJl88dB;T8TXvDGpq~W%qasIc)ceiQ$sy#t&&wL}^n_XnY18k5t&eGQ<&) zy!;{T+iJuy|1;v4|7p0lzi50pGmh8sS5)-pIXa7iqdfQ5K}4qG?GA>CohPe%@!qd$VheIL_;O;M_l}J?AIt`zB~V8rAmW7)PbsUd;c?RiC(V%>Ruz z^52Lf|25n*{}YVw!wDoE`{+FF&^QhqPxHp(;wxsm%2ZDXOI3Yn|Km7R9PPgm$Na|| zSH}x@pRP{iALcL@X);QDVth7OgWc{`$~PUWZ=dZ>p{*~nvISAv{SO7G|G|E+k8O6u zY<|p7OW68{P4%S&^&y4b<_Np$8{z3}z7a?JXT;I|dEn6^ydQgyXMMr=80Q;GJ^jJ) zZAKjPKO>I$j}gcDHX~lbCMUs%Cc)DTIITxh{_HDJeW2wp&!4SE9QEIbqyBs0^1hL^ zFBr~~7s>lZ#D2{=7!~n*I`aPNwO`}d&*%SI+*CPK9Os=5scF}7>JM7};$6OJ{b0fz z&+?UEJ+p3>J2urGim${FAK^g*PW{936i<6lo-x1p%=>+CnxF2R>9$by7ur7qPWG+& zv$wwpU!Fw1B#C^`jPvq*vKEJ9KjH`8n;rhb?IX%}>qq4evz7tl!y<#EDW-serp?*1nH zt~KZz(M2{>7rAGHYPD6Gq(8jgFFEB?6h-^}5?uYn-!CcnlWgT?J|HqD@%tsBJg2Zh zm#cMF^_M8`-S(*EUBNZ`2mDX$zagRh4G&2BoBn9DpP#?rRd()Wb`li(EW9_n5XDCp zvjlh+JJU&`gUk6scSkqnii2CP`e2#q%xCP(Q}8I|FMD&1R>b4*M=rH(*Y(Tzulvxp zUB{L9|N3;I_)$K?dgPk@{C%=<-t9THx9Lgbo1U^GCgbpFBfC-?hi71;~x3sOoGhsGp$brL*mz-j+?W{&E6w|}Zxx^XTTDOqRY`E6Nm|;xD?tYmK))u3_e}-t9G?Pk7^zmGf{M#Gap1 zfad2VpzO?Ewpum+mlD=}s#e8SyqfUVDW3Qa-oB;Vy7lx%qg?+Z$9v*+B!8u+JUlvC z2Q`02qujnpp8BbMG|2-$*i$o3(=XT758R^_0o6Z^WdG~OX(|*P`d1nB%ln}sCi%%m zc^>~HlYE*%p8EfT_nYcRn6=%lC0_RrAI*1n z-e^i6ov-A5^ba?=*`P`MIQfb8z~bNR@N4}8bq(VDXeNpV?mxX-b`nqgPU|Er<)Etm zOjZXzkN!H6pJktq$)fWyOIi40t!i?ujy@XyEx**=pQ$+B7xTs=`2jn=0L3?t?V4oN$~zj@b(r{`tkmEp}T}B`OnucO4xmmxX1iT zbyDk-7(WjAtyW|leR6!>U60bit@)SORvO?DtHV$Ezj2bc{c^ssT4zz^i`jA=)Z2%< z)}T>AF|THKueDvE%Hw>oL0--$`oyuQ?o<7T`BU3(H0Npd(D-WGMc(O+@T-2R*}jKu zV_oui|Ir{%`l}4M(4Wn2)AZZzF@N8N&WPi_YuwL~k4wAL#qHT$?~tu>f258U%hXqK zRDWXqXPS3=6_xkt>nw_Vl+Pa@DR8^U%im(G_WD3=5b5ZPM8h;5yQ0yZC0_c_9%jWc zEBb(^8*o0})Q!>dPmV47=q#%KFkAm?x3LN?-iL|mEIj}CdKfYc_pKCF$1<{4@Nyr< zz0Ulv-;*QgTJ%^(KLO$7`|;v0%!#^+zi?VVR*#SS&^NijoE^(p17Kxy&%2uO=}>%W z=53&xCl*2%Zl3b@{oshWKdM-{twrmfg}tAw(vlQsFATU9ieWhnSkC|A_9}Mt*6k?J z>?r*(p&eExtN!EVYxU_K<%Q0FO}N+4-bNWx&bnWyl}|@M?FY2q<1H`0x6;QH|3-s6 z>2EUN)X;4_O-r1s{M}0Sua0A}T*axA9@1A8$E@IDK0GOo#X}$O@8g&qeT284>TN&m zKkmHP)V|bF`kswrG1#-p7$5G_FydM4;MJbvqeyl3UQRheoggz#ijkj8E}C#6+z! zz|)RA;X92u&I8|Ns4r-5*WBhEKaF4O3^>)7jhnsoQ+qx08qe|+cOEs&(&JL2r8jyj zpZ}k)xy1HIWF<}S?ezu{H>HMoBC`-A5ixQXP zEuY2u>!7Yb%HO5yqer3Whktj*4jIY^{BOd=S-fX+6Z;G4=kxW|9X->J{7bI?@+A6m zO#0i~4e1l(2D#{w9NdD&K2vNmBlk;3Wo}+S>`b@ml`NvnqXb7A611 z_rs2y=aqh{|2nAY=lcytJjj}o;LD9T&c7LOy1z1bv&p|<7D$5olHj{mdh3sh@$Rz| zJpG67d+DIdf7NVx5`3l+$NhFj9OwUxIL<$f*Nk`BSHgChaIxR;tj?m8FO;7q9dzkO z`VBaZUnalrZ6EDNSDmfSwV+;UU#b4}H|h_v_Hictv|pUUK8)j`c&r~Kz2c(EK96Wa zAQk8R!6a{-k531C;wbNFItU{a{b&z{#@VXk)hsOu-gJh+pLeyOJ;XSF9y?~W&F1JQ zU|o*MpYymsZ;Ruxd`Z;NN9S*5#_=k+XuorG76+$qH>GX&wnz3)N5*O_io85Oa`Jt4 zVm14y{n@AsyK$c0`!pW+cut%@`F_w(Vmx==%rYF<$=WI5%;w!{f|16WmAo z!dZwE=S4EG-VqE%dj+xJ(V3qa$+%IDtOv;iSZ7A;^s4J6B+u7NqP?b&3fwbLC*}9u zps$%Y=|TUC`S=Z}63%XU+<70EMe}i~?DtZceVb_8OJ{~ z9$00>MSnhMr#7H>iZcJp)@Sbr;XW9?AB5fi3*FYK{gwRQ>))=^hK#m8Yd)0oTP+C= zPWD&p!mvoe`FKmTkDu5{Q1LBjG1w4Yg@Z@sef@WM#*h8~Yc=Ct@pJue>MU{kFZb3T zWR1FTBK-?>mN@-Ke&cCB)_-;3MEX;8mN@;ZP5N7|N$Q`!=`3;jb4>cHb>T$z7wIf< z`afzk_$OT#PNe_9QZ0#b`mZzTU#|-%(jV1X;`H}1=@09|iS!TAS>p6R`)h-L+OF0T zpGg0kI!m1X%T4+lb>T$%7wRl=`j4zK*q@~fC(@s)v&89NZPMRzRZ{=_O=pSIpJUQr ztqUizzes0^)BjP_;Gc9|IFbGXwOSJ6^j~Mvzg`zkq(7>&#Od#2(jV4^UHbWY+Yp^a z)z9%~Z};+#*!VqAQD8;t@X+z z`rlk)_RrtEaH&5hiT;IN^3whyFI?*X=r*%|QoZD*{sW6W(kJy_mqh>HyyT_+s248v z_er9^$V*=8AL4~e{m(X-{d3?~9_g3*-}J(z{>zi-k9x^V{R_QtssG5WX8VVD$xHpI zUbxi1I*I-_tIhuTn-?zi=Ood;&`Vz0j}3Bl%Ob9Q`{)+4e^R~VrTznp%=)iOqW^DR z@=|})3zz=slSF@!E{`ri`*Hk%bsRof7^|I8a&W$X_D9WG(B$B>4s`HzH?FNW@WqKO zRhS&xHfT7G8GI0niDL$G&BM_z&SS?ix-C+teDV0cDi0j%dlU4*qHh26YQA1}+0AMi zbo-FTSjMH5K3^kG%Fhecr0_K9{89gQ@AMSmRK|GCSj`^1e~J083D087^}(YiFV6p9 z{coiyJ@{TZbco~(jZcniKRW7v*E2no{}c4es@ucMSBPEfu^x%{iE;n&LN)UsultkE zk48F#BH@%!107l_2}ST1Uc_yf9>~Llzc5Ol0h%7b;zKce`>$5QrZ2GbN!J(kf9y(+ zj$84iA4PP-AF`tR;z~O@m;F)yN3Qsk@>?_y>0jVVzlvi;;kzrn@VNVEOJW&4-QINh zlNaJ_#ab7hpgtz{&r#*aA8`;(6tq|7+YZw8{cq;SaciyUE^(s!H}ZqZbCp(iP#pF4 z4((-#ZTOv9ztsFfdA?%4SAOwz^R%PTf2~RX&uELZ^<*V}e%2tC@u9||;Qan$4NO?y zu)f@#zt|JY_zK*-%yh0q25Rw=Z|FzQPZY{JoP4P1{A#d+klZ@Y&iVxWQU5;-^#lG) zb=&Ktht5Bz)v0+w5vVxsyD+c!v37kh>6S-+V@#(S_TuIk74Nzo+h#m2!gzCeAcaHWsy=jR2*ADC|kM?3o4bS0|h)z6#o zNd8h~`)DS&0GX)#xb?aFa~;?9rTs7K+gPr*1!Sfkf3oq$y8rSnPt<;=t#{*Y{heMm z`7`Q&)rBkNRh;E&^-z_nyX7^U_dkQQF|C8+JYFp0lQLKN zb#T%@GpT)N810*U6!y{h(y8-K{M^3r-u7XBgSIM`ak9pu%G3VOZ141Oc~&%AYtmGC z+%LMx-WZW}#9cm4dsojNH~udd?&v4~Rz0AmJyH3duwB=$%O70kme+8e|6{cR=-?v% z-R+lylYK*z+E-b7KBu!Z9BByu>U2Bl#o6;Y;ktjimRp zFmrp#&8?0PqH?^nR%24_MgLT*fyhu5hdmWWoNepnou70*Z~5yc9Al4ElYZa_X1V;K zm)A&sHolaKlM(d6)Xdyx(tSQ3=1kd`Gi74Vl*Ja}q>dP)pE`>-&boZmzss{fO>Dm| zpEUj}nymQ$IP>3(pW6E-jQO3mCQ*GmW~X<33$mF>~>nw_W^nH_0E>k0S$!Bx>9?)16`RMwNWd9vT zoE=HinjBT0@1G6!wh!Y|9dy~30{d92*ZvPbU-Pr(T$g+?Pv1)#i)!CJ9Dm%1vp(MG zqY}mjKj@i$jz4mp5f|lao$X`WzPR$-Y?tR9Hn&p0Q67kc<#@*@HK3cEU~91Bv*i0Y zcNxK*YI%%iaerMqRV`AAJj&|?!~CD#H}7x4qddQlc9 z5oeRSd!~<J<)KUFj~jkd!5Dcue>k+ z5gjL=sXq!o{Fio?cDNXfn*ZvqulCh+g{PLX6&tj9~^sDK^_x*af<5zLKAJE%`E>G=Gh9>O7ale59r}gTM$D7iJ`;olk#~T1*yo~#) zf*3Dj@*9ZbR{X*F<$YE`y3eYZwWYYNSNOq!``_X?RR7?99wQ!NtBg3(*UN2ztv^xv z;kBHz!s)~HXsU-SNDQePi$eKejs*v0K3CqBa09p{bP_op;dx3;H3O(iOV{Nd^K zy8rYXn3>@I)5&s|Zf22ihV?EBskH60?@Pk_>U3X{c-y95qpL#M{K(G`YxjGnhtj*# zfJg4yg@htY=dmBk?Zm43(Owzk>HX2=Mm(F%G~kiD=sY@)|LR2XTlR@#@6#L>D=Rp) z?M>{zE3R-k$mS2p^Yp6&8i#_zzsu7#P|Yu1pJp0xJAEbW?y`jb#dy&mPc2$|Pjmb` z4Y(bDke!$)ehU}f*0-~56BGLv8CA?0FVYt8b$^Kdr_Yz#E8C7gss9Ye$vgE)>?h`D zMv7Akqp5i%eqZj><1m8=MKeYZ?f{Y+1YxVQapgl~OJ3yl!l-`&o@_Xt>g&i0k6K}C7&tkt$F6wFR6ZGz%MS9N{de0Ghvq|qnp%*M;5QOe^gDl-UE)cr6T`P4fV@*4K zarYJA_VbSJ+|%ki?qlpA?_;F(@Di3~;zxPI{ikspcKpEczHPPVeHg%(vY|#ildbFE zwpi7V{TU;U@4p#w^pDOA^0N9M@iP?jdf4dU|K-%Jlk&`k7|1HzMEv71!u= zo|Uw|3;SCaC$=BuDaf|zpv#^BYg*yn&r{P=%w|4h#wQr@YE14^*hMQ<9jbo1|L|7I zgEQ-G77b_pHCbx-R2=UI-*3wQOjdQb3CH)|Ot@HI%4yd!LWw`x8?6-U2y`^hNmhD)9Z(8L9#$ezZ4Dx^hjPM(9<~dFqek7mCV^@k}ArWFJ{ubc?IP zsPW;xg}Y4t#QWezd#c&NpVYLt@-w=I%EF|Zv?$d018kj19_4+N5y$+zoQ{SEI$D-xHAT}Z+zrP0_%Z*%49rE9c zspITIi@wo}2?KeDd?5(yHcaV|^YKJ<$bULdbefBA*E(c(e>{V$?IzTY7HWf}_U~C- zEn?0^5dHBN11!}csrpn)b;AC5<+kDtACTjj;Joa0yaJc-B{?8Gl z!i67I9_JH{INAdvj_*GjajgHu;c@wQ{2a=^iV2i|S5(W)`~DKjylmm+4saw!Q{6yV z(K$-yrPv<+V!X(_h~;5>i`1Qe_jAqX3C%y8&Ncf;^S_2#`A6{~|1JS2ipH%{`)Esh z;{2nmvh$Aulz)89`89TENf8?iue|hTyi*FPFT$pY1Qdmg^0VxCI@1 zt@Voy)8p(>&mRQo$iWFu9f}o3F7I6snRG~8xJuuk;c-P?9ybSljCCi6iqnaO6n3(QJm$->jJs4>mw$>#9{R6Qc^v)ne%)vFA&81o8x+eJ zu8J%E7w4liP|qLH{!IE-%K}@!^}RY;(!}@jS`ZhlY6jTYI}-bg)-&7xr72V6v-EX# z^c{h|FxzL)x6YtXU!SGjuDUpGr98UUJBdG>m_M>F;;+QCyna`EgTDlST=6pHocOW* z6B6gaSa)5Cg7fb?j8)1GX;AU(SVq1H$M>JV@$@He;Z`NVs|+~JmzsXECn9m_qZTx4 zjQ9Eq;b}(wxbN#WwXA6AkDG6aj@SmF_#$=1j7yQ$67evC!x$b(nv^6vID#BO^` z_9e4MCul@n{PO!~e|cQW40g-7`O&?gW?g0RA34_*2j^GTtEc0z;T613Iv+CDVs+TQ zc;5Z&61yqqC0@saN$@}t+-JnYY}Y7L{3Wb8 z3BJaN&AMf>lZ6eI|J^RvxUYQ2ifb%_F`2L-qUMYbN{A z0~qCzexp3nZ;+?`#44kFnE4Fy^8CR^`?V_Uq+jCC>Nqc?$}fc<{#X^qtm3fOh~s>w z5ue9~8u1dAX2fwmuT@K|tGwZR6(R5S1!_lznsIAA?G`5*C;gBI&gW-yy>VJmV0UkJ z7c1N2sy_PO317cE(a~o!%0C=_TK}F9r`W-%|7;oNUEXPbqy1I4VX8dtzc%7{zvKdM z|5AOLjMFyW?IFIWY}Rl2;}}PcYF~-v|1WXKNJitvx&Kd$i^g`V!%ypbO^%`j{>J*Z z5y$?Y377XH>nv*g_}-ol!Uh!&uy$rAG~Pa;|D!k-JFWDGqfhqd-|0mp4u3BR{@*&T zMW)9G{|3)7l}DU_NP_#4;Jb!;>!#6liwFmv*3kH2C zAB}^&>kIX-2ha2F{|FBo<-;t?fXnaCU4B4wnPR`V&%PBeq$o|2f@3XZn+|IJ;^(6b zINfKRmLz>u51agl^q*z+Use)4Jqg~HY1ZG81Ye&7Z}i6F=HGaGJ?t(GYW?H$ACtbY z-F}5>eYu#mTpX{@H6O+rqx^mnE<%>yPkJp=PHA_Z>6#pC`A_-VKGhwAQ+}xYHbR3^ zxLoofR&B(Q|4HyHBaZWn`DXjt&M@28V#G1O`Kwufy8);Bh}u8bDyQZj!gm^Qntx;& z>?8RmqkNFH4K$@6B&RY%M0rn}^7Qstb*Vq8hGa3^{10o zjt?cg?IMiVqNxoQ-YD^iza!wtmRNIx|Im4U-^$l&PkSarZoi#4Fs8zV=3Cf}PaKN1 zb{x?hYVf}gE@-;J(sTj%gh7ZeJG41(K%gB-iunHwMxl7MP<-Yy{M5<_QrwU?0L``3 z{w}u2r1p72`!9s{?7i$XOS_%kQn0Y}*Kyh*n)+IIncimbAzT2ty-!FPnf~dN{@^Rz zKb4l%jl?hfb1vZlfnRFj)ee3l;XZ+re&j{w-mrs{+gM`(_wN`B&vNh&2;bF!(=yT2 zvn)K_!8dUEyEuN5g}0q*+ehAGfvr5fT`j!D!S5oxgl5pZ+FYsAj_>%&^&

    az>o35mka!>^ApAon_mOM(nb2N zvC>x(u=8Ur;cIZ_6uc){c+kNoBWBj}68BGmg$E=a@ecwlszm^Qp}zUqzv$}rXzJM( z^S@5vz9)Y~{QYhIUV?ujzbYWqFk?V~eF@G`WCzuxzNn|Mj2%c_VYJ_+OUcRow!+lH zhCZ#`L!12@@HhR0A`nDuJGbw53^akFoG{2Y;9FHO-~C@K-xFT)0{7oZ7QWuWmlNJbUt5l*cD3+E2cJcFP}uil zS6L~l9X!b8f6eXNYvExBKb7#hP29c@EIiA>se@(B8@YY2S$MjGe@5+TSlIWBg}3#w z)4z%EHT69Hhb_Ft!Kt5RVPW6B7QWuWZzg=#%iO*O3vUD-^WO#tUa7~^z02{$YU%C% zoW>XPN!};yzs8aeJNhSa{m=9CRa$tKgAV~7%Q){ucs80^Y%%nVi_RL)q>{cyp>K@E zzUyS$9|tD@575`G(Vtp)vxC1yc=b)(Ung1k8V6s`T8w|l|QYLS%ojOY49 zd<7PNGx#A-;k{4bZ|+~=zoEq6hI6C9ZTlOEU*M^P2VC}7JNQ@Qfcpe)+aGrD&k5hP zfv4ZLKZ|gYp1lL`w_?vH;E!dT(~H-KrB(uBCkiGfd)^36g@yPCQQm;8cI4(WL3Eew zJMdADj{$U;y8WS{XgK>-47z=}&kP4cel+)e8nM4HvOR`@z^-#~z^|bX#sV`DEguN{ z0)NmC%1Q8YW%$MGAN%VvECWPS+m4Y1X|7|J^w)GsZ`(6Gy&qU?K{8AD^De?$1pbKzmwPjU1u1_#oLj|r!@TYSA6T$z}aKYc*{$vyGPF04aJU#W}J43)%6JXDOh$aJ`y(NQk zAoU=mN4yh?6r{v5ZaPkQOZBFx-;mwg3vHQ9?^X(TcEZn6_*>L1i9gEuC)xh^J%v|P z9kTFM6wY(PH&A%M3&LMizA5~mqwiV@Z^GP9>c0`;P;B6aW68DPr|{kyi=Xl@l3GhV z`j@PPSGYeSsaHt6S16KEN`DW2J5;~>P%L9chVBLEUp^Z8^PKqarSQmZKUwxXOyL1e z_!$cKa>C;&yd8B<+W!rOH(6nx{~zE{2MnSoj6)BnxP&X)br)ORR6z|tR0eVM{{F0k|a z2?|e>VYCM~?ysYoYfZB2hui>$4z<;x=fny;YXsp=jZNO!o8KL$SufNT*Pw1v0s@bescDU2{63YXD4b4X$5Er&-37-k}>e zks(_1LH;_1rw47J%&$Y|!u~wWJ!N<=g`c?6PTz+Vu5rSzQ+V2Qc6ok|!rRf7Ncq1} z_*=}qWVngK<&ONXDLlXl*HO5$qwiM~Ug7AQP2mR}eN!pi%aPBc@b>w(y`w1n#Iv@2 zXHa;gqwhotPjmF8P)@$&T@9(Abg##W)nVh>M1w`CP-_;)Aq zZ+hDH$6FMB!U?~KFzWY*sj_}kc<-GK{y68y+)n!MPZS>D*mEa^_f*>H{R@RxIQ(}} zIM2~{3x%gWDD=78=VW1|=VFro_9;6(JiJGSk3v2`@=rMOCsFu8C)}OFn=p40>GgO0 z5yctrKb!$KHTaJV1p4FxYmfop(~)>mZpo|bS)qvk4S-_dD&pTF{|<$s)3B0-3KU|? zZ~_x`FZDsf(120{`4Iih8_2d;Mov0!AhTsRf%xtKymx?YU+Rq%-t(mGk0lh|?u4(Q z@Fpuv?REY51$ajN)8ER_bEALyGL837m*BD0Kkb$)3vvC^FTjNIvKDxP{xzCfN%2jy z;)|v}L*YDxQThL$|NP~6n2n$9lJ1f8kfhyu3B0eQS&{}NeNysmlGIAjrmjM6ouv0j zdb^}oNm?T51(Ie;nj&diiqP|pq|ZtEsHFEx+9LUPOS-+gkb6$jwURy{>F^AhE=hYx z+9uQWv7|3ax=zyjBwZ$HiKJ~Z-gZfQbQAgqNIFu|JV|FrS}o~qG9Ak#oiFJ<5`R$A z^^!JAx=qqOk{*_{hfHT*Ne4+fR?YlUkb12AOz$Dm^OMB)OKRbhC2oZ; zk^WpPX^EusC0!x;m&tIUq&LZOv_R5xB^@B?36ge^^w4p_uKkkklys}4PfNN^(!WT0 zr=&Max=hk?NyCy}An7Nry|CDQSO6Pmr{Sq#Y$alrHQ)DCr(aKa_Nvq%TSO zq@)i@dcUN1NP4TJb&_5s=>kb-OM024VM#BLbgZO9B^@AXZ%KPd+ELQBp2Ge;l71}d zR!KKX`h=v9O8S7LzmxQKN$Vu7k#xSKGbEiNX`ZBGCC!rb3`zS+nl5Pk}j5Xo}@D+ohIo>Ne4;VSJLAoO_8)cRoM5vr28e^ zE$I$PUzPMZNgtQ=AxWDgy;IVgBwZ@$Y)L0bnki`yNqv&G$@XKrq>oFwO46HUdt$Xm zVcDKq;gRBxI_w3}Pn}4euMQvM;o%xdmq;oIHWOdN>L-3?ZxysnQVYN84e|U@N&CMk z!eL48lXQ>7Ly}tZb(;l$M;XsS8Mg2*UKjXZB(TE0HuP>8&q_=UrrY--{x=Nzx`smq~hwq~j#*FKK(TklQI~v!uV1^eRb< zCC!%fWJ!IJ?w0m!lJr4I&ye<6>3Lj+122ns$4Oc&>1~p3kaWMKy*3H{LP?iO`a4Ot zOWNxd!Iv%R3`y%GeMr(4Nzu?X*%bj2qk+?Tf4@biSEh5r=cJ(6C#ON7@-x?9q|pNi*U zNpF_4zx0z;E=J1s!U}KyUdXMI^fF0%NxJ4cfrljB|E&nGk@S2?5C2O%-yrEdl7=Mx z=^KHcFKPAHBD}v%(EB9a_mv1gAZezgYY&R&<&vHuX%|VKJ0S2mlAbH+$NR zRfLC2x_h4p-!JL;lD@xJJpYTN*Gf7~(nCzjNg9+iUDAiX6nIe5{a=W1Z%M!TT!i0{ zv`*5olJ4Fk@T(-vleGOa@qCS>7fJflZt;Abq{Wh+A!&;&4`=@%_?Ah!P14?n#Pj)* zZj|&}Nkcyh{C-IfN;=`Nc-|=K9!ax~$ao~(B5ALm#PbqKAC>fwqmNc(j zJYOT}7D-3O#Pf1VZ<4f5`gykukMxNi?>0%dNqSrd@%$c1r%Ad&(rz6EzEg%r%J37C zZkP0sq{W@2K1q-3EW%SHeM8a{{NnlJlJ1xE{4U~olcZlrI{X;%e3_&#Ny;Qmm;L=| zvYaoM`mB0x(|eDp!>i=JfTTf58zpU%H2prwCuva95=rYMT_b6;q`M^bt(NkV1|_YQ zv{BMElCGDuMbb7&)9)90vLp>lS}kd#r0XT!C29EgLatiUU6T5mq+OB*B`uM(PSQ1! zHcProQr{n>yre-%OC+t6bd99VlJ1h!w?@iK8kDp|(mF}kNZKsvE=hfVl=6}WB`uM( zPSQ1!wn*A0Y5D^~K1L`F?+qbl2Yi|x6PE}h;_aANoyZP6 zB>~v%wmYw4N#$I)+0s7|?wVS$prVYtKXG1Z)!d5wt17B$966Oijo>Qt+KLsqiHoYQxwz_=iz{m?pn2k=1yxq8V8V}@ zPM|X9mN?I+)-GIFy7-#B(wb6@e_~}#Ud8O%xm=6mPuFALbz??lotrg#PS%i-bIu(( zWaRL&(L+irMxQ%m_=q`U%ErtoA5~E{TP?Rm$OP2Uyviljr8Q;qcx6Q#?)nGUdgPqhBg#gP&Q{~2f>2pg2~qyz zj>m?f|MURrVMY0`~>jOGlShj8XOfqP7(J zZU0&2Zc1&{)Ecx=OKK|1mQ1NAEk{DB+$F?$_ATb+#ARP`Wp&xqiYiD?V$Qj$osK5%#{}^d!q|!`B_{5V z|4BTSCfMnYXW;^wvr{U{Dk`s1Vxv?cU310^8$PD2v|`ApF%`pyjI0=S?vODkVMB(a zMa(MC9y7cAT&+A6$7%GHFIZstd1~3>%Icc%g4(&2RTD|ST_0SC{e0qu3l_~TU0~G* zE5G!oPCj0^xU_m+Wm)NhODZd_cA5z%9*x0~%dK4+Uc9K{25ev%{V{Nf zkI3xGDlLr1zF7rTm9!RBTXkjCqN}R{kXel8!&h8TIIHmD+`L&u`BSInUYI`>JT;3& zoPqHZ0^BJ4I$FMhswFkDCCjg>K?L$geZCZy3|uml%<`$vEq)6l(b=U-D$8aqsaafE z#hbL#{J%Yk#@XeAaav6N;8HE$tq2EXm~e}4jf=0@gKvk6?@$81j?f!8+liOXD$GDQ z07^eryu`P{#n+sGkJb#C!gmV7TZVM?$*$1WNAWZdb>hW_vM=+TuD%c`{aEo5eF)F3 zAM(p~gah({%D3Oe7syJ?myPGv59upUz}E%OS15dBpKn;aK6*Y6&#fOENA}Ga-qp8D zKCtXdL3rc{hYts2d@TqUyZDH|c%;Ke_ANy?kbtkz#nkJIm;730{z ze(}8JvrhbZ%5&m*iR81w_ej3=@_G6JA!p%%V};)9hGmzRk3M(y$RWcj=9CT@i7_Jj zL9~;jMwbmk4=|#9*q9M$|EJBXToS|4+b=0Ud|1 zn~(5DI{hktNbVjFzAY}k77xB&V|9If5e`WG6d(DS;%UZn3f}`t^r}^KldhhM}YbG>) z+`sG7Z{9lf(uKE7->`S~!kFdlqjv`@By!y!-T&g}+}rCHKCZnVYLS zU;EG>{@$g>fA`sUaq)tsmy8^qcfK^o$HOC>aJR8jr{zwW<{O(oIgfY>F$AevQW3bM zVlky=yd`%D2CIt}2PT&;tl-az7M0g7sE7;OVv@h0TxytBxv*kMP3gjF8P2aNTYOD5 z%{Bv2C3I3;dHGWVlPa#^TqB1L_YKTm>ik=hK>x%c!pnvnHn`SVk+0yr!X9dOvib|JOF05S`sGMCpXUVmJ%z@=+1uB-7RbXkP zoQH-6rc{*ImQ@6gMxwG0;dTaJ~9z}2No0%eO9FRrbwsVF}h zKe~z;&A>Cyg3%_s{YmDB{j8I zUA^?0YqRXmZ16dO|L`Z7p9r`6M=Z``c!41WMiS_77Kv^Kv!j|tivmj)V(oCZahLh`q!ajr_TN^ z$E0-a*1bn+TF>-jk2}6s#tA3(K1pakxliAI{Z9#;dfMp&2A*+d=2?RVpFL#gIpji) z{}0liSMk4){$%l5UUKI^<=sVPZFEtoR{Q?{^KtLox#uRqkA3(3{q}*$+?n~$^E~s+ zoH=u5=FF*TaPGx8ueH4+Bz2gq{4qZMa5&`eW*ZZYDRvv>dtQw;x!RhmIT*q;f^N39kXzwE;!C_Tr_Z;f5|9z zw@U=^nyBAU65P`z@wgWdcC+6F3ztzk%hRj`n0EFeUK8auAnd3Jjbk~&29D)8o&+~) z;ZnE7^J{)Z&A&Y9d?ovu>#lDsx@&K>vov?X-AkS7Rg3E9Z7*GR-;UXZ z^Zj|*8*aIFr*Gz>&8dOii>~qBy7;CWW>(i;SF?MyQ+xZ?m9v&+Z){psc=H`)Yc^!< zT;!c|L(cU2*$b!Lx9g_a>o)juZ@z!;+WW5A*_^#-=d2xDH{6-MY0=68?=6|TtL`XT zm^SU+eL22eTN@W|T)caB&fN=qwR4v(xpu+yyzA1+%kbgmtuyaf*KmV(Xa21D&5Lfk zHEZAYHNGWPjT^4nIBo9jYi4+BQ?};Mt-r2x_5Ih+*?9k*Gs?CtS-)Y!yfw3K+C8Uy z=Y0$2RNpaU&Mxn@*_$&1o9}5VzU#(aI~Hc_O1&bn#y^3q!FEWUGd_RIp`?YpPX zz5o6#O*gO1oOkW?8(KErpEq@d`O}ja7&E3;-*Ea3SoV}|0=IWBh!p6H+ObZmux#_m) z^EU3zTD*MchMh}pt6aJL_Q1x~d#=4{ZeAeJl6hNIN?`R2{~hIv(r0bCal@*Nocq@; zE=|3C!HWD_Qg6L8yJXc}3+CN%Q4dZ^yj#RX47$n&I4#wxDL~T+h6Pd$!J*w_(;zzWMX^ zE}fOXe*WwtZ{e1O4Mq2DTC?=Vh0V3M-##z@nl;mQ-BuD4sIcO?S>I*;H1wuHoJtv+8#4$j!{nTXsj|x|u1S#>#2MbC!8p zytP?ryXrP=ylcz0ijA{Z&c1Qpil%kV^Y-ReRApx0@4a+$h_1jZYb}aU7PEFZb zf9t)ad1?3Ev7~bP-o4k~l(OTd%`-~3PR}a5X6LGTcg?x=&f2>@cNJD-W!%%e(%G_a zd0^p!lm&sCtBY&zTDfz}##xJRxXG6`uQ6x$9jk6%w5isgvu;~)Ufr%4c{vS*r3(TD z_coTzuHG^0_S@DubBY${?U}!DUGwxM-kC*t`{p&=xVXA;R4TUYO_ zzhQlD+1^=|l?(5y@$B?%+c<4gPR72*8=M<%T3L42wB_0J$_sXR)8}rwzC3^3O?R$b zzr6nblDl`^;w-y%+48&Z%vo8Hk-q!Z8(Z!w^{(4It8w1VH`On^b=9pa3K}Z5SEt;+ zF?~&LNlMG^g|{r+SG93h)!lWq)y4j;J9CzMnwHj8ZQ0l~vu5UsThsDq%qeed@iwO} zU*z4r;QoS?jCn=*3$JGc7~`>%TRH=5W_9Yffye|*8fG2bPtpVITSDcj@u)gx^3)3R*+*mttuOo8J( zZ#tX<=Y#8m`wiT$;eG}83%FO{UWWT3+~aVhJ~$uT2%G~q1lJ5V21kAaa5ZqFa9+4! zxG>x}9A);ymBF2X%Yr)&*9LbHE(2~5j%zUI;0oYQ!X1LU0!O`{fGdGJ4YvgD7+etU z0vz73_dEqx4|f(WAMONP1nx2%*TRm%RlvOfmksv}TnF4GxJ7VJ!!^R4hua8u3hoHp zYjCvPo8Y#>eF<(c+@Hg>zb~cKfo=8`wO^MxPOPc8SedXyWzeG zw-)Zxa1X=%9PT=}C*i8#{sk@u(*U>%igWCo74Y)OM&%yP={S1z4 zgYSZ?g!^Z>9Js%RI{^2eaJRvI5RP-3--X)*_XW7e;C>CqYx2!-#c+QIcNg48-~w>} z1~(7xy>MLL{yN-hxM$&d;QkAaYY6Xz+X44aaCgJ~72JbxKZ4_0<)6asgZmEL2Ds0| zJqq_LIIexX4Q@N!m*JMd{UzLfxc`8|i7TEzhT8-8Ex2`XpMg6F_Y1h|;oc2b4fj>J zm2iIp*9G?q9M^_F4A%trGTaupFTyAJ|<7a+`qt1gbRjZxCcXrJ?*#{!X20a zj^GhPa7F#Khq2cv77;pxM@-Bms$@}atUE+{doX`qR99D8B2}b5I(}PGd4-NI2w+!G zFtk39*MdiJKdV8IwcNn&@wbZS`bKH-Rwu`)_hX^9#gA$6F!oJ3`Ch>@nVjF3UX#gR zzoxaozb3RM7+jqfTrYKEL9rDpj%4X^pRgzzpXZJHr{iPtbDXO3x_YOMQv&`Dr>3_h z*Wre*ymd}x_3k>ShE~a2wZ^Fx>odyYt6Tl~-cW&meL;ROzaWp@grNM;+SYaJR(aR1 zU$=UdcU``Yx73T(TXe1}emy3p-?s7^D6wm+Q;>(cYQbXH);uSxORibvvHv5j^{-l& zw+@>*TM7yaur*G`BEDel8tSoj{n{XZ>G(g8KC84o1?yJ_*W`r)*wnUmb;}xdV}jPM zg?(1RK6z^cYXg69eO7CIlGRJHdi(>~Cstoc>Lpn{{(pE6Nmh@4Abq0sm8@Qp z)#GoYPx01b^nd2{)&B6NOcJwjgM}gVlb+C;z`8&%7%EtYje@Jz@pdgJ znLg3W+FECg%}pm>?}_@z>%G|Jde6t!%+=_t3j(VP)(5;Cz6nZpy(iQEcho1=ehOBv zD=5fczuvzt;9b+YHmXmO>phwNzoS0U_LHn$lG))8U0=!SC7B(P>G?a_Cstoc>Sb+` zdQ7J0@2F3-zOHikw@DB1)b{d1Oypw*JmT-j=C3eb&In;voP0eT2XeQ-aa?={+)}uiaD0xs4vrVj&2W5fx*d+sCo|yq zoG}-U1C5*D_*`)t+!DAHI6jA_!EwMbACAwRi{S2py9SQW33K3hBc2Dx=YoZBeEysc zw*rnv;`8Q>aC~lD0LSOEX>h!c&Vu9f*bQ(TVB8Alz>)YIo(jhSMmk&pTm~G=%<@qt z^`cJHgR+SuvD_>(`LQhI&$3e zi}|P%bzpwth^LO^Lmre%qFfU3{7pXOM;@A=nU_47mpsUq{F#SxnU7)Sqb%|up1diS z`6xr{LmniZ&b-V+ex_ZBBOm6Y4C+Io49a4D%4dG2GhX90FUr+rqAn!z)pjHwVu>R^ zVl_XFrwnZi>PH#643x{fj3b7;wEc)7FY=*o%*(P+24xY)bmpTBrZJv8h#^nrBY&+6 zc~T~MF&~M)b@??9;>eRSm{;qlc~dUa7*Eo=F`Y8WTiZg*Bp>Re?autfG7s~UC)20{ ziCF4DKFmu#Z8k!pF$sEnUB0FN83~9)8$|q zc~TZJ9CNB7e%#ymb9Ao;YoPEtmX=CyxA?kG!Z4 zi881Y^Ap4TS`Yk;rjxfWk1jX)n&l#%JeW>?)Ir;nJjsJ)BOl74Zj`TiXdS2zF}mE$ ztL;lH^N`4kGRTYZl+C_)Q>!M8OVcp=A~>B z^(22{h}ZHdSL;uH#4(T76F&tXtv`8C7O~{Tc;?Z1;-|<@8oew0Jq$zS`FNnNNX$1mFFn1y2zJ%vpD8j4tFOU^HCP{W0^LwtAZJF}i0xXFm+p`$KtZnCt=+UI2LW3u*Zx1Y({>tyX|vg^Ta zpZ5A^E?=4iIl-<_kKBTN2=BGh{DP3I-&u4R!S#uf!=3&E?SYE+7QO)>iShb90A@Dx zZOrW1Z1d;!w7sHyYq83&yx3i}=9EO}w(5bG= zb!xcF5TFpZvtzdy-!cey`ClubxbZE!B@VTi5EtD z`0BYJ^_=Yldqd6l>X7O#y+!G&V0o#Ux3t*9)j!lvBviW1^& z`8MCl(jD+vvE>N6263(Px+mHB^tygcWvpE6g9Z_1zd>TTZTb2=tkkMlKH4K6VFx~m z`82M=!iAIIeAV&%nh|!$3`BmK%6_8uO?_MTyT9*w7@OZ2C9mc?QeOIts=xob^d05< zl;5oUu=4wqKcK_kkom^GCH=m$(jQlR+1{HBJv}1cus4dhH_Kc};Z%?RmKd$69#qa@t80&pKAqEfl!=CcGQcqPi*7xOm zR-U4o8g9_`lvP)j64QWJl{yc>7n@X@c9+&}t*$F=s>YAnax792Q(Z+K_ygLDsG^Dr zxh`AU`LHgr+21`7#`=0^H}+=k@5Ea*LFZwA2f7VxY30jLq0WcfySqBs8zG^)T`YNt z6FLPckBusza-my-fm@8b?xhmo_zScdP9 z*O%7SODWh_Vc3gtlZ9=2PZq8ys@lE_@3U)pHr|xSd+DB{+N$!Z?U?TruibJ7ajbvF zS_Qgo9GcRLHOpMb+110+M_IB%j0V7q_d@kLKKzwvMl0d5`OrrP$_Apy)Pqq9A7y7} zn=Cyy9Bfs5a14Nw7dj-`OF4gNuakDAQPY{xmg)61QgDIRO_q0c-D!!Jw7h zU$B4X_-(}KcV{4c+3I(7Ki*gq-#_;wY~Cj{ZpgxoAnbrIN#7Sz@cW#BBj@~`v&8t+ z#Bm&a1o4_UR?etRJRg9#QN(K^uA?rIAIrC-K8Cvv;Q@qoU#ImGx9(jr9LL5Z2$#Vp zX&mJq-yOqIZpEHi9v^&?iA&)}i4;-pGYI#qFmaucwH(%aYJMvF<$+h_ec_A>kE^h@ zvv+TdAM3FIVTTMrB|Fp41HyEIWHuPpLC^_;?Nq0jZR0fl0bF6xG&-DWjl$ACbrL_?oe*7xcAMDuNYMq zXkw~;Vfz{b(H3oA&$@p*ac``Dj=U&Ki-E=8#vB$W%(0v z)V~?=nwak?7aZ+%%D_>N)Ry>mQ1CN#AGpoEpO4l*@@XR9GK3u!VcN~U|3;GF1}%O= z7Vf0h>rSbc_vcbi?_DySOt0)fqF&VZDa2FH4)|=R&m_SW1SKvVKJ8Tl-+@mu%Q=X6 zP2@k63}=;d)CI@-^n_ykSfA+#JB$ZWuJa37{`5>){^QCXzGX3cP>%uRBfbs37>B_x zLzu*PO%vX~*XBiU;PO6!&J8!N`pO#7|LSp0eJLUo@jX#-ui|JQ@8NdthlgRgcubUM zL*Ug)fOshAL3H~E{PGNmgiNwebbbCFCiF)X{zb#f4}wPC3-x(L|n^LAmc7;ysOEO23f0;P=WEDo*)$ zK4rGdApSEi=aoq${a>3hCXU{kznlw;dxio`&X{f3kEMv5CjeB#}Q^Iu1e&s9JE9KUq3XI*v54 z0}3@oaJ&JvaOE>qTtEyWjW(8q-&Nvpo^r5>7iu#76u+tB)MYQYxt3S)n-tfL!+9Ro z72wi-rk36$Pu@(Lf1-3OKTRlq;`9KH0FGYCF7hW!m;Go{04FhZVD3N~)P>JfaZ_z) zQ^ifzZ%>*Y-=5`Bz%J#p+Oxu0=Z3oEn_Bxw+D>J5)jVAKugTI2Co8{vE_O^R&&Hae?YX2Q zaXy&1KE!JxzhQ)ZD#9#B>VbG%0m3$p_>%^X7DMlEW~Rfzp^B_ zW((Jcuuo+))W9*vc^7`fFA2x^ zvAi`1JMc-GALX_gILaMF*ax4aar8$F9Qj>BIEx^V#*tsjLovDJmyfUyKFP#2TDYeW zw)rvtDFes7J5G0E`y;L&@tTMmcfnD=hMpKs{0k6v;FC0t<)|@mW09Yee({_^%E@+0mk#B1WO3rTQg zZ;ZzcBJ5Kc&GIgJQw&GD)FW)-2GxAqn3`YnX32Rr#VUGUb3v<`FNB|p#2WaH0#RO} z3XENm^LO?>n&#z_Z^NrHz4>t&9#!FyM`QDQcmzfyL4t1PES`P7f)Ir@aGpCEkJ&ol5H_$1mh+gU93gB8Ljom2drcf|8M{+g20 zAFB`Qm;aV{`;{QwkF?yzgzX@>2gAgdHjaqCV$-E$uY$ZfPfHK>DLkN`F|TkErmdvg?=%oApP2M=W_y zSn@o-QT6g($)9?VXm9S@ThuS>|1$D%J?aR;X8R_Vr12GRm-r>{iGK!uGCcL$_>P!8 zX#Wy~9rz@sYtrqp&A^N25`-~bEXWOi&ca`r0MGg;duL1^_G`@u`viBXk3H{ihvWCf z#nu5fZaz}DV$0&$g9xAb&%U@;%Bqej}e9^2lDdBAqD`G_*iIrgUB zUNML5wtmX~ApKo2`*3}y8DR%LiS}nb(Z6iqSg(toOvKT?*@)M~{$(HuZq&jRygQK} z<(xshChGfI65OJJcw9Zgj>@RZ!TiSz9QC_`u-TqMku^buiO~g^p2E=P3|0fW3RD>=E^?usG zaXhx@sl;(U@lPOL6ZsuO*ijLhAN^AnZp;NoyIe7Fv`g0e-1*fYUK8bpli>O-+;9@y z84EX#u>D-aa&){uCYSm?g|Gvkq|3|r69$g;a|vO)T`@lGk7N8;Z_Nlh@JX5kB_Q;63@{gynPs2_1b z#A_mM)CEUG`Uhh;wyTo}JMc-my!4$9#c-@&AHoiNlE$&T4F-;Osrhi?a|82VLA)lG zf8$Uhj`a~iye5|848r#H#`;bDvlx!~du;dl~VXSYIh0jmJ%RpTnULhj1^)mGj>P#;>Z4O{{gHb*W+yJ1OCp##`f0$K2{N$`2C4|=&6b2 zt+({n{qvB8J8j|Y@^Sw)zj07mT~%IRT`RulWrl5hIqtpK(}g`uVml(2qVW}tPWb>vI(oy{vxygN4)pR3Antd=)=wAwW{BY9d`?kk&p{NZ3~TP9TEr(%#2&Y*u1mEChD#3Er%V-5iKHT=>HI-`J0hJ>;lFo)%5>#;P-NI4m{^7C9~0 zP9e4?*lhscGLhyIBRqL!!Jyfq%AqFPBvr*{UYN*cfnBnwJ$yN`gE2HeTPx1WhSgjA zfd`$KaaFs~p0G3boT4GY!%~ZGaelr=fikg$>0KGvo{t?Q!63grgN^A}2aXy*F-%%6 zeAGo1OMDx{-x1!Mv15d^=*Pi$&@Y*U8E@RIrpR z`zZvI^iz|idvnD<+*nv+n z^{cUPkt8_#J8#D=oNSlHT&iWel>N8bqfMFi{nBnv#E|s;ebHa3=X1#6cqAWThX4@u z*QD_y3O@*+_B%HLp7nh08OeVbKChfB@E!Ohn?Lb`e=YH0_|)eld_<1d*r)~;;+eOJl<^aT9bUTXeEmNyGN%i9LOOetaO&+Fk7(lyau zFCgrw2pdoSCBu^cBKYLr2tOHr*3T)VYvOn}?UU~IvBxiQ^A@YT(ed15-)o!fdu%*E z66eXu@2nZ$SxETqn)RVIJ?}d^?n`5MpFi$1W3ljV`PP%p=9w)nu@JrzCav-R(|6rA zKxK|U%WIk{%8N^@@M@%f@6n7B1zV}U+SY@;g)4Fqkj3Q~1p5lx!?_W8G_2@H%EQXa z>ZS**+28Q{a>;PG$#T5Pmu|Wb@Xcf1(wFr(ZC$vNMfY5A7_UCWsXk_cfj(YzLhctO zgSa<{_s>(?SJ`On6W6ErS@cum9| zbHP#0xPjw5P{D~rew=3tBVN-|{5ba9Eb+|(#&LW?x%AI|I))?vD+oLANpc+1C)N?h z_4?Av>3uR@VzmBxeJ=PJIUYR<-;t1n@gMCnigZmJKaE-VbDmj3PR*J`dBkU*jL%YYvqgVeA_aFDUfpP07Z3(%E8O+7?8-Gh|geIySC$y^yOj}PR-8A@0f~- zm;;yz!>kM{wMUOlAl`$wWjLhaMs!YcaB>NAKgQuU54VR7I=ivqKG@}ygnHWdcRF>w z*piCF5HJ^lHV_PPY+AWheDkwKyqJqIRSb%6T5V2Wb*M>)YDfjcnaO_4MF4h92i2r+YBbfFVdPrx^r^VVi8EPEk0F`<^JX zn5x6~32@{*27b`a&xs=Fbig?%-a91|8c}oCHoDsHcU}#i3hQRoql5%~8^h=_uTTLfzzm_mHq#yQe+aL{K?C+nWF@FA+Gm>xlA)AYT*v z*$b9Fy5C#$#Tbt5y8>ZHWz^RZ`#byl@&hh7T42=RHy>eVB%WVQQN4I<)bdA>u8H!; zEWB+uxAIcI)W41Avk_rOG1ldvA2e{Z(-Ri1Y`VODn|tK->pUQRpYofP->3W$}c?Kdk&w<&P^r{UM3-D!)egVdW1fe^~jW${$yLdbi52{2Jwll|P{T zVdal1e_Z*x{ZxD@zTO)VcB}WN4IJzJn1w@>AiKTA%}1<}`zZ81lo{~Wp`5Um-I;tU z#rjLGc%>d+p+^4}I{<>O!i&X%5T}K+9V~G02r|AH(Ayzk(|w2a1CV{E>)Qz~IZ1ZIMX0aOKK_2M^}*$-DDVE|zjus)ZTdEqZ*_ za=*;R zf^_vxQqjb5Z0A&>N^%8n8cSy z#lyZ^Kg#|w{qJMf6R(qv2;0vE#GSWrY5$P8&QIJEh}T4Z#}Rf^1k?5XbHu`3vT$;| ziKWoGI-CyGR90G4Qd+C>v;WuQ_kl0V@%u^m4&q6aqbcJW*}sQBCi|b!C#CO+NZ5nOY_-!)W*Dw8=w@csi4(SgozxkaqoPJdLBgzlIONJd)Zl_m<`;k)QTV_~})Q0-;pZzX@dfA)XXU6zSs_*vf7t!hi45O+u?Z&XSaKfDYau8 z%}FFCd+O>->gsDtiz*$D`1a^F#NnsN3lHfsu^zw8v_y6}f}faI#W<=if+g<*atuX2 z=6ILk*t}{GGdGwfiEVvRQw!LqLKbSt49 zxYsOP*1sgm74uSv*TnMnSvb4@;hHwj*Kuae75xH#BMeBq^_I*o60vkO%wo!~`s7MrA^DH5vyS=tW(}uU=2`RPL21h~~2~81WbS zx>$bKgMT5H>)%j=JN^(7N3E#N2>BP|yi~{|Mx1M-Qw+Y*Qc)Kp-c??dn>d|GoT!AY zv6=;nhDEc9Y$M89TD6;HLpjwi_wg3n)6=7|`eb`Mjj#isWVW}muf^lq5VoIFi5N9- z+=mi*F@C=?+d0Q;i@qM?$Nk;|2%G#6B}iXC`gyb9>?{x0bDH6s`|#~{7dMZzN*=8F zIw)72@tfP?7hCso0|$Z>1dmD1%7Eip8Hk4EIwYr@lI$1c>Gyt2I{8~TDUV$b(HSYd zvy%HB4`N>*cjEHyRamHIutdu*m#^gT^7gK-eJ&M4T^0&U4w%=OdTp^T0`!K9q4y zpXN*Xx?l5tSI(m~z;_T&a>I=(+!YIF_kYRyIouPlxvXkeL(?8i8T0&bx##KshyI6l zf989!dKJH3p5-|wtQE5t?N)|(P0SZT*ijKo_s)>@)wfjEhiyOJD+uRxOZ-ub->}8+ zxZ*duO!D()x${ldufAsScgEuNb!JL^$CpdKx}Dj2C*xlaewt{HBM6(hJ(8-e{2rMvH@wV{%LY z@sKy(e-P*YrQS4-kki_wfQ;us{-qC{GoMg zb5^ZxT_0HA8e9_!w3zKSVZ(wHU|+8ZFmcu5I$0MAcnenhy*aIUYw~jP{i|@r6nMQk zp-}6({MMG$fpzN&OnGc*IGI1BE)-i%G+FZx1=p-!Rgf3VS-lpQV17P|;$NG;K4;bX z{FXJr7XLbbXuZjQXD{9+iX7JV)9t#px06%NIH|Th&{K=I=tQp+vtwMks6O@@3H??> zdpJ;s4L+gnVxhUx5_ZT71pRC9ar2zK)oY<=L2yluKYvXKfAU-NR|O03BHCJ0&lsm< zaw?!~sMC`4TglO!T*`BxL)C1pT!Ks}SL^z`RqF%(P|lk5p;bBgp*3rB)}w{x;B)eM z-eAG{mf+gB_SBHTQIt>BLtUV|Jshd&=-uDmSIi?{LZ!>;a~y`kPvT=^}$oNH*R-BndrUsPY$RK1O}r|!?=91k8d?$ap< zpS8vT9FOq9@v?#A_W_UntNZgt_V;7=eLin=And>=aa^OHOO9E%3rTQ`{wU|k9`P=9me&Th-i%+yjzCTX%AxL z#q`SPDY}@~FD>3xiwz=-uiIOPv$**Szq?u^8qY9!SG2bz)Gtm@4&e(eo|XKH5^ySv z$*@qMR~#A6+!&AVDBarxQMIL2^-a6##9o4Ed_{F}5nj74DlQYxB+>ZdilVx@(z>SF z>T0e#B#Y+>+G-lnlZDgBxocxNA{h7kkjr{Y){ZY*?aBF%_<1b0V_wHKh}XpD+v5ly zQW0i5_FRnN*xr{Q>{vL)ml-(DryWg#yJX;a{TKWokste=A;fE%fgk4)RztqH>n!Dm zF&y>qB5cq9usmfJ?uZMHa-TAAlzR?gd;Wqr&yQkqiSr>GW;{sSkGM7qcMM@SJHKGy zSdJ?Qd&vw$es({`Hb6?&4l*vq^dmnX!VWWlO#K=R9NWcF7aZH|h=Jq2&LjUh%hL>> zr1>%bq94cP@>c}mEP_B9N4ty~Z(dWs7hG_}r@Ru+FAZS_@g&WUeusf0zX-yn{n+kB zeIlOtVfd-=J>!Y|h#NpWac%Hf{*y^?%|DU20{F!B!!LtR()zKydS1ozQ#oIp1>bC^ zcKzD*YNrbUvu4kA_(S=b9f!o3gw%sX`J%1%;rAQS|G>!z_jW_O4gNf4Z`S8Igzfqx z-zx@=`4;^m(TyP>S4IKNeQH1UG#qSWO{yHW%fN(xqg;~$kkNGOD(Y1s<{0O(r zoSndrdWL7kaMa^zgdO-KrVpxlnBniqd6;j0PVURWNVS90|6+N_(WgJFBPx(D>smQ~ zGxl|v&v#b(Ln=O9g>}5P>!_iRxSlM1GEya9eQx2H#AVExBEKo(GSa5VZ;Ck9o7w*?nLXRX`*Q_+2R?~*w{b%X zcV-gYqB)XZ34DjlKyLg76>ek_-261jZzFt1aC7H3pl~NA!KGoDm*vfeKM}uvg&Up( zmvWutmkr;IUl{S4czyI)xbStdeup;7_O7q1u^VIgc%7fJ@?BKvSB&rY}xoAnVvI&r66 zaBl5o3EGbXJc;F?J}5|38(WWl;Kew^%4g#i-7NL!fNzf*OnuKNT*fVlIO-cjye8WH z2*QqvpuXD9CoSBl3y%G6Mn*ipEQD=-Zn$s~oE}G>L^`j7F&CU!-i?@@Vf`G1Z^}iK zptc+3_3zvw`y+j>u=c~#WW4rARk+WJ*Z2VyPdiP6gtKCHNXnvp&s%!n{0*DS1xF7I%j3aj+KRWhvkk6HY+U9=p{->c+= zm9P0XuZibBzB-#){ex3~HQvFnZPEd^mm6^`w3OvxXaA?<3**Yz`C)xV?g$?9LrKc_d4K^Em_ zTkvv;Pgr^oHyz5HK)j|D{5YKi z=UEt!OGnsI8EJpbuf)RDTR1-N2HJ1{8D1=I#cnsnB5D49D}ORMr>2M-PlC%@6e}O= zw*X;BDaZ1S-y-X4Xs)a;?{(52OOyT?l|HJ%kZdUmng*&eFADBe{ksK+<)_+{-JDL8D%5Ur6oJ9YTY$>l#@lU3| zZfE+wd>r+|>tocaCmWZ3o5U5scYr6czBMj_cugFKJYnHv80D>3e@D{q*@Vzek6aU!(Yr{~*5p(IfYz^QE+L(AH{Llh?kS`bcVQCTM%)*PI||={PttPf+xMZdB)HVOV)f7dHyvRIK8bu% z7s=~kWUH))h6OS_s=|F4GM;uOzQE$=v-n-SP3Cu0Kj~Hcy|+m|UKOveTU{Uh;6r;3 zTl{R?xWZ*Di7$uNuNm>0cpq+a!SOj@1o14-ApBJL<4JIbG9|7CK5@t4JMc-&Pr1}P zb!kj4aajnP&sFl?j9q%_8-~g9^;`Mu@?S(c<)tr6)Pv>K_N+lVafe)REdK?>Q~y)& zsqg%(M1I5#A)dHH@QE9B!BLJ_u!Bz`?y2R8^SExfaTgrrMpne^$?M=4!VY|rwmat+ z>hG5G94YYUBK$P`GJ-%R?nt)8Wy5E_)9`H^uaAZtSza%E_LpJ!4t$d4N8AO4JEd^1 zx!}zDIF>7)KVN|FkQqqxGjU5+N?ZkeN1!CG;C6Xkd3`B;%$KghJ{8v2X}^jeQ1Q(w zJfyeY5fe19^mh~JriFXPm zzh?N3%E)+K-s6bZM1E%xw%3b@&)*P_Yed*l8BKl>3-@#q+zAUehOk**TE8n6ZplV> z+(yJ}VmaE9;QB1wGcGvV?*#+L`c2!ExIRYQM#O6(?nn~cGZyYr65OKA@%$PQw%7S+ z@1QS+V|hCecHooD`moPoekuvhQxwlH6=6qki|3cNH6Aw~;UNo0yObC>_KWohI~I<5 z4j4F=V=xKsNO8Q}ClGdPry~~b48m@5Q%d6br6KIr{>m&|4Z?2xZ2KLVB5t5Go*zsg zNVoqJ+v4>+g|MSAv>$Q2pI@F49vG_9E=SCux4fjVRn8eD-hWTyVrMxhGz~Y=j-elQcj2gBETm3C=$E+Os2( zAN30(o_x#TvmE{K%ixo=T#j=tB3{#t_~EUXov=TLh%v-#nnzsa?700yI?cW>#l5GO zxAymT{&d!b?$2d!`R?bwezNw!2R~k0^nnfce>t4;$ng(9J2UOMg+Hto=TJ{{E_EUH zYu46#3QMa>sJlMER2?k3NteesVpqf&2l1NWXf}l}4=N7P^IcWA6yK0O9OkiuJW4mD zV$r|gJnbE!!y?S{nllRyMI9~A_xGA4^P-w^_j8_!)9?EjdW(v?+Wba2r<|WQ)AHjF z??s!Mg8s}OJ1;;kd*X~j+KWdnVF#o*BP$1Q<2pFVFw}{&yTn0EUBO;_d2RMAqtJY# za`r5v6mb!%x(e}7uITFZ;}<}bj)%Biy>ov|MGWG9d%jqKjByeL#H*)R&lOCks;7Ks zl=@LIaay-BpVZB5yvY09C0y5m9@njN>lF096}BR@*%V?SbF@8xx+O*M$;%T@fo z4BxXN{YHAPEB(9qLJ3Q<)`J9N7bL#Lu}x2Mv7*mF;BCtQc8sCU1oE)@1u2O@aq z{9#W?DBy8`GaZ5+oY0oJB7l?Gl*c#+uBR98^@4K`&P&IecH&)lrm?kRnq3^zURW5t zeqHs9b^^$U_s4m}9p5+?3%TMOGX^u!GWb~;ap*)_2p0msp@R~t7vT9CyWEuSxV?am zDiNghc4p1?FlwTDVLe9Ag_uIU5I-3|yIv;3JJ9cHTG`=v>V`o{@4U3P(5gzIPVrm;pGZ-Jz0&xyO;0Fy-)aATMG5h)9|V6CHM|}665u_F;X2ZirBX@h_FKtNaNUFoiuRFv8X0-Tur$hh}V>cAEyvz zzeO_nE!i2v@mD{>Xg-28j{Z3VNB*ALMEy7p%Rsy)&PSa@*dF(DJegG&!|~TJ!fh&} zmMi4c$8a1s*C6azIL7xIxa$zk*p>L4b3Jj0C;zP7vpu)NzXX2(K1s{vxbgfRiK}Rs z?cx6AbMS5bSl&Z>C2kBp$Cr)wV*Ug^N%Ir;9mH$m^VO1l?shIgye5`EjIjAU=}iSmw2fTz7LAf5S6!Kb8@riuA? zAYBvrM<&42KF16^<(;tb;l;APY8J}+(!6P3;tQH%@)&MF*ij(rt%-QrbI`!E9gZTL zr9d`b@b|~~v-}MRA9umq;~n+>S4p)vjkT#^S9wVj&eAHc+TOIgsG_{2sJ^_q3Va^* zD$1d6{n2TU;p{COIF7+C25daUHqzZ2h&b%K3k%8GY3;^NGel!hH=E~yFHK=rKDON+Y9UJ2icmMU5u3 zt8Nje=aw4>^cFf&HRy_0r+Pz9olqWM*pTs$W_k-6ax%U7_8-Q>9&Yyy*565^zSzFT z5H6D}MLT9YAa2~kWwa#XSpQjw*TnYIkFeRVXnrFGj_v*e!j8&Fd3O7Vd;X0*{>t&m zz3pMX*JY1`$*wLGdQctwQs~r1zy2tq`L326B1ULbe3LILGpug);y!=%e5=K#5Y$R$ zFxx57CmvPu1a+qh2i_a$(oV_hWqu%LN7^SJVTT0*(LUOa9Tx6r65JIFwj zg5|ZZdt4uQJ+iyoe^}1d@VeB`xy)9FFi(&Q_6E@1@{`()U=S;eccvqgrTH^_5p`if*&pD!}1!hKug5*+-yUd^cUG1|w~uoV zM5|2fQ@nz(LjZ{FL*p_YjK>uq><|PpaYroN(@AhAEZkTU+!YJAq$9rn(E4peye78u zHiUgD!ql(N!ab7&_kxAHjId+z#U!}&&Uk(m2>Za7q{~6M z5es)5VTT})i5s+q;T>?0= z73YP9LxJ|z_JBj%IsS(+w3gFpm?F#ML5n=BF)jzrbPZwpH5lr|cORGu6LIYrhVzXI zbk^#N+o6uGgSo1jr($pFIu$$qw)UPfe*n=x_8cs9>hR4A4DDFnL-AcC3g%2v-^FNz zvcD~Kin{kF;TCJFQ`M8EE$KZFX1|U5NpWRKQSJ7+^2!=~Lzxd4ycbQyp5#*%{iJ!1 zC~~Mr4035|K~v$gF^&&zFFkmgaju@v(j3eeAKaH2ysuDv8GI8O?A7Rz)5`piFIkAJ zQ_-JCV2XT(z^UYOFd&@@Zn=Z^r{c7lT0Zt`xJesYO)Ve9O-V2Ehl$l=6rPD&51^0g zru8;0&I~L@4yI9d?~CPM?vjJdZgtIvF;rpx0(AQ7|ztN608k~wGG=N3%HSx|!u7~BoF6&oz8=y!w0*%gW_{A`pFzGjCUQ3&X23_>~@BrKsW9&IN}O* zsr#f{Pm%g9ItG_=QZ7F>qhYu)=^1#jM98}pO)?oyp93kDl#pRpXS52I9a$D_&{_<> zt``Z(R>)Ruv}=4WSfX(isk@2hL_G|?rPUQtq}hB#^EGhG9#is}KMsh5xRZCK*$6Y` z81*KuOOc*vcZ{rX1@X&!{P15g`DT2fp_j>Te|J}JxW`$RwOqH_cz(-`daZU_QdAz~=+q*I1hHRdB-E$DPPrh_! zlwm4*@V*BgPypG*T{|-Y5D$7}Hd|yC*000}_9+`Ukam&A}YDZP|o+`;sO?XVT zJX6Kt{v@7q9NthJJw8`6Hy9Oge;@D5YS6^TG@*$(PsMtjgpblbetwJjjB#csM)Ejv z5yN^pb4<~={Rs7V_mL{%LD1I6k)Q4qb4AG79)vVgzsx{pfU-zeEe}I9@nO(7?uq4) z++%i#DU6K#dP(#d$jzcoc_eE4mQdti2+y{-*Yf6_$8b!jew0Hr71>S>7YY-(>Z!(0!h~&p&gO|4l2x3`X{%WM3J^!(csA_9Qz2)_VxOVG zW>cjKKFKj@I~4Z+Ef|{ZhIJ~=`RwF`1ix^LFYRH%K@FI@!t#?Kyhso$o4B3d=vTA> zW2#M%&$Js_Q0P#gqc@1_k=KMeDhE$cN~lZyO`x~BlwH-2yKY#1OAoeW{a`J6NV~ii z{tu_OVLR$Mi5`>cO&%oOAmv5R7K_I%-DpFHaL_cj1-f>NVme#4?idhtC)z5m!d5=# z;V3BXqZT_z$z6O=Sijd9BD5q)w!ZqomQ%j41?>FEQU`IXp_ z+V;SBCSl!oI_wjSsDT=ObKlSq!Zq+o)8M$SO58aE$92K<-o*7o?pr88ye97Rc*+Gw zzLyOg*J(^-Eo=Ac_X5cu!I^%+4{Fs4b zyUys3mn+*{d$-;JW!K9}JoV^L&;!#g zSTV+0H=ghC{!4A>;dVYM6gqjic?F{1Bzscd6S+lF?+Cv1;l96=DPn6THbPOutHoyq z3ps6-tLLt+Htc3E+Trp$WA@^>aLLj5`Z%Yq&*mBOerwl<8(-E#ANXiuJq%fV^>uR6 z!kxEpw!FA{Sd}m8Vdm|{9*)gP!me1Z#Y?G4?_&}v|HjtUS`J6B=o`j9e{pl*0x%{w zi0DTkK=2sm8A8ZAEqUmG}sc)UPLUAauZ0zu35^wL64e zikPVpuP{3Y@l)FhorRu)n~YyQJ|rY|7X-xzh#bEoK0uB695Tb4kJ@(5&#JRnmcE6B z*fP=6Bc_eG7>pHONeMWxKhV_E{zyn}kee76z&^`Ka4mfCTQZC;LPIpNRbi_H6EQ_5 zJ5Fs2YKHW!;+1i9T#ke5sfp8-v2|>u*LOuQugYj3GK0=a{>jP=W@auI>n5)K{aK?O zi2nZF@$H~y*?;Htw%5n9;x1P#{qbUb{e=g#<>mW=hY&WezX`7+y!X3AGL-EU6NPQ+ z25FDW^muw}Z{R_ELmjVacSf-C6x7n)t|#`_CoKKWBkU-dOh2dW=u^*K`h5l4ugQ}3 zuDL^AcVo9nf8ciM4=LZyH>z+G+QE45fZx@{8x6IkMHLl$n@Y+{m9FBt!=-s(zZ^o? z_9;?tP&@uG=TXJo-QmP*cQ3Zl$afRum7OggKzi|p9N)d!i5z`@z&da(^!HB3=`(lNy8_6~X$|{c702k>8Mov+GA*w3cAIe93WRHaYnRuSn~M!W+!GY_^=}=IFv-rRug9UM;_Wel zup@HBkAKfuxYsP)sA})&tK9E1@#7Wi`AU4LUJg@C`*4g~6~c)F^08F5L$&`(KCa^a zpr4Gz2kh0lauR+l7w;o>9u`;BLy~+BaA1H_HL&=ogdtwPQ&3Y>FQ%hv{1GuC z(D9S;6(X^GW^%?V9}be~>fDd3Dmp(bfnv3d^_?j$y&l)=f#ZUU?~Bzd+pqKf_Bacma{Bd=B%Ht40>QiAHv(hIbWcU@8o}SPS1qgp^{`$O@ z3T$%PRkU4qYaZMdu^YL)M-96?cDfy&2%p&wJJNMZE#B|F_F%UEx*vaT=d3@u_4I*j z-+lRk@S2YXe(;g^1wXW^|2x+mJ9+-_?LYX&SpQ2e{_))vpPu!FBcFW3wK*sM<2|>1 zaUhufncIHq3_noz&}aYadqvMb@z~jKFZj`g?;hCs+}X=te#_V2ynC=?-pKI>gO9x0 z^6N`q3B9AbaoYTsI%Wm}o38)m&*rAS>x|R6;r{gYiVH=}-{^j5|DS*D!ZjPWpH12E z>$l8(@xkY2fAp8fpIg&0_^GYm{L1IQ<9qegGym3cZdGsN%cWnP`_1!vH+}7|p7Fl4 zw9xatoqapMb>?RO=og>6eB#c#PCvW*Pu};ruU`MrFP!|)$>~|C{WF?A^-|hDEcwBl zPrmI)*UB3z+8X}qHvivl%4z-dK+*Y!XFl|uX{VeQ2Unzjzv{XE$>X!$pD}pdzkYu11CQryI*|Iu9l`fZYYhDR<(&^ch|jDp zI_C|&rOMOt)Bk+m%#KGtI&I>pkl4%PhNGdKS58b>?sD-~ZR6C!4?Blao^Ne{Q>GbyLOckALOJ-0yCDXhzM)i>5D2PoMMr zTb;D8WIorn=h?S(IkV2Te)hu`{Qq*@tKYkS@GCDZUpzQEeB$`GzI@B3XZOBw?upzD zjbHf8Uw3?N#Ch|{|NQi`U;IGY_dk8j2VVSSWc~JU{P1rMzWBkLmVPJY%{QO#xp7Y5 z-=5eId}{Lp2j(8X_kl;|eD%5uAAEh*dzXE}Gy9Vty7sY>_g+8#;zv^7*7nnBxBuo# zGu!Xm)AEad+8^qBXl=)>|1tBy&W9JC{mt0jUw`|(k9<4*g?qlczw71CzWVAb&p%oG z{AX_Y#*@R%7eDgNZ@%HZU;DGuUpiM%`_q@Jf3pAcU)#Uu)L(pe=5t$ito>Bkx9^^N zu43Wrzxnw+*Q{-RB&F!@UTJ=L*US5lzw%^f-r?ulw;g@f|4$3v+2MC@2jKFz4Jpa zW#9IR@9i7@Qt(fg{xopu>5n|nJNvx{rhjbatf#lEz3xYE*?(>Kygi;7Z@TA;@0C^`Z~ULnymJ1?oiBf9&e<2g{?@JEKl_s_&wjV9^UdGB z+xcSicXAk}O#kt?Ae{)LJm!7?5y?^Qczx>6`&7+mu+snUmuygZ04}9$t=kNXI zORaNW_Kj~ix32CJr#|-gANu_F9{=j6w*TPu=e&!4`h_pN<4d3WNAG)|t^13QoLDkr z?da#;JM&xrynN61>c6=ErMq$;X?^zOJ^s_v7q;E^)ZJYx);&Mx#GgHx_IC?ko^kKH zUYVX_-)HsxvHwG{ew5>d69_x9yAaP;8aMRec-$z$Sq83g%L);)`#I*~Gds^*X@qkN zGfus~;K{^qc2|@2b9Q-bxpul9_f#CCG)cT~96{JoVLd*gf6BnoE*FyEmV7kEkGKki z9rz@ZU&O*aodkE=w)6MA#1$rEzDee&n<9&^| za9ejYDAI%e!y+QnyQ(9Ie8R^@?5N)nr-Y zPnGh=w}^NTd>R)tS-soo`un^3B)Xbh9=DVSC;4r~>o0+}!uGps?p}rCK~}Gk(Vm9l zx_bZq#P|}7-#V~F(p}gc>cH4Z!EtWZ6wY-jN_wo5W;3Dx`sQxGW8>0 zlT5h-$TNcUK?`H!&l%|#jo-wPhbB`l`I=BGivV#q_2SswB=$&@?5Jg=mB&RG}}&wEe?Fq#VRJ6YL@ zAy3+hWa>dVCYgF<{5i^x^kxfVQ7=k z9Q?Lr6Hi{6w<(|eP0|>~6?`Pk69&IBgTIO8Z_4jC(ub1BCoj$0lu!O9X$<3q#~AcD1im$f923jm#19zhL&k5WlZPg={N!tr zDc3oM@+19>g|YFe{}tnrVf-eJJT#ed$=4)P?jZ8CfsfDPW#VbWJ|le)zirvXlb7ag z$|rx5G=_1`N7FnPkv?K!67ZK}JkpKdnuo@la>>^uQ*IgLHiJ)r#mmIA+=q~9YUTlq@T1fCZ6)I80qOhbC*wEnzt#R{7upr#tpOl;8y^bj70g3 z^noPu$xHJ#<&(cj8pF7AEI-muS{NID#Yj*6c|1Sz&}7ObUz1F^S$~n{X#gLu#mmNr zjr2a_H*w^l$&^dJCYf@}kY^O>$1RMFzi6aiF@6(A9-2(K?H?GI2HF??&X=W~5D~OU7TixBFp(hbf1;oH5dpmD99i4P>Ogn(7%d@|isOn|KEp zOQJbg%SurM}$&`3XS{3eb(G?{YA*CbQ!81h^}`lyAm@#(*e z@o^ z3`v*gxW$WUX1Qzr3hf`5Q5T%Xa*j3q*D;-%@q41O_ZwL9n2e}{=5CgcdYPpAl|IW3 zZvBe3gD&5&i%zryd66{kToRl_VI1{LnkVZw(2Fh!k)_W_uQ7fz3wdZV%PaWm1o%od z5i^23L*R4B;$^@E{-lw9#`sMfd1x}_lCMdWdr?L7{dJlrwCyw<$HXllUk zKDaTs;pnb9of`AW+wHzec_xhl=XPH`ZsBwu@*FYJCey|FM6CZL50<9}E;Whn)WtE< z3h=wx2qRgL$=9^E&9@EmHJP}67oNnieuj)RTeiuMc$UWpXY(`L*8p{xHp_F);EODA z#ByfYA z|AEh_#fxd0h)$C6GI-FOu6K1k|}o_dBWgRX7Mudw0FOeK7`+AjIhR%m*#EC zCx4TiVRt>pEu79no~hTwc-XSFOyV2B+Xrlhf!BPrPke)s-e&wJhCDQx#GAMw;KqPC>4MX|*>7Ai(lb(Kd6LL^AcI9Ft5vQa_3D5BU1vk`eKJ zM*4v9n|a7XlPQ;cO)}+rk!K9)CoPPPzhb1P&WPtn9-2(KIm<&v*SrrZJK8AbYW3uEIi8tGSz-^7uJCQ~l?nqqQOWHNprhM`@Nn;r2JAwK~`k;j|@sxkgNFT>{6_jXe!IyhFU{MO zPyQxp4C988$2S}0hf7AH{6=~hzun}Mm*#ECCx4SPM#Oyv{6_jXe!I!{ zfS2ZN$|rx5G=_0C$m5%X^1~$~QGO#mjNfkZ$xHJ#<&(cj8pF6DmjC~?a?ea_iu zsMF+cXekqxkJ=yP*I94pU$$b~p?}#AB`%}1y4v4I`&mYlsQp2Hp7nPAWh=HF`j`Ds z;xbChY@+?-Z)hnKmXF#W#gS;mCNG4ZTo||Di=%C{)s{RS#Le3sBH4u_I9TS zbyY6*t@SE(HjtlhDWkktqV@;*m0(?EWFwYvd&+Jopd9uiIOHdkFpgTK z&!v(*ZbwU*xV*~hUfyEL#FE!KbB6G~<%S?XF4s!=(mhZ~*iZ5wN_<~%I?ls#?d}Vz zj3jPHrNx%d^?#$e-ax}wiuKX(ACd+0E_kcLz3PKOAqXe%$AZ^?K>&T#Il(6R^Q5#t=M+xU-m}L;tcLN?b;1Y3g)Oq5Umo!tzo3DHF?L*4z1) zt=M+xU-m5=Wh=HF`j`Ds;xbArsQpuEe@mILypAs^ z%EXdkeY7#CGP0?dC1D)$6G|9InL0)CSGAN0%PWqJLH^CGk2V%nPd3}dAwQvnanx_& z{{J-2r7dOR@+zzQw`t16vX=GH&V8kzTwH!M%D0}mNy2_pJfXzTg)#&amTUK1C_WFy z?Yg4%XYhX*k8;tnkb&NL4Jw# z)N`D>WlOf(#Up>Agz*$^=e{xdH@B1t%PXGl84Vk0)(g)g9@%aekNkxa#*@5*_aCso zBJdZMl|Aier8fCnNlVUTyD>M7=if#2O)NE*=PQb#-TtPyLMc(M-E-^3ZG0(i*~|uc z|JhjM=YdJ=hWkV7^T6==o62f?wtKD@J}-;QWhmS3d0CotNxZ$fXEpbY^1|(!`w92I zDU)tfPG#fmnh)|fv0h^duVZqv|M~4H-|e=i;t8crxpv#L8=tKbZ_iYa_n(cme5XGz zsqe$>xi0V##-SKWL0*ORXM5Hh+n0@Jv#;&8K!uQayKN2p_?+hLt9?P^J~?OSzLLFo zyu{@ek5VqSt!<%x&y7+pjxR2^Zj^GdJy-2>TPRa*Q%+^nmx{a|}-O4wg<+^dMCRl@zNi0w_3DYhx6dU5-zL4GR5zVu(TkI9E(XxBc)6-qe1 z;<%R*ORK0{%@QkjasPud`8MU^dOEI^gZwS5Ki58?y0WeQk%Zet{z3`+d)?i<|1wOz zmQh6IGeLefSXUL}u*4z!dB%d6cBC=*MD^>+7@Wh=HF`j`Ds;xbBGd=J+@wqJ3dUkT-oE^I?`&yK&tT_S;=g)dCwTTcupwwlI!W z*ls7XSqSn*iy^it8$0k(p$^|lZD%q{({W-;m_MKE74*^U$A)CP-El(xLJ8wZ|BUCq z*ymfNVUebF*<2OmuVek$Vv)^u{VhMCgmI|v)z5q*GAt6Uhvja@w%d*OpW2j*E2{i- z%EYoDSXWwP!!pC|EW4qEey88d?|;bWn{Hu|rgimGF~~2o{%rjuo9+5ZenJW3$Wq7c zPWxNRw3eSvnOGJC>q?7kSY}iETgrug%W6OQd}Av%yAz}K2l-{zpRN6}*|zzuMeSHZ zJJcSiv@~`kS=pP@?dGhU;D(m>(UxARj_v(-SkiJ`E~=5!4*&NYmc~^_=!`)923g`Tr7A0^4H$`LFFF{_2rclO)o-yr~B8Ycg*(s5LEejQ1&)dya&XSHO6?rs;o4 z7OG4hs!kEA&1E<+yUPt;nXF9APssIU$EUSjR{Rs&rC|QPVsVU}gJEPMR2)Y@`CbO) z`!p!uAA#!EFPgsRT%W%fs{Bi!+V>$0&&pW9>2G&g_cR5!eQikrP?&?UF^)W%iE zR@5&W16%1`ye+q@w>1IEjwE^8=oFwk=tmSn11;&-G>%_m05l^WCrN7Rz<>eQb9^#j$udzkOZ`wLMQU{hd(T z_5G%Q8mj!aptkchrauO?|LnbcqrcqkU8Fddf@)*Nn1ixeFqWX|R*W^HyThlapw3Pi z({oV!QUMMv>~({QUEPHG_*zeOTxD#&GuM$Flip7Mq;4PQ#^Ct*_RW8`T;AG#9hBS- zqjqznPks7%DE$Vg_TLZHhrcy_>K?uiPlW2Z(@nn~jzhoO^!`2lv4_u|NB#D4*hBu$ zU@zQi`uThL{ClDLYti1$>Fj?rlzs|SzyA;_o?D>ey9Y{s1+}duCHh)Yfhtoox}W%M znKEXeZ03vwIJ7I<>+a@lvy^RfD&_vOeV*FK$9N)?tb~g1n^1i|;RRk#L$&cGP<{S# z)6a&A_gbhH-e&qtKDwzs-wP^#kaL^r&xNwTr`-%b0Qw%{d`nxyc}t!)#_h{lxr?mc zSAu#~)!V1n?ZfeD4~|dsIX+F_Hc;)aOo)zk8v;93tM}vm2m<*jl>dPloo)ul$DVC| zezM_?ajw9(s+3o@Z&qDv`Y`qCN?XKnIMeHfCw97tYsR^;9DBp`R+|-U%3glhvk3Fp zP}*0a%AKN;tS_-{zbQ#l6G4>|@aEf)FHvh@J2DdR+#ytB(KhP4B|ug-&f=3Y>~l`okM zRe!PRL*qN#_$A}AUFK`C{7>(s4c#McP+Bap|5XPz`_Eu+DTOlT?=Egx?XLogr z_r)6gWa30vplwlKywLakcc5gm>B^UU49do}rYrW;!OV|XYKmR)E%#$KOi%Q8GLPtv z<`Ak=r2I<`VgFrB9gXwtypOlJw<$d_rQ1!REmIZ_)cUIv@_o7SS=CJ*%Dj&ytGa!i zu5V4h>s&dpDLtX|MM>9pWp_3ltLxN9!8MHZSkx{pMeJtcL|CP*8mAR}YFs`BC36n* zy7DCtTHpND^w4iveup^bt{mTVy`pr9{EjguU)bzFUG@IuTV*9l(qcVhajb=s4?@{1 zTe|8?4ukS>lIa7px|zTAxoL~WyPjpySd7NY7Gjd^Jmm_9`!?PHC6Adtz`0}BMSX6{ zvVnXu*B>1R+?{s(p~@3O8D|gox!!xmbKLB0%1`u; zb-hcvDxLX`+?Z_hF)WKee++3H2bCrXx6S4F$waCCPo4NQHsWoe)GBGQULiKE&pq0g z-v`RxA*Sb`@?}Tz4AeH-^)9db{z}qc{q)yFH*0mHztsNXG0Yi`q{R49x9zbC*x!I5E{t+~&{!>hpE9H+O=M=Q1eyp6R~M0Ar=! z^{j7Xzc!&dzS5KL&Na?aYc0M!{v<^xA7v;X zRjBytP(G4B_3>q(YUJTKSTen0`88NK|Fd4<=SIt6H)UUE`nREM+yFHnowMBQZ-Q#a z2OwuJx8C$`K*iAiO5g5-pkllbD&|X|DN(0BjBF_&fD;f#I!gu2b|^3guQ z{3-hy#tlo>%7(g?O^^7tdrm`>@pm5APCxYf_;cwy)t|z>vb)EmRX<03>Z{Z}%qgR} zO5-@Q;Fpd0Xyx_3+|Qxp@20D6hPu(5{mSM!dzSii!^oUAwbI*oIh5RZy4QaOCEcsM zzAKdMVftdz-)Xvh=H!!mt^?P%UdcJ9Yq_=fX84WxE?M0N%+_sh^u8W|k~`ky^#`Hk zx28W~`f+de`3XP29NB*9w{Wk8C7JQtI@FuS%FIqTbN#gZ)ZCQx@F3Tq+nI|#JkIsq z)9t!9_qfjGNms|tE@$w47PA%V3C%_9THcQx_K-ZsW0rL)uVXCsq%UCkq|!9!+1~BO z(pO5$vTQWlt$I^EbpT~!+fD7zxs3VJAakj~Mcgx5n9R4{i`hwU%qep|_HI{sr*luT z9PwiH8#~XUmb7H2OWxDVd85a@^<8{VnPp{EmhU(Rdg8clcO2IuNy;U$kzCkUiF~YN zjN-jC45l3wl8`FQ)+?JZvnejev z7E`pf%$#+nk0d>l+oFTGLABiGOleIp3MYy6O%gJr0dyJbNJn* z&+WqgH|OCVH-oX7 z|DNR!lU8MYJIj0dXKvBhThrB)rWmpoLmtXbQL*su$c*!*)(2~os*OAGzRhKh?Q!3? zvT~u1?RQY}1e8rz^z)-V);G3IMSe6tUFPSfTLQaTEB6K{`B+e|Zt*7X^YNzPv&HLv zGkUzKPxyF_gOXQ4#rt~5nZSMgBA*{04~F%ua7|})zo|mrv7e%EkM_rpW}Vp~<=mn! z7p5l;8s`q;oV5qnDarG=7nEh+#7}91bKhZ^uI(hx%-x#OrgXX~q>WuPrYU`B);Kre z3(@lcyL;^CVhm62k#L>2o%<>rFUp@`o_Y!Q5|;W{Lp?Fn%QHfjMLlk)KCL#TI=P}N z*63WjhI9F%gbUBRL%XtXa-VN-eX>5W)>rMVbmzzAy0V(XEyZti&Rx^Ny%}G3XnwDo zx_pwGx@f>nT|cQ7KM7FzjLO?w(#BFc;(CA2C;dGRKP=(+4b!YnE}CE1eZbl#j9yJ! zU+UW$>NaOehwT|j)AQhkJP+>Y-V(?9evbA1tH=9!0>{Dh5BMJrEUV0Js9PK(bFuQZ z+?!_ktd$M*);Wpx!JNkMDEAs%XZOhdR{EhIj}Jh}zCW_@8Qt@;>w_7+ZpIq+U&h%C z=0-CZgEJORtt1B9%$EkoxWQ$~bYe6n8}}?n$|y}^mq9j?HZkEQEZ<;?X=XuDFd8WYfux^i5kf0MNB zW=Hv<9@g>OoBM^_kM;Gjc_Z^UmP3ysgj6js9)b!#Z(WNk zM`hQKsSHo+;#|*pJ0OWx|-0W9g`tPk!P8|n9xwpeNOf$~GWsg9e- z2H-eHJ%@dVeTRKNXK1z`_m?pr?Xzr0-Mg>e?%ZEkZZ@Bx9&J1Nf^FybMamcMaPD&~ zJ5*j{e>44sZ>8PI(s{g(y;TqEX}>G5-$_bP$Nh@-!>L^yt9X}swZ99d^Zb@zAGG+h zG0Q*k`{2`1a^#)t2k;`ObI7fx?|_ndRiD2vlpG8d%Th~!g{7Zj=`H=1R@s{3+@;&? z!oAYj9J|A36UniS<3-^vZ~I$N@+;G2gOBjodoDdA@3|}v>L%~@bq|D+V@ywsc6^!B z=jL#noU^i*`zJka&LZZU3un}(SEp8nhMC`P8(-&4Rf(T-#@GDO{&P>iEBws4r&+E! z!Ed`zAL4#olKEixoK*E!5m$6h-rn!JA5Oa3eVmiG@Ci+`5$bAlN^K7A#pHta79a5K zy&OuuZ@Tt@D(l->!t+p=HnNT4b6L#bxGev9{7Z@>eb0M2_Kwk(-o|!|$5!z{AJg?v z@=K_(wZrtpFMa+_=g`=Hd^~;*U9puFQ}oVIAM=6cd+=_2BevYHywBTfiir8fue~lC zRoMuh9V`gzS-G>JcqJA3 zMpA<+<9=>^Y0MaN#-gzd2WBw8;T+nxy1UwCPb_q-F8vquX~AN=-eRso#rFrOK79tN zPbdD?>w81>;fqW!n|_1ogIj%{9u8IiOxOj_H~pJ%9Qq@sPyC(FABHM_095`_rk@EF z&wHWd!_fCA=LY&Fl3(~fO+nenK*gMcLwk>%@`|QI|`hzgahicnXP%`!pjkXntT~dN7Q-P{e zGr9+D{1`LFoUv#u!+{k4muvX|&y13;e|_T}9F3v+f58}P?YE4z<9sMt57mb6K(*mU zsD8N5^glz5sqR1e{C7j?7nuGa9E<*#>3xs+F*6s+-bJQwH2rC)F*N^AKL146js6zX zKL&fyZ!`S~%kQfD@-w0G_cr}iQ1QPGO5O%X#vuJ0$uDgTLdBFZ=8Oen*;s`G^ZR(; zZ4&Rh4e-8O(k0jT)q87xAJ?{--q$!6wf6Dz)k_xZ?=AK}L$zh-ao^TGp|-_h(_aPE z_ir%$cy<)&CquRCTTt8L9@9IY@Y`Y#D*we$egCxSbGQ5aeWCJS0+qkq^!Gu<^I<5t z2*!Que&zc%Wy~0JP%#&vVk<$#R585{2lkra_F8#>+kN>Wo=xxXCMWiGjo$V5cQXG4 z{ad$q$Nz-@kX@kKlQ#VfsCIt{D&}uN#ryzN%qKnR`)3VQzpR7G{~A>JckSR0av4;= zv_3B?(gvkxm`}K;e3>~=GR#tZn(GIabth`BNhHh1HmA+$VjjzL4$}3EJS?A>IL1v} z)|at$5NwOXmU*QvBWo`W6I;SO2U8NIVTk`~MpN9?qw>0R|UX=+c3mj#bt z3FoIxX_P6EzqzGMQ=05_V27p2PLLMGra08bn7U^Yx2>h^+6L*G&eb~KOyztt7@cpl zALj=e`*Eo&5pAzY<1|W~J07ZTL|Fk14HJ)z;Sc*Mc?{tj;A+^5xh@xW7c}+*{$ju-JA?`KZgsY`yEp z^LO_7-qrqHzg+02-^W&hlG{y>?ToO^y*BwsKIF%48V<}G@8+$X%^YMFbC8*A!>P`n z<@`2W7x<00U2VYo|H35i|7s|^cbT5#dAxG6Q0WrI8B=*xe8;-_zaHqC59t3IEr40l zmqMkvuW%0uB>&w%=U0+M+f6oCp3)O-OKn$;0i~@a&A%_i{U+=P`-B`^!l5i+Nd^2PJycV7O0rNVET70e>Uw>+{Z(S>gHK* z{teCek>Aj431TjquP348g;Tum_`b4i6=f@U*5M{M+FZBt$*I2n45&JZ>&Ln4*L1s; zjK^{3>CJE-SH)ti!KlW=Hs^)viwsm>7l| zZ97zd?tsz0%!iHSdoxsj-eLNEP;HVFh)Ys}vQdGuQG>GKenZ?m@90|=9nqq9-Ln5g z%ysiMX~@SiZHA9+7brgmLix=>$(x{$jB^#fCD}GHtZfrR!Rl@_Ti=1QdaLOjGaI(^ zX1fUGuOc7Z+nBX-2HR)Ijc5Pw%=>-6)W%o5jZ$XwI4HRoYVC5qfmarakmw_ zxmiB_olsIRy>$+iK1!@_W(nsNO=*-# z%}Ka@S>Ahe<5^{x=HHFtUMKe!^&a!|h4xNl%ez7aVyX^%-%mivGp2{fm5r1eijMdG zU90%rZlz09Q~f9wUf=vqqkClMcN%N9T`BHTyLkUgq2%3AYacQFV#}8u$pk20^Gpxp ztSZiy{XuDxFvfX2Z^=Zd{!g||jA_!eO;n<6F;p$4ze3sT+ttT>vcS_HWR{tW?{k%%g3>){EQ#>E4T7J(w?{6|xeeb7vn=GZg@=6v<1?H`M(dvH< z%F?$@zuEGm^UbM^_Y8~J8q)h5%tt5R-CvL2MAV#Ac@o))xBYuhYwp+NZ}~kM`HDwn zB{kxS^~`+Vj+gA_+x1?kwF^uix4X}m9myOhUoSU3-1csdc#KYD%yS-H@8={+mxOW7 zdqZ=a1?(-ROdOZW=SYk7^a3BpYAD$bwKlMa*XKZ$l^w|iP;p*jx*d;3u6yF=U~bQZ zo5Zq#c!pIm(Az$v;`(7|!C0QTT)?}b>s&v_K;7F_$^AYONJ99j@nz)eb#$ZmW{;gVn)}g}Q|yFtn4 zpw{j({TG(+``VskulK)8Om6ktG7VdOEp6kgxS#iRC6sK1THAl2*AItkL+f@`TGo8! zp-LB_#!p$k^^D-|G10j_-^Op;)|hqklic6?oddOYJe19|pym!AH~rr%{~J)U2`VN@ z&Ej&u^Kqr%z_d=@+fFK`yp97Ko+YNL+1g>YyB7Ia4uFc~Gp28Zl5a!#jjs)~p2epm zFW>5mD}7&7+W1W#;Op-LB_~3yy~p$qT7J82P&7Z~7C&3t_{kpV{hR?M7elRm#q=vI ze~5b{{VTa=%6snpSB}qXpNo%&Rr!goqnm$op5*yoye%_}y`L{b$*)XLoZRhBUen`V zxqO_P$@A~%T@2k%ud!qA*z1PC`LdknQ%Mow!$Hq`kEbMd( z*YI6F+Xgj@$35D-4Yst2rS>A<&iEsy`(}JBOQ0kR)kYuF#OT}>9ZPwCAWzScp^Su1xIlw2IttF+Wx z8`P^>xu>k&&=C!PwYZ)gk4iy*X06=YpyUTZJ@*I3L-g!;JkO4s=Xy5>HfmONldj(S81&TzB-WzI)!zsNbZ4qkQmSHp}{eUsZfs+>X7!#iJA1s`+dU9UUJT=S>t;7u z_x+!S?fSdg#!ozMa%S%;v%l9e@9#LM{<_rkjZpGEOW*4i78jJf0jm5vE&W_e|0s;( z%@Us^uQ-GL-O(n#vem1aoqs@inzY>eOTpM~N%m%SaStuY{e}LSX{N1xRwU>CFt{ZMOnByagFl*rF>vJ`6?gF*-$d})s5Iv z&thXkU?XjHE`^dqS9lv1cl69GgDpu;HiQ1J1a{(fR;>PmP||avZ|77f8HQ?O>ve1) zwr%Ivt%2=|)p^it{N8lgDYeu~?$+3L$$#;_UI-;enI5*SVr{F%cI;fWIIt79&7I`! z&4!YfLan{Z^w(K_Y`@(#mD}d~G6hvP12z70FuL~P_b=UdH{Ko1wTUB+CuZt3KCWY+ zWChgPze3r*3hG$)chmb$_W3iQWIj}14h{2eG0*g)=Sa*gqx&Hg8RmJ#r0omb#E19b ze#&0_?q@%jrOufw^VTxIjeak>XQW?3+M!CruJS`YtRsI#>x;759zFkT+`CxUrrp(3 ze4N{$WX@}SI}U}CqoM3ds%E&@2*U9Lj<7M82d zqJQl@cIgkYTob3Q>5TM8NUJIhd&&>>raI(@b`^V8vFo_V?=i8L9`Q1Dt z+?RW?d_QK^qA9L_n|}hT-##uN*0)nO-j=Nl?~|%*YktMr({;Mv=F_0|q4iKPTnZ&$ zgNprHsJp6jR{4I|A4-3P>905aC#I`S616{V?ao5~JC5<(PwI8yHzTrbVobi#`}!P| zTxI%Cp;8_*T|V-%-E@uB_&(v=vPPQOA)o)L5&UE^5rzp(08C#p^NC=z6y<3wvSS^q58T38YIVvXs&LVHHZR!vUCl zvdc|^X&9H!pvRIWeJP6SOlBEmQQH=u!+!VzJ&SxL&l#5UEHdl#EK>X7biU#Cb{Z9r ziT`Ov`}s2e9VNw5xBh=^-fy3GLiP0pP<@;{)9=sop!VPUp!)Pt*attcn%^qH??Cn8 z%`gccF#QqBANTe|)TeWy`t;RMeU^i&|7NH@UIVr5-Vfz}J=7TZJk*%@uI2yO^6#Wj_zYm{&cInBBX)&lHrr z0BY@ID4QRGiuv=Vf6MZ(g_4`0Vj9|W9N*CxKZf^s#!RQ9#xs^R7fP|Am0z-$D;9Ij zVs=k8$6S0KG3URjXfQrc>hP9QgX|Ab^?4)UfBpbKI=EIxXw8g#0`<@LY$3d;V*7P$hzxBC)p68G9Rpyyh z>TGZ0R4BRB^kLTX=Oo;EmdzP^roV{iwqY7&YUqjgHJ1s~n%>i1-Ta<*#o{PhoAa=O zEyeZ-lnfPooA!kg-xhza(z717r*DgU+V@)x8|m}Bjn_fddKZl9M(51vet7hpC~b8s z@@sV-wX%OTeVB@=_b1!|EWdca&HLzwFwKAai{Iw){m|C$KUl|tHc|Tu=isZXca-F3QvtDDp&JvATbgloLWy1#=+is^c z;tcDx?k{PJrAiFh5BV6r2qhCg?DcRv$16L)&_jS{zyAHN0ivR3a*DDm&lAMEt*jK*Br z%9bdrKB+*Jtifn3{exqu*|^SZR84;zs%+nW{@xw)}4Z4&}KI{+N%+U(Woc zu&Xi|C>uE_e+8Je`X7aoFPeTmRLX-eifx1U*8>~=4#wZ&5r4(Feb|*$%wG*=tlp=g zbVk_4I|>W=UWM-Gtl_um7bo0>EE_(;{!hJ7AF0#Hc3i?f9lQ%< z^ZCYaDdIA%4C{pJ7RQL4_WH;-DvF;=xX-af3$VA&e`UEUStH>L4g{;;0+6@9-U7q?H_*#A1nxfFX%LcXB3RSt%8 zf$|HU`+6z)c|UIUhmymf){cg<`Esc7d=ixJIF6lY+sls!z8&_3gqy{(@dDqcp+0IK z+P0IQqS`WBze{L-Cvr>Brt%kkOh1JZ)vHiXQiD-y!uL%IsvQ~V&vlLWG9CBgtUV*| zn~kRDzT|y=0!qFNwe~Hj{<<2<&kaz=tUI9OE~xkoSmb*oTy>#*JXqYM6t zIP2zbuP=N5he53!4WsP}qwNY6=V?&#MyMF$eKy{n71HluS$m=1o}u2fJ=^bp(es$p z7~k$J^mE0=cbT(o+LrpNkL7SEc^%Z+8Ky^lX*Nr;Id!a?aB9ob6D0CefvXQanvl1iZR*Yw`m%-zSm!B6I1JWtKmy_r+m%Fwh2mZ zf=a(1YCBH4%x}ltq4b4NqB7YwzHbhEXDO?4tAaWO>PSjZmMTzoYqF(#nl?|Z2XFJ&K)1uY=j@h~a%IaOFKV|u{ zoi*Ees4~S7AB}epGHrZ}wC8dk=MpG69ct}rsCGSLdiOVc{t76+lCt@(nQu4N$B=@p z@3^gcmKfq#3TFEf^HqcLn)#-Wb;^)Pi`cR_=%^y`9UT zuSFlLUUdJY@y(O&{Tk!AYGsGN?d$FX1e(h)Q|h9X7$Ej9&I)UT=jAanLB z$5rC*;ix?ugZl1!zWl|Ty#L#v{98NIiHY3%=UpM*DUZG>5}lujrG9n7z3Kbh)BY64 zXU$3Zy^QqtvZRzoUo`9Nu`TuFCpnH7N9G-k^HVj5G5rG{)2pGxV#~)d%1#D5lKhB0 zeXpotZ%1G+-iDQHyxreI$)s!jHk<<`vRgE}6=Tilx{15xo<(Y5W1Pi4TA9PP?4Dyj zzGObjrr!*8Y`e$wKS1q6J4{#I6uu=Hs7P~AbqY{rOHlh+1#17Q8C{RpQ^pMR`%2?^ z`qJmohSoMcm!A5eZ}SOI@=2(*FF?ip4XC&`LB)OHkNk0A2h)mS$s$J6)odfhz!Z&QkQIVP`fe63vjX#T%pJ3QBRs#rffZvC>)^}e58 z2qi0^`r;i>{dunGU$Ok(L-oy*P~)!S2CvVB>Z8-4dgUFa-v_n*eq;IroBj5C1giYw zQ1<=?C9{6)?^E0ZC1Y+(ME4^mLP;9x+1sI}pAGfw>}u1seT($FBn?$73)QB)=@nxQ z`t395x6hT$+h@anVEee6{5X37l)MOP?PRDJUU#$a*ZZLKhoJK3+~WITPbhr}RR5k} z`iW4Y_PIXaz7$ky2Fg|rYMT{cyK9}wKhd5d{^aX6^ZTI1@mnZgk3;$TE7bNId#jJH z2dbW=OdOIbRBj!rZgPT;GYv=kR^`@zBG!!gJl|sY6qLUbl)uZMV!a9~)*nL2_0UJk zF@abl1sK(Zs#}37Q-iAOCfe~8YW!xPYUW_OK2Prd+~XnTy$#YEf6x4mm4AlOgg99^o#(HJr zYi>HG=7Kome*5oc-r9c+RP0-zw#)BK@4v%uuM|{%7OD?Uhw6hfq59xGP<`+LsO@qg zRJl(;)%yz6x$k<@?}a+{)u8(1_fUPb9jed%4plGt6aBTh4*e#n7;EasXpZB5H={mW z_bh#w!Irl3DQ5FBDC^%f{RybH|HJgC?^pZpt+)a2I}KGQ3sp7`eGH9nWt5*KhIo6H z?)0(z5K10{TANt)G3*VspS;)fe}&3l4<%|x(PFA72F6qL9k})W3n=P~Ep6hcP+xT) zHamOYdtS{4C*CvV`AD2-CvnVL7(tP<&mz+W&r4 zX%bmfpNU8CJTe1gDKSRE=fz4dkf!mh^$PyA{sfdH?)CjU6)IizCEtMZahvIiKer{} z&b`0+8EBZ67}U3@C%8erLp;cLhzD2mzpVy3<8SUp*Y@jZgZ$LYuA4H>l^*cEpMjD| zKld^14<&~~)rs%fYCT20@SK=Aps`O^9`xmZ4JFT*Zu>$yk?C}qMeL&s$29$JyWM?W z`O3&wv@IInidhx<`?dG?l83y%`=IKL`0Hd$FQKnv#`loheKRc1Yb_h=t&Gb*2mO6+ zp7Z@a;mRzzujrluTbO5C&1szXgEAvA=Qy9Q>2@da9?Hn~)K~I%SUJoVjH+^IqTmKKu=6^KuJ+w}qz3SbxB4y>LiXTZG%0hCg zXBzt7&Kp{!KCK1)5yw@t`V;@`?d=M+_EytZLvNjJCA-mn)VL4ZeMn>b7Om{nR`(9m z<35(1vc*`1@>3rr)^uPujx}cfFFvNvL&^7`)^3C9v->UmK^VuLnnvuSe9M1D;LAPf z>lC5n%ch&}rvGVBCGYnvTfgS---WvTX5=%N_ZI>`*&W``nNac}(^W60dM*9DF{oRz zvbR{>2Tk|$zQ+IOs!~Vxvgb1{p7Q>Nq2w*5NAI{T;=N^#0pa;8b13Jpk^79yC71bc zoG4u)E9z^p`|B;|Fyi0o97dXAp}4C*?PHnwS0BsXP-};oek@ek5_KfsfbzB3^f1o6 z;%xa2kJ2PzjF-2>SjSdtj8)R&7;_fG`z@x=K-v4c>Cae9gVTMC!{k-T`wh$9m)kvF zj?H13@9XGzn^XDp-xBUXmTUIY{}R6)oY{pjx#*(8wt^JZBO=UAM?w<;AYW+_zl4pGXOoOt2km(0Q z$x^8DvM)IUDu(k-58F^x8#pH5S=RqV;Uk8d12{U_<{;X8uavN9X%ZgLgOn2aT@# zlrK?)>Ra*9Z#8eXJZ)J)y5azlWJrtkY{JKQxK66b+o0?eOn(fjtn5h6fhu{0>8;1c zy7ghj@@ufr;cZ+FB^4+iKe6=IcBc-f-6{Gn{$^Fp|MyI_S$UGM&FOM;o6|FPZnGmT zZgb82ZsvD@lBb~T_452kQh+KeJCehpa?Uh;K-*-|r^P4?}NHVyvX!hEdLfh_!vB6uj348+ zUg75hp+251|D8*W#ABXnqadVe%qTKh~#Ke_u zTt!bKIrgyK>PL3F^XQ~_E|g+^p?YUQ$)%ILZuukM0rUS)m;a;7v&kv!&;0-6O8jiH z%>NmBm}TRYKJHL|w*Px9zcu0&9p6lBH|LywRAYWP67#M;#*?AsL#BTWYP|f`^pR^9 z#>dEahj^z?{!;i8Gx8hEu`+G^)#rJCGv|AM2SL^S7bt)4G<~Gc;%hX%ak2~V0!-ll z8BFl+v}RQ=hfhhtSW*npHB9sOl~%QhCGLad?mmX|pyZQKv0P{RjZitu7Wn+rp?pg! z=C=k_$IZ5V6l(voH_-nAM;T6vI+ko&xt}|l zb80{RYVUDLk43R+S^K>nmx&W$a;~5AmG|>8{2WT2GF|zS*--TlG`)3Bkj9tVkg@z6 z%r5jc-wajvOelG;rH}T1ms;Pc%bV?j*)BoZtC%j9_V>OjP2f6e^4l=q*45>dV+G2MaQ?*b@U0u|TEP-VVz zkWar3s^4}xe%f(6zIT;8WQBYxj&m2V zg!iPvv=JNeIb;-Dq1~o+s~3$6`eyJbie<<5ZQCwtP2+qKl-X&lOy>7;OW40Ba=-pm z7{(pz=aJsSeg0UFsdSZ(`GpoePXC|gsV(hT^1non8f9$zN7}F zyJ4FnLFp;j?%PZY0{f%QPfF(J8S~qJia##y1tt4J`FIOd$~vgy<(Ez0X!$yR<#J=( znJm%qOZ)g5|9@=5bbl|f@jheb*lt%o)%&;~N_Ks{*Q1zuMjY)AyV&*guGVH_1`f>V z)Ga?O;xcuT6>eHdE0M*lJiXeG}QUvdeiTQD)B4R)dxBAS&+|3%ulxYXSh4s z_)EXR`#BLx&Nuy&P<}pddh~z4R}OL zy1pehDY3hY|9hS4FQvZ9mKht88dM#(i=88(wqpiH^J`H1d7bG>t5H6Zd2H)w?%22&UBPzi_{tH3 z>YZ<~Tnc6LD$_SvzRILzGkC7FHLzE;a&@aW{!QL)v=5y6zvNtS-p+A^?b&pK%{^(S zBqzSzw__HR901in$C;kB{C2;st(m<7c5+tk2UhQ1)BQZh<`?n#w~O(`HFo@eGW`G6 z<~ow+hQ)KnxX-X`(Qn!{dbz*YoW?i}%8Z=DNBiHT@j1TbUQ5&bD?G2O5A}bs*G%Lc z?+N^GY~IsfJ*76eI#Bt)CS858c>@0jx{v<@J)UDQ`s%6G=sM#6hV*D#1<#FJbnUAp z?W?o-)+zs6yl=h#c2q~sf9tAyS>rfRzR-{F;i4bkk3!XtzRSKWdVfAWEc@FzFY^DH z$MRddEX+|-DS(Cy7RVk zU+H1K1Iu?GN|b$wW!{ZG{$`ycI%OSY9c3ML!+gbAQ=Bcw+!aA=^^%We%IAG-E56`$ z)lJT)Zi@TZjsLq?(XZ6hzCvK5V0Cu?qPJ1}inpQuuCV?ymhhUtDUC9zuX6pz5`V)% z>xZ+v<|f)amh&99OcIspU)9&cul@OEXbz{56+c#$Z zdcU17f|A2;usJGJ%1NfLgcA95yZP~%h8mw)82Mb?@R@lQp9O5m&X>*RU(H9~X76)4 zl-*${QQ5rtEXo&sr){8P!@4$p(m(e0kAsrapzNJx`q`E*yJgvHIcAjuo4Fgktq(xS zznLD!ko*@vZ>n01by%@-zqEQ!Ld7utCU2)7%743g(_*ui+@0f&m3xoXyU6rtyew}# z8?x;g?dNIg$#xbF?Agn6)9G&Z-9zOr+t75j6RK2giK-oR=F7Imx zl|Mk7 z+uGAlY4l6x9v{PHP;%YR8tt#jMszQ3BKP7ZxSrKxD|~}6I%j198+EI5(!JisiT5>Z z)So4eRe_DN)tRxy+t}~^hK=Nc(c>rvHgZVkiRK;H z*eQ);Oi*T2I}c)qr5@U8O2bZ|k80GGR6D=I4oe}l)0BptKyMz? zU2Vp>rHzbf#`{j=JV@K=er+_T%tigqeedGKW87sd^QwNn7wS#dWjoDHd2YRs|CQO^ zep9-(dp;VU@wd1ezgt|FYwTyG-}*6fE0mnK)y7PhT?@txecH8KJZ~%hZj8H>Wrx}` zmbo1Fv7~>K#oguG*s6zh6l+nja!%%05S?GjL5%MAKBnEEWRdCdHD(+~p7gU>3i4@j zNdFkiI*X%K59=t7itUdzsPl%~!!r$aZzcY`X*uqm9+!y|VTJx!DsJ=so`#Y;NLTsCp=6jP{lpk|EK3<1 z!*jaboO`<5RK8;{fqM*Nc}^APC%QXa_oXZW8A?k+vT5lLOmJ-d$DbI>vOxU8Q|QObaR&XN52i4u)e1@t~%C#U*Xio zwyt1H^83Nl=o4 z-a5xivtN|`-Fw{bysI-gG0%;>iAcZ6PxtE^@y34gX6H+0_q$Nr>Mql7eA@f@4V2ve zSFisbN^X0`>%W7NO@H^gKQ}S|V$M0pHL~VlinpQ|`~5aiOz9w|@;`jtpF_!?Ojo^{ z>J94uVmIF7UJ=wyGSXFUNi^b}d!^}0b9+;FMvogfbwa)I)ldI_a1}!#u#>lXpEo<_ zj`4P7CxsnJ#;A2gnhSKLNp!4A?&Hfx3H({{CH+dzxdP?aMr)0A2Zxz^mq3W~rOWZ#h zi)Rg#+yLd{w@^OPJ-(e^gVL{p%D)~;?tzL?k|G{S2C7U>@$J*gcAM@}+jhRb+VL#$ zC40Tyqo8C1l+8DCFGF$6?(_NrC^-`PDCk@Hjo*v0|NEKZcQkRE3s&~?R`>CsZfT@$ zzw5oyzfZq3sGFML{TvP@pE6y(t0Q$A|8F!O)U8$uNLR{timcc1AGo8Hg7LNU#OlEa|3_v5BNZTVdTK7W6x^5MBpdby=d zi-TBFlYE_hpk#&Vs#k5Pmka9Ut=!pG?~0&aGVS}KPW_?%#<{sGU&K41hx7ZSgI)X` z_;uLg^ARKCFYc3y*?HS!-`1O;Bsu8yYoSE(W$@$A2aW54au8#Bif`L1pyX?&w>vL| z_h-A`(0qSZdMxUHEk``qJnzX7i|)_Xrh1>_r+J@4P_Zgsat2iWkC;BpdTDwG|Kq%) zX)Y6{g|Vd-8_&Q8mQBd_<;F*I2c^Xl$M zmmR-P9Bn7AbNn?*uS?JBaPMMS{7cQDI0~(4P2+eOlxdxV<@nv&IV@|V`Wznv-Nr<8 zf2vA6>c_e<$+#Liq{r>C=)mqNi5GM?p4RyHjTfKZ?M{91&f_Z{XW2QvA8vt?Uz-+V{+K61nn`>fCRb&lE1 z`#ceP3*1AIjh4AhIjEc3-IrYkC2ur6?jwz(^nwnzoF$wShiP$H`OLTRmt56|)$Qs1 z?GGhKnjYIo9Ngg!UexJ-UuxIcvRl-4*{$*aZ}skX9=oSvW27JU^0r^Qx3_&iRNd&B zWS5b0e!F8&QdYdWhr8O}!=0XQ#2VXmFYtDzLdhOb`@>15%SURVx96bpBw`j_wenDN zkD{?`tQzZ3<&ygoPxLOv9!>9JWZJ|P$M?^&VD0&w#ebEx=MPXZJPj4=+IG2x z2~cyzlT3dbRI3Z77omA!^%^;??uO0veh+8?|Zv+7yeolN`f z@^&aW7pl*zrf-EDIozL3pShneFTX|eS2k8#{1%_ZZ^rC=5lXIr(KcV`_32Q4=bL^k zlut?B{3W?}EJ;KE?$M-?caJtbi|@SIdeCe?3g!E-{k`v3Lis+`^mR~vN3P3t4`>m; z?P_xmOXaePr_Fn6?##x1Q9H=n99Zmq9snaBvCS4n$MoggccpfMT z4eEY??j1bb>H2vOq1Wym6b|cf_p)4Lu{P_Be#$$_JIXumHH7*8Hz)kogf>s1pQkLe z-<;4lg-nOLk!99zd>@2*bdO>Q_b7N?IXt<`P3BzKyOO@+8AR&E9q!dE%T-U?_MXm2 z|0roWv!(ooPK=b%`P5;%nn5KgxJo5R9kvOZ@nG9h7{) zbd9OvDD|^J{i>Bu9O3KFhtYTp@6QJ7t>?qF*iw1NeWLV{zV3-oa<=JF`_|CED}#N< z?)j>2S#fH-tlaq-&dqOc>^t?Ny#4t{d;4#Osz0(nM$cXw^CIO{u`Q_^lLz=~(li{H z)8(clCcBCG3Aw(kpSRTmf1{ln3QN7;&qK*ippGN+Uh4IqK^;eqJjNfx&xg`K2PNMz zy<+-q$9j8;H><{Z=4H2NTGW#0`YSD5a{kiVZVJ9+F#icqnY+t|$nc3az;InKwl z3Q9f#W#_vSugYY0Z_4j*Yxb_O6O{~?dk73EneT*xiV*9q~S1$AU@{yNq?GOI>Yqhu1`0mlB zz;?~*?E4CD^Bk!9lA>%p$Ftm8U@NoS*Zn$_JZ-vcm95Ry5u5yvDE=qgY<>@#wD*^~ zD*pdZcfX@CR^u^Md8N0VdX=}mA5_~5reE{wM%;CL$Y=5(;@3BHxn~spf5vT%JA~2w z$u@rKX6IEayq_;Y**fw>@9%q1x+IN#F)KeQ{qA;}i~l!a{C?SrHa>Fy;%$5zO2(b! z^=qKoboy%=KJv27Z)j$)9s5={kC8%P+nwy|91SHGn;wr(#aWfDHoxDl26n2acsox) z$zHE*#8RieB)J$nE$>O}2yDdLENgYwK*@Pf?b~4KR*rW&nTv2AS@tQ0yQ758Qlx*`&dvlGf?y392}U&Zw2{&O5?7)zi*U!=gwm+j{85#OwRY!S}6G< zRQy*%9W!n>z3X-Un0^dYJ61s5H~kFM{Qe54c5H@f$4{Z=?~hx4>QrBE36!Vfq5PZy z<)>i!MNsk;sD70c=@UuWST)wwS2M@DN!Q0`GT%>2pGQAcWBcaskk|WoUJ51ehKlPe zrau9d)3ws)4?@KjzDJYCZ|XFkejHT$a!}&G>p6jax=;5@Mt)nSeR>1+qINP?D^~xH zR_~i{@b>-&C5N4E_Mv2z>F+ZA6Q+-RJ8pI37+NtuW&9*pc{@cYxyG5hRwu?Q- z&5dlA=I6Ldk41558Qj*~jym?1lAb)n`#T0oo*`Z3=O60*53^n%?-G{JVne#tGjHWS zlyqI|aQ)JhrtiEw%=gak;aI}FVCNT1`~v&yh+uNfc3vqkh>yK0E zXS)uG;@0zM#VxkR)vAYW4%g#$#|-nr^?t66Id4YaOAFWaT^`bTCZ3PpU4O3iB>#Vg zGRcK~yu&++&9F=uL%2R#-O=I+>xS$9tew%yhcSoigQI+3WBJHC__=6&ui?JH2f4Sw zviQ$_9|-k!&l{S?%JbdcVcY*R>*M+60l%|~#$LESip?S3(Vw((Chthj;J0T}x!>u( z{ZV50H??E$Ubi=WF@^no3VjscJK1SJ)p%WR<9{Ix{OZ_NIouyxYEZg3a!>inF?ron z?q1vNmdU>#`y>Bfs9N;LtL~5cNe{-{&27AIm$)egU zW;{mIpY=9RfRX~#dHF)qud@7|&Mm>dwo~5!^l>PR<^Q>L`+Z{6uK%6u8h5pk@z(gw zYc&{e^(*{1n{uTeXD30`lO!{Y)#w?-WRCTdT=(7nzRZrGe#y#TWA&r)yV{QZP+P&U5{wa-0d`kyRcWlH#y zRE#yKvhKw`J!Q;5U4Q3HFBnTuGwO=zHKSYNze|*Yl8iA2_1&C;=_O+Y>RZk=)7?wV zKO9tj({siGoJM-d^op?tXOQlWu=>UfoK14h^n$SjQ>0f+uNmEuUB_ZA=JM0nCaub>-VuqP$e>^9}guLKwYaoVEPkK`_q{3`TJRW zL+LMsl6OOmpl_Of6V!foFVuamXH4(i&V-v+2}tN+OK+bzHE`yFmF=@}?F5o*6&1*gCZP5-Rr{{l`WJ^2G)eh;Yq zbupX{Uu*hXEdO#iM0(Bir=a%Z?rS>SOt>$Uekhc@7tVrZ({F*=zqi0S@E@l4UF*vq z2j`MrF#TefLf;67;hm=c!t!VRu*2;_dKOCF2zP~R;XL?nreALPkHYz+&;5}vzZmK` zaWvc=zSZ>iSpGF|0qJ$qdnz5#@nbsNlk^vvejJos0QZ8|nf?IOapiY#ADFz(>$9Qc z6!-$T-t@0S9dEu5)9}}(KW6#!ukVPyYjz@(tcE&Hp9}T9vW=#H$MW~Np>Zy(a17JA zqz1K5xTC$EGG?I0e$MoQu>>{7E2h_sd`vPL%PAmp`M9+!1T{S?N?=} zb4JbdKUn_WH~Di&4yyiHQ0I=1K%FywVERp#zsJq~7;rLF`FBF?Z|k7W8JkSsZ26tH z_;bi&sPZp|+7Dk3bHlW=zk)i4Oup5Z-y3TGJRItr@mAB{ZTa7aI)^-F`ncQt zxnl_G9Pv`qUkT;^BB*o7Ev7#NwLfo%I%mwg-Ro(n@_DFp$d^pN7HU7g6Q?Tn2ZA519Ul<6L>&1P zD4ULmSP}5|exLK)%$dog3$1+l<9A-UdCzl}=XuU^)_d-`=K?uqvu|uTXWKd6wnHn!Nu76Zdi;-I(7SdS4*j;X>QbZ<1f*^P7A; zW5;{8btQV=D*YVCcFJpdak26_o++3T}pt7DAKy&`KqknUSTGn{TPkUS0&+O~Nlhiv*e z&XHYJnwf8($c~AuUy1C03C(c2c_RDl9J24oA)9`Vb7bF|B|9Rr-LDxN9}c8jA~eJ4 zt`gaI<&d4oA)9`Vb7Xr4XXZODvLhnvHj(|J&;#BpTKas!9emjNNBsfJzwwJ#^~obM|Sy;%zXPqc2HzpBC_unn&EWw zME2Q2XRoc-LKbz6Ae%R5UE@Cr)Uw}5m%5xNq; zgRc)zm*eV*@!J%bSzvEihM#^Ondz6kM`erX>z+4IdEYR4eho3s^l=_>gC(;~A!gS6uqoASNxV$FxV9KW&f^MQ7pA2wk9^EnW zndWLBW8Nb44jhGdAL#w3(Pb`>ekTK|O8`jMCgFDb-3)#a@m~vMy8D1k_q5PkfOM|G1vs<+AT`)k zr3yot?YSrd`}2&Zy7YNT^m80JkazxwzV=TI&$NFWdC9haNc(a&*?P7R~_>NFdEJ|`m3e@b7Sl=vk@r;-0L_F4&~%^6NN7)ZPNfYg<| z=xkk+Idr9;;~ZV{NB7k=m8EMO{Il&B62G|U_D|8Z{$r{CqSM!b)b*!8>PlX8W)6}5 z-dNFvrd}l_T-BNQ9$-v(J_IC>O+fN|5lHurglF^g3Qr$+&;^BOg!Csy&wYU8IRQwX zQ-E}}5?fKI?(xzgg%PBz#AF zBjBq(HToS6q&pEvKCMEBCEPCaJCM#kCy}({n3mVm<(c&`37uKCG2!p`x*Z8kw2I9FI_Y(&~|PMuqcvt4OxUXEWg{CrMCpdWIw>zqgY+^&4x z9=-&mYY>{@bcX`T`zRoFCNDbs42_11WG;oUsHDG3_{D{`<8QtgXL08nxsL(q-W1v% z4{I+eQr-d+F94+by3jVi5aQ{66!KFNo>yq%9}Hxe&38NE2gQHA#Cyt#mt*g_=TgHz zA$d;$eFF{OAdq&s97wlT{QFyLsTE%CdSgc~FfM6c7hXe)46iXjt@|+6k3)2y&bAT~ z9)95w0!Ah7;#n)TwJYrlUL(lJd`^4J4(ml{4t0Ga*)p|kJ#)*x+cu!;L? zAl*Rh?;}4mULKawzxys@J4?4g+L(ThqbyzzkIigXDd?ozyYSusr29zdkA=4Tl~&4f zn=xC@7jwv&FfLP$8)G}=xUq+jdGG+~zAE%tLYq2gor5R$tx?EeIxqOp`GHAETZ27^ zbYBHBk4uGK1!Njq_sHeYy##C2Gt=j{Xg|G%X5A+vp5vT8$uquhKUE4ppA!)nlYH8K zA^6oYO!V0(`u$C4hSOaDWIuHskoh1lI%AW;So18!m{Y=cxb+(29ng{ai%YyD(1Z7* z=(h?;cO8(tekAlg5^mchdPQHGXum9*(9dy>O{VPI*CrnL`J9MA?}EO4w}KySk`R5i ziGKSIGx{@}ZU~Sz`7)6CATK&w|Bci?tM1d!aZJZ+W0qci@M4|xi_Aqpy1Rs4FLWjR z;`se8uJezTbrI~l&hSGU9SpyMX7q(tTwBj1^|aazX~#J_p5e)~T}X87!M(pOYarc! zg#J`$>gdOw%=39!I%fD;I@nmDqSyPP zXBFPbrrr#vdk;uG{{^I;(b`j{t{PkdN5D^}?0O=kQ+Ma{lA@L*N=SE+_w6lS9 ztwP)Jw@dsO;`d1SR*7GPy`)U#mq8&{_JL2~UY+Ke|g}P~-dq&!E%onel@gAbj z@dU;pOOJ0j^M(930O?*7`X!-lIiV~$q#ftT$)AuZCn<8G`xrUvfOHQE{R^R8m~WTk zo%!;5^R7>MojD!8O!o^5^?k$|$fK^R0rxrw7=FJ5(%E{(sVC3$kTp`$j(#j}{$8J@ zrw=@75AyfmcebN{5dN%(5g?_;fJxCqRhjXm0Z11B@>eU6&y`mKnf4+edHF5-HfyMecq)t9yO!SBYDWm*AqxZf*{;C2}@99A5 zJrhV?lt)*#FTcq-1?MkK#`}#EmGezb&U@Tfn{o<54qXJuv@yXrFfRPw2ht5X$mlT* z$hhYMSyopF9R*U4eGfL_JCa`u`96u)1*E$S$b8-}{(lFOf5{<+pBqU2-xu00mk{{b z?TfVIobvg2|EzLB9$7AN;rBd{Zq%VhUOAA?ma~R(taTjHj&tNR;|w~V<4HVSd8PgZ`y_N_sCi{Tvyz3x6lFWcfrE%g2M?*^d4}_|uLNASK6uZqem% zAo)f`ryGDe4v=;29w5^`2_!F{$gp+amP2>?W$S)rRi>Si;MLnsgfB$(I?7XDm39K8 zUOr$_bSXH@=pF>pEdlaZ2uR%{K!@gww%@=&%1n+ZcuIQIb=_B4>X?l;-wWh#*Lbwb}J^g}}Dl098yrx1s{_n%^T9}Oh0?*i#= z7rNkZLyrV9{9vJ-{0BiljLXiy?Pu22^qxEgXRCh)#;tiE?=dC>27h|e^<*m}v= zcW|+yHoP3aL*VBF?YI>5V*T_v9>5;)qijEZJB~aZ7ZU%d74C#_-x8gJ@o6R>b<<70 zTY=2)`9j|YWXR8iE;_>G-wUK`08$s~MfVFJe{BU)MoRo`JN4wS6a8}8sTJ>eksmL| zPWABffp%Qz%)WN=iXU}zmjUvM87C7$AQ& z1IdFr(%E*{LOYbR&rQD%K|kuq%jt7x;|xz9Xvg({m(y=Wg|8Dvj2&fkc?d{8zXsAJ z#GmQuY+bOR)JGTkW$Tj2(j{f-lE|Tp=V+tLQ9$xK4oK%0f2OCa#1(_zCS3NJav6Sz z<2fc@orkM^qV$P4C)xIM4KBoUEw{qC$#I<66wF?~sOrsUdJho&9OvYBBl!CqPoVoO zxd(@Amh(S>bO(IJ&#NY`zJ-*g@z-t^DbdrOwyDwx(gD!h9{?_>_W zJ+q7+3xRZDAoKVwAoactNSO}{{W6exQx09Oat%RG#_>oT#?y8KO`aj3XSU%J1kx=B zGVD?yhWQ_#m8d98*JG-&5(G&*uEg800<$c8nj*k{uV>Ng%^jqnSr} z1bsmEk3k@F77;oIe~ zm;|l$Ycl8oa&Nj1m=ar@Jjd9q14x@)1fn^Enz3>mkYmF%p;rQ_^PNEE@n=A` z_;-Y^IM#%p0A!vQ1DWTq1G(?>N+9=p-UQ@+&pUzS_Yja8eF9`&Cf4GedEita_X&rD zUJGP+0!UlD1EekTj?35kfXjf~|LXyA|L;Vgwk44KJAky!<-l^#_W`-@cPo%K`mcmn z98rR^;A1!oevL|hU1vZr&u{9^_X&`BDm%f{lXf88^+5i*3&?u1N$7n}H063Cka?^JvYxCK`aTJN9mqVZ zd8VF>2C|;)4`e+#9LRby3rHK(0V(eaAoKDoAnVC9K-QDNCmH%EAj6jfX^ZawX^T66 z+%JAVkoDvVAnVBsK*oOqNd6;EHu;|hWIbsDvYvbgNE@w}aJ$bg`>U);lh|lcKj9VUE5Is#C+exn&z$Pg-=o@M@lTy;_*`(7 z;rkenJm{EL2Yn>OCBdI{+;No8%XBzxau)qB#^cTqQsvDR5#=w}{D zr$pA`fRTMUkn+f%?mZy+7PcCiVIKV6QQoS+*Rfej_+1z@{5J#1gO2)%|p`c-)4Bs1yVkp zYU`gz`L*f#6O%Nf&Ne)z0u2Y$!yWMOSv;bWrtloYqYCKo@a=#{tHmQCX+9JlXoLT>_Q%S(zpb@q<)m&_s0(`opf38Z@zNO`XcogeBe&jUGhzMaS`x-MN` z9D4?Z-z`A8{{ks*{JDmn4P^c*;TP%3SJ&ezuW_DBXZhvhJ67Q=KQiscJP4OgCvh_T z5XBwN$G4j@d1v@ZI@?c|F$Qqz7Dd`0I_V{Dh9C7+(XB9gO6w)woXd!7d~4&7&~Ro|uC77HogS^L#U3or24jpW!F+ zZ9f4=LDV1jRi?bXK)NG^w&OP-eoFk^SDScafaKw{J9AzQzXgf&xA2~t$PKwh4^pF> z%hl-m(O7#QrAFf%Pj?7&{ET<)(rZf*(JgWg_K;m;WV8b5?h!iIH$Z6*-}U*b4wv4e zAhxyr%=1yK?VxYw8e)01c|NMk^oD#D!WF^X(>y!KS3%nk{9~X`Uz4vk&X72`H?sYD z_pMhK>UyGX%vTTMvg6x+WmP4rD!h-H&^!j;$*xdi@!g?PoZ(dvH~SgyaBP8c^4@H0 zar7<57Uu$Ke{-&7sVc2YpKF>8Z&WzPfkyw7vJX%`B0Pu*$k@*N=ElXr=o z_{}+dOSKYbd5y)HUqkAOQ$@-2{Iynic)-K`W0U4xAl*IdbMYA5het?w_`oA2X_o)Q z@ECP>E*^dLSR*`w;1QHGvwmuLl=TP?y_TMCdvT=kOIQbx?rTD`tYY}xgUc>s+t2g? zz31qW3Z!MVl`{QV5B zTA}&-d0bOSGp`?*@C?6A#hOQNy1`iA*orgeU>BRetE@nk%{2F{j$IND<>PFVOuN{A zJNnLi`3h_TgqK;6Go72+PEOqGX52S@ow>iaIAq>CdRT?zrI3rVanntno~K%+L#g=2^+x2q0^j7>2Yu$?I`gcF z_uBNQV;;CRL(D%ebNwfR{5$Jb2-YqLKk84y`)iYz(|~m60?FqJp>LFM@}av5NLjZE zZR?rr)f3-3we+Mvovr8kEIkw8m#t?H{2V=dM30w5ufGGyujDDC_n$T2c(r0WMl;LOQQ6;#a#y8fhha~uY_5Ngf zMWzQb)ze1iVL-aD(3IJO-_PSpQf4vg63^ebxD(vriZJ$&{a&%&3+*#p}xt-WEE^$*p@^*I`9$p}6 zKakQxK=uVuAlq|~U;-EsJ$??PoBCU$=Yv4{OnBb3{iA?PJNkEKz5H+>Y4;z@y7@#P z>8pU0bq|o~p9fNxt}SN$^fDm(nwZc}0vTTNl99iod?zH|Dr9Wt74!pXyAY7PqeAxp zsY?P#-BLiNbf0U`3-m)S%j^=7|97C)=VhaB4UjzQfaK8zB#+P^O}?)Nl3w|$c}{RW zkn}%*j9>9*lYTmoI!;QO{MQ1R$hXz|Su>{ILF9oL0ai>c!SmF| z@l5E!oX>1I${A+lt6zRJgZkMk=W8KWwT@U8Li1BOnQ0`C9?>HSWIj~4(a!^9z4igiMnK1l zu-gJUatvH)$;d9(Y&w-PIt=`~(P1Kxa%KZ*hdLnTd>6;knxWJ(oyFi zbfSv@85X0CT&Kggwa4Sz+GDV1WE7rj4BBA6s~y{czCrLM&o#pT9-%i0{U(qyCjP_7 zKLW`3#{=nqHjw$)F7yD*bLoZv8PA!Y@poMGPKn-}k1(wlNM1y}&VY5<@mPB(d%rj| zC|IQL^)~My501^FBJ)kr;cr5J3MBs}|1`Ru52TiF0qMWbheqecK++MRsk5ItjV)AT zVb>A(9!w$5%w`=(S^A_9pK*QvGJKkWr0IH)o-QPLj0&9;S}l{gqo5zC;{(eovF70# zrpl5-6NBR=q2k~mf05L|@^$HU>*RlT(YxK~*#%_2e*|QC{0d0-jQGC-q|cy#n|^f+ zkn|cL``e8|$ARQi`H|_5X8`G(c1L?eC4W7F2_R*rfNTfuNn!QhMoqb zjO&5a=Pse?*CTo)fJ~DDGLCzNv9DLq52Swx$a+>e#iPnxV^ndXC~kJ!8v90fpy$r& zTT=8ItF4Fgbb!=pI*_vO1=7y{1TtquE<>*c(%yd-x-73i*Ru$a>7E0U&!2#F?*N&1 zx+wDFIL3)fK9fMoQY(#JJV2)Q0a=HGf)OCc)|g-%NIpp*&$3gisKlDXSXpy0_TRV%_TO3gWQS*u z|CDWy|D-(wjIB=t(scouuRj8rr#FDKb3wi-)8RnUULeDd0y6&DKyp|Hr2Vf2(xU5x zei}%-ZUu5LND9dPFNYNv|6_qnR|h1YGlArL36SM^p63_H4db1NucALIufGC*ZS6x5d54v z!c>e;850^%M|hrd^C*1lY-I15&K!f1dr&vB%bL9GvL+pbjdYt5Tiq?T8#mCDWfhS5 zKNiULJ74H73I95fcDWWvyQ~A!E{_12$ESq;y@V%$jQoO_9Q*8 z*YNe)SkVu6e>~1E1M;xTfV8*Bl)(u=x}`wo=UE_ic?HOPz6oR*Y!|wGkO|)($oR(q z$)O&|yaa_lN5Yo_>F$Odn9u)+oyNfiq)!9V(dHrf9Ruci*WH`L7BTQ=+9yQLry{qw z*vMsAoc#3jcz#c`1oN$8RoG?bTMZV^DAF)~LelP2Vrc2lyv}^1mp0!uN$;)M30=vI z4k=J0alP_QIgbp_3vEBUoZ>9E5qPd>=}SL4+MeY^Jmieb^$c}^sb9cMk3Rq?n&E*gIFJ z*PM0zrk~@e1Fw&>^5c{I#N9^kJgi&OtpYM145yn1Wcp^IEAcyuICtXG=f5#GIBPD$ zk89{ze%bBEb1U>N$1`f{eFOT@QJzx{_nw(q4pHdAwoe@ymo5Z9hmPR61b@E-q#HQg zl*33M{h6L_Es!#v7TT;Qm@~fm+^0e>&j^$LC?H+4&}BP$W)(qN%1uH}MAAGbJZ6qG zJni!ApM-}`S*8`*;_^F~IcQcUg4?xCw6G;C5 z6#tKbOhdkO^MI6nj?m_LUs?9PFMSV~lzc}J*E7oSxqY;e*8?QqT>Hk|x5@Jkkr5|W znD`zb^*jSew?zCa@jHs&!^U795#;&vfUrzDU%rhv57bbK>X=hcH}_3MVGa@`+BguhSt z1%-b^Xd-#hIY^!{_(z5RBS5-80V#hwka15OXZ#z0OtS*WG37#`UB!BD9-hfyPd&bM zwJ)BP?}KOMC3sfuoR8Ea`o%?`l%V@UlYbvDj&BW-|FV6JysLrKV=a(%>O~;K|0v;a z1F7G>9uxl{Aj2z0nr~!reTnT{j|*MqdnrN4F?-O;@ZA-+D%xPayAu3SdOTNOGJN(0 z(vfGhpLDCObUl*pIV)Wp>6ktVWM0%oGG76j`^9On)l}RsdX;^@*h9W~F2%j(+4FXX z4((_3yaPxV7y7FcjNPsU(r!Ni(oTN_QWrWOG-*O_xWx8ISrY3KmM?@A!uO+xn>yPZ9}g5(>?;_JCH%{N|U`2GP%_mYXTZ}jH0}Iq&amAa=8l+8Aml$=)*h83p?o(^&6*}1HwH`?K zU!nWx<>=?kQEWXOKPQfZ;!l0z(1$Kby>y??eT`|ILY7WWy@^gVy8RMJ_dJkw^Djcb zF5%?w`KIBo1pR^`U>W+SGOTmxRXbUyT9ZSbBiBLqVMcx}kaDj8(j|bbuWt%n>^1fF zDInc{gdR4@&~{x*K`!;}5&fdT5aQAAW+3JKG)FqmUGl8%VzDFBSDSQ)0GZAQq~jUl z!@JVoHRUNnyf5<$4=;G6B<;w_Ce4LY46n^Vx}y&_^gJNl4}|`y&;zEL@a*|R1Twr5 z)&QhC$B8?p5bs(KQKi?U_ZanvP9f+Nm3a3^x_<~=2_Eig1?oUtdcOthUKnd%*dvzV zk9ZNpxg1yd5_xWj^-S)mv;Acp2-zC}3-?RIEtaKb#1HET}{cbp?Tl_lE)-VKNp5!sDL8rj2+GI?e=-9#XHoF#Ob zb>>3?a#)5Tgay}|@o_1T?mD4+$Axh?TfeI!g(tMZN}ex(=V&y6hFygys423HSC;E9f3Kl8?O_JU@#r>_*K1-RBrI-7QlEyXhcT(~bC zi~GE>)#a&CNwXg@=UzEIH^kX?z5?|lT3AdHl2|MHjE(dt+?#>j%`14P6N(ofDOjLhGWo<$5fAs>vn}Q zvCk$<{Ukls`e&OmJ{L%LkC5jaZ>~6JqxN8lMS|DAc(B$F1)ZxK3i&BiW zCB17FQHw`Z(rgwU|FU>^dwC264}8-U`-kyO*AT~&jTR5jaYm2RfOK~XO+EZO;E}X= zBqUAI@rK7jAk#ZG=kE}C<(NAZ{WM*6$rDVvvJ(xTDqt_4Md-shHzC^dm)-nLeo^Q` z*F&Ak@ciGr=b^`0ucePOm++os^f(DfcP5bi&Nqac|w$hF&E(q;R@j@D?Eee6#d>q$hlXE z%r8*i#TC|k(C=IH4#zL&KElCaMQT_*_L$+m!P#f#j6Ly&0`B~{ZsModm}l5wefT3>-=DMn@;oVf{Lp7h^_{ag<5(|R zY0UeGm!n=^hW8OK?R_87gQlIq+vzWu*5onNn@7&epqIa^KwX4uOSj2mCM|hHT;|aZ z>(fU$vYfVueVE6GOVy0#5_L4@nI%|DEa@5;XaDA2ZRW;ap!t5)FsyZ#sgez5rHJ!| zZNEv6(Nb=H_j1!e?!UtHm-hk5Z?n*zm43|}VfOh( zhm(QS;Ub~00#e>XK+1ap$ThKl3!VRU6YiQ+tR|r!8;(A0IO@XiYO@!IX+6}@THgv< zdL=J0=|2Y26<#X*_}zPyts8lA*OkUAS;xW{2kP-W0C6UKt3VyH+|((XraT|z>GQlZ z&;DI)$&DjD_p^#dtr)yk|ZZb630{qj!L?F7;S^9lJW{ z6Tat*oXdgac^#1Ud|kpRH$gd;owitf-Ip2t_6O3f6xvmUZw)TWPx8ADPXDbgFHqBP z)vthkMqnI$0p~N|-me({UfAcu?Oy4#Ty~^b46Chpj)y6&t0_he3$)Ct%AZ4p7WIY^MwqFs} zCWfeyb?Gx3STAE1ZznI=m=YP|ZZt9v0+RnUAnWH0Alv&!AoVc)KkSY(1u8M$E#p{* z_1_f2Yra>YHmx#s%BGpl4?XEZK=O}L?=P2P&)RV8Su4YyHMeqKlRlS$`fuKW{wc^J z-v@3odJnqU=-mpW-j@mekkF3^{fy9u-D2V&38b3?B)^5?e}nk16aSxye^UJ45r3xX zk-Q}&Zz&-C-B%)yo?^TMITX(-hG1{+pcLK?h!2o=5K}wIpHohrwMMVkfOONpZ}h$i z$b9}%=zj_QkAtI5}Z z+e~=j?IwN^kh-|c-8^w4t>bj zaQwrDehEk$)&IiKR{@O%SeJoJ{_X*?tt6a4r)aSHc8M+zB`1d|x;_LNq-1m>bn!$)_z2m{mYh``I{bYd}u^DkM zG-IeulTV6yD8oA+8_c&1la}t$r;V&zfpo76&2;YXARX52Mq*vfd2V0yT)Hn-&zQ93 zK)Obunbya&mQ1geE-LYEm2~e3t>3@H^98Jlq)L-|p6st2hO@+w_X5n_yw4V>3vg|d zeA+Z+1}T&0LxcxojieN7y}kQ!;;b_bmJZ2;(S6)+jqa0x3=N{C0`o zBQ(Qfl$&EccY4CI)$@kG7f3f(Xr_-dy`JBt*S3RJ+K|M(QPMssbjCfkbsw(l3)a#` z)DOg*5p&gQw?5M<2|1Lft}%7n17yAP0nMChSif_s`19$!^w-BFUNCw5+3!srUjtI! ze}ta;2SdkztoKDPntHz-$iD2rErzZGGW>QR)6)g100qeXT)JOZdFw1tErC(Cg z9rlvZ;{l-Ig0dtZeJ{}WyVfgzm(F8S(s*7rJiZ4c56Qb(E5W^lUZ0WYM(U3R>Qr3U zUW|4)Bz^t}{qDpSqd)SMP21^mm0dq;8bNb!Yt~DAuNJ5}T<%MZjBGlFuuZt^XH>SI zv!0{x1*haI|DOv~1FmM_XVblL^}RKpUk_9<#Qiz0sKmEv+AT)A=V&9fFQjemO&S|7 z0@9r)G}FhKKIynke=&O82&DUk&`hhon>!zI zD}74hSH5P_pA2MLI!{08w^`{!68}L-|Ax>R?OoQj$kW#kPxtTBwoUz?(c!?YMyGi| zrlkw^lYY9DJ|yw)l=ROC?bw%mBIKi=H>B^cgBB0Z>qh2RfONVZJ!bB46G%(fLmqm6 zMdleUt1UiG|C17a!{0Fc4h6E`I7Mjtxxf1lcowhMb~4X0roZEumRERn=JS7Su-AJd zqT7uAPXXx$!=Ldd0qGoDWR#QDFVjXo#5M1<&cr*d({sMlx(#{V0LH!fe9Oq4`L>b! z-FFRL37Mhy3e*j_>@#&T{HWO93RE?&;AQ9=oW9EP%Q`7oz;_n6O4P0X{H~kcU ztM@S%B|RMLfSZa{Y4b4bH7LVg1GkRb8?Mi_D#_sC8ig}OH<*2(l%Wkf%~vlLwSGQREuheFPf z41bqihb&WWj92arrE#W<{1bZ(aBX8czJrS}+fSdD86K`GkpBv-J6CKNnH-)d(`kD? zEKq;ORdof^;yG=21ne_R+5WEKIA^S0zrzxAmE*n{>yG8ffB7{dLM9KUU7FwK`)lU6 z*+Z@CTUTDNa(JN{4jU9>{#1Z<)SgcY)DyT`DI58)>52-huOjdKRyLmt`4F!8;Q6|? z4Rl}`#Q$5MUc}WSJZw4_54M${w5tfv-Xl@|V!B^*+6WHV(*4;@K)MHjF5vS*zbxU- z*d^(_X1v9|0+}n~9Hfw`Bd8zHn{|Y`#IkeGBE<&2(|5%Nn=VSZO^H z_c$QknL_vKXZ0U?ZrWw`z^Rwa+%yUPsewk`*doJYK9I8embvr1XMifi7|-uEn=%@J z_1!$>9#p7~z~#Tnl(S9iy|KM(#d#`$cpu=pSmN4r1@?Rl?J7y>_eewe`b-Pf4el?~ z^?uVZ6)Y}PALHtg^ful990+{tah`HD+O2Neg(`{j`ai*yf3?xsrd^D~=hOW>%c70c zb*At30PQ-KfPJ01!<E_^BS;Uo%a!u zS4_L|R}NIkTh0BF>o!wI4hFIu*a!MBM`^}o&!=ra#tX81bA2E7PwBFag9qcj38ahQ zOd!(z)mazENUQ@4$Fl(ES(&yE?)QRY3)S7YO21?BYtwpP9@5FaJag~BeR)%`KLR?Q ziEFl`v+3Ucl4T4Tx~}mz>It9Is_}(tJg$o+Z8q)Sw@`&~*>m#qNNN&`k9pM zJ%}DwsD6O!3>_a#Ved>o%mJ-9tS9RF%<;pWOxHJ`*VK=5fOO{z&2%27%bFidx6(!> z?&Feni_oHX?>m?5liWBvdI~PPt=WFr{eK1exS_5hS5c}kZccYjk584HKW;5_xnCIQ zDw;CX6@(4e;%c}~`j!kD`9fTUxGF2I)27V$l&@mb(C6cNSoqj9?cswx=z_G1UN4X} zJlPMi?JsG&_O`$2#=dnxx(*=Q-K9c*Tf!^GW6j!ir7B4A$&$&d7gej0?eB%lROP12 zRYmv;)R}8k9BB?a0`D_jkNk43zIC;xZ-rlwe&Ek=o3_)~?TB$xI1_xt(V1mq`?-eX zsUg+HUT3TeeFc3guB{@=rk(e{oVf0qLRE>&eS^`_44x$C&Ikl=q_t^#o|5sKV?j0Uy=V`#E%#j1JzQJKLu^FakLz9Gn@JlRWu$|RY&w^Xg2xuB zvvJklXl!KDx#IUA?t{2iN_?Ak+WKBcW1HT#5jh@nL0sy4MrWI@IIB>d6&|e?;C;w_ zToro09fKXr{qR8C52IhJ9uca*nCx~PrSN1uS>VstXX^K$)2JMbGeW~hs{H0zDq4>^ ziL0J+*hjxGT@`IQLi^2~U#QweKI7Q5ozA7p&N{!^q4n5`{ulc2ekQwbw(Ev2f6NUM z!9q2z5%XUy7v-Jh=V~j$K3DL=JZwL#cM-y@7s`8cE54cXIht<*d?KJSBZa!i&#>Yo*_Pad@m`py;Hiwo5$xVC8C z;9=3aZe4`(OVq^D$=+qn?Xr-uFe$#h8lA zeT%dSi+0*$CDVnuUXQlZU8n}(@=1J~cJbN8^Ek&jQRuBas8}7ewOEbbgmW>mCNvo9 zIYn4wiX!c=afKwkO*^)84JlMZrWA8rPIK3lv|0GcQ--{R^EQg$Dnf`K9^F zSjlfwgo{)e`+L;;$f`p19bE3UVgrkIY~UL1Qp0CT{aLgF#Mn@eIr+(`zs{VT^OFeY zCH=h9;(aqcH;G<-xK@Abx2C>nW0Nn>J^>V;!*G>}hnqf$icNBH#7!=XrI#*n~ZP*f;6D z1miuf`tQ?*XxH#pef)9WkQI-1iNP)v2jZ+BSGh{>axwRD4Y11w*g*2`Pa!SSoO!9S z&HX^qWl;J8^|@v95f-*NRYKKpaj5!+AqbErQ~AIvn7Z==t}wQ)9OAY-hrwjcRq`ME5azH16` z-hZJw&*OkUJ$m?<8iS{ejjx)hWXVx@GQw! zB~!SEKFfb(u^P$uAz#3{IG+9LcSsP=PTOyuocX=(gHdj%Gof|(zAvs#lnJ}rw9`-M z=LqN<-KbCQ>e9G=t}OFg{|^h*Ww<`p=~1WPpXrBkx8ev-d2Vdp!-?ElsBXel`$OnJ zTTC(Z6Y%rX4|*}&rtLJ^-p;xZ#|^AckVnsLsPDLL);w6>2WI(U-NlOI^5iRzQ$ClL zs!L(x3+szj8P?#-s`c)-Jw8+J9=d!!_*DVy)55fOrN<_YspIqpKq@> zd>;jBzHIAyK4<2M72`<{Mc;yFSa>$U{;U>yFs`Q`IU!4q|8B$gZ$P?XKg}(N{CxfJ zTVwG{O8Rf~7=FJ3GOd~Gu@5wQ(=XT$zqrM(=VvDU$r}v6>wvlV?Z^%h$f>9vgnc5F z>2EEB%soHX6}Lg2Js$b)H8LIt(!D3Nt^-&<%)mOKEu?-i$Rx&@#{-9`16K}EUv9;` zuNixk@tm&$dsc8>K!PhRTxX8G)wixC?l*cY+i3Lo8IU~x3v@xRng_%dGLMxy+Pel+ zCjPfSZsIS5oL}JD0-fm0^Tk1UzKCbxXpa>rn~Lg@@j;{c9e8|ex66EM*Gb=vx^)$< zzau@dtQ_YNERwz+a}g)ra|lb}@~#m%oTuUgkgkCP)WDhM8yEV08q6K}jgYZ*d}D1y z$Vq?1qlGGf>vSjmxKcF^^P6$#f4N_O9QxRC)p#d8IWkc(66=}p*K3>b$KLfg`Jm;i zuRd0&j=}G5fe&#$=vlz~9loV`SpO-@co%7q%&8q+Mn6%gj>nZi8lvMqe#rce-N925 zGabvOC(GX(fxh<1Fs*&;tFDE_GlOtZ_KXwfBJMt}~ zhwrYw`Ez1(UuzWM8*wFZ75x}{32`;xqHQC;<7tp;vT|OZDIL~8!^&TcX?a1Zg z*8qOmj&(Z%|2uXfi|K+-_LVn2hrDQxcoTBO^S{Yl;$9_(UbNZtpA@SguGP5gy4nMJ z3$9&tsb6R6&H9qUI!?Ar#xvvj5YPLD(b0|@*^n8J^~L}DzVTRJ80OT29n}}ga^m$@ zUk(x*dhYISGD#)EcP^Si$JpPHk5dp==ow2>V0*gtNAf3E&< zWsZK&FT6BE{JUdg+6L<$!?)Wu4pb{2Nc(;7iDI=4epL^q{T_qgng?)}jFmR@WU<Lt9lxJC;XCDyr-YRy(HsVav%H);z4fgp)@~V z5#G;y2s&G7&w}5!`v$6QJ?VI_mXxT5o`GuPhP0oj6yJ#3Fi@?w(pJH5JJLoi8MEQ1 zAY+S_b|w63k#?Kq*Dwq+;1{*z){Q_~$nDSFKAdkr;B`mAt%BPHitDftpC6--IKUJ-mu@NU8H37#()6ikWSPX$Yh4gU#(Qv_!Vo*@_#yj=2ezTnw{ zYb5+u!5+c5;Io2T1wRrjm3)pBJV@|J!4m~r1(yp(1uqsp+KBk)W*vn4Si;{G)Smcv zl7u_-0jbYCyizbC z7#2KR@C?B^!P$aG3VH?i7aS|-7AzF}Xo%4_CHRKmD}v7pZWeq*aJ}GK!PSE23w8;% z3Z5lcFE~Z;Ai=SM!vu>2KOJoJ`%v&*!Pf-02tFbBu;2#4I|SDXUMqN!;7Y+of?pA= z5-b%|f+^`gUJ%?Mc(vfA(w{i}kze{#hn`}7M9d6GMNIBgq*MI4MF%d@EocDi<(N~@ zEqcmeO3(>kj=2QgdcpCSN6`5NzbCj=!fOR}B>anD9z&NWa{el`_Qbz8Fn^)DT~HJF z=aYB`2^I;aFju1U2z|WZ>4Gmy__KmKGX6y{7o!Ub`UF=$YWxd@e*2e(-XyqI@O;4q zf=3IE7yLAC(!DAe7rb6@xnP6fbipx#O7Jz&XOrNqg8PepIurP}L1@q8M()vq4T6^o zJ}CIE;0WyDr#n$_rQr2~F9?qKm5Dc9@C?C-;2nYqLAThuPVnt4eeV$ed$YLrJ41gUc=Zd0uKK;f#{|##gP}hZ zjJ{~-gSHslBzVS4hWAwF1uqpGFLu&}ihon2zi{XmJ~ZjB7Cc>WgkbESCcIYg-46^M6Pzjd(Lap; zgMw=WYXv`k--OQ;4E^2E@1_iXPw?%(8G4;ymEc`}HU7~-4aW$C2; zIVKc|aZ&&|H@7tPCN`lnW;3)<)r6LXTRN8ahH9}0+E=GT(%ultb99=lYF0FLXUPmK zL~vjs`R=1rceRAtdy_X%?rbgfKph(H1dHBagzEH+;MLq0b+t5}V~0CY0t=z!jM`en zoTOtmwYM~O^v0whqeZpRvL#aq9n8e)D>^*fxpYy69?Yd?Fj(u^)YUY(x|jQLG(q45 zBtJyeENcsQHueVT93F>|D#_onwy9HkLjxx;C4}Q|HpNd=HOu=gSQflGpqEd!0u~#! zpTx=#c6^pFoIp|2-QCpKkx_|I!B~U7EF99-rk=CCG1Xy>AIk^ilC7?q-|+q zxT!Ur)03D`=U})FZ*A;uYE35&=y1(>ybjN-WvsjD`rF>Nh%F*;YPfk~b9=iw30CiD z?`$%<2I^b8=Ai6l+X$douR+<^hosLN$ z;aFI1m*PXlOvvDKGE3jwys5f6NYymAgd3$m(~9iO#He9uTN(c8oKkC(SzRwi_tnMc zbBw2Es+x?ls%vRl*45p%yyZle`_4+*-=~J`UV8Mm>3rl!N+Y2~q{}`lj+2ceRn6I* zZKhw!wxSdw&VR{})!5w9wXmx#+|rfKsV)<=Gh1GEJV&JR^|4%b)EzgIlXKW^_c$y- zpUND}&upZ1XpMdIwuAPHc5mvCZuNPpsdH(ltEIcUS{Qu9hX}&}PrCnV^3K!d;DR;cnmT1vL{^Os+P;y`H{Bot@p7Fl6Hl^hCH8Ov2E` z-k9Ukk5o-lX9s%QWliA#)CfZ)`lI%M?!VD7F(#xmwxjtqhS7~ehwf#IOt=p171JGV z4+Xlz&1kltP0y~D0K1vCc84W3ZkRD=CKT8kc9`s2IK?O)Slr&Yq}ykVsSVKB0eepN zu~#f})tJ%ii)0Em(!fdRXLRA3585htSCC1pk>d8La(x?(`9ZPf#Yie!mN>{FQDQ8rR*}iI@ zFCE5u*1};o(A3%7;uG1%zSC7rSIg4Q|=a6pFFKOv$!9B)jVPLd0 z3gptTg5#4mYI93>Q&(GvoktrwCd|T?c01LO)tdSY;HbB2v6&|?asf-7$C`vW-7X~z zU;;d2cHnrVyHZA^T~CM!J`<{=QCm2g>{?3B%D%x_S<5-QmH=(CX2Rl7XSc7`YD|IS z^}UIndG1nHeYJs;sI4iZUZdwKCiNXv)7BkW844`!>_WHaTN$!Ssja)6H=bsCPL9!C zicNK|(lJ{)nz~kn!Y$1^;foT17CVbgzL%JqySxR{zs`=FY_%25fU$?&7)L+Iy!i8! zsmuCmZI8B&z=K_2IdD{?A{p6EgMcXRQ4E^}^b= zZLg_c6nmYTrC088^7FUX!YsXVkCLCiUSG}9EB6@r`Rf(P)T^Hn^7GdV&+~RVK7RgM z=_hDT#_HZut>+MO$-A`r($W3GeV(gT=LXjR z(a%h!cS#ud;Vk+5nw{4TKJbQYQ#;1w*97WXP$e5Xnp(IN9cbxdt=kK=6*8QPJ82T@ zOoc6L=Mq?l`)LpsYcPHgw!`D0J+z&B@071zMLx)dXE64|+u4?Kz5VDFjMcTQBiy#M z1!m`7nRM~@?ju;q9?7W+d$_EwMVrTX=?BZReUsR;yhk~Kz)6iuTbctcE1OzEVXpJ1 zHL?=#!5Y7oHQU%{57=a>g%01VTYX~)I{=z{dy+l0*Kt^}XEQ}F#m-*umziSZWbPCA zAIQ14sAfego(t`$NaoL(i8eNKHpjc=|BHHpZi+4URJ4uL_F^5RO~G3C)>Rluum@ys z71=2;N#F#tEl}MJy#v#BWWlL3eK;)vHNU@h*jww3Dc;@XR$}7gN$K8gy=G;fJ2U#U z;8a0P%hFJI)m}9vw?3%WLhgv`<=Z9?takKVDy!qD1)JvzYp}Pbvx}pPY5Jdmku*nY zbv9-Ve8Rg2+^Cic>lTd(aC>_D&vHD!Lw~G?pi|qSr!^zXnz>~Z#jYgac9+clt08a# zdSz6#`GK6m^h0;`&w+UA-rdr*oCf^d6=Xkf07mdr105|Z!+~z>(1IG+4B8%mL6%}N znqy8II6+S{N{aNe_$7N%R8sJ;wmhddMuA520^!!K&J}^h*uk_6wF?t*Gj&cInH{;l zD~J@;a1YrYX<}~{Fq=BC6E@w-+ZOMB^U%W!rw$i!WXzEPXF3qlJz8&#$A*PnY=rFS zRJA?s?yjDW3Th@w)0F<_Sqa&#v$b}aJba#_%~lz8u#ueZcXz3=rz@czN|1%$ncDI> z=OBC9w@b?F;eQ6&SfD$EO$FFFwitUBbh~fg(Y>shyJz=hxP|rVIaCNWXhmb_^Y6x_ z6JcNWhyN#~u#=9ScZHc_`>q$0x?Ydcfpq=+d`F3y+}UVPOLj?h-GPqVJNI6CtofxL z&AHyeEi=6%&Mw_VXSUS_JwJU&_qhE{5818mWBP@_aot$w!Dhf+?2(=BKpn=>G0g3t z*34+vdv@|^;Mj2uS5dlKG4^3=zB5GZ;hl+QuI*3&Ef+0Y8fvmxW82cAWs7$w$9l$9 zH4r;}S2}Zuy>uHW14JCF0eA^1UGjUw0_e3%On>p2N}1h`Qy{xE=bgma)ah!bJzkqx z#cr6}8L&Uix7Q5q7Mi^*!EW2!I$^~%J)_xe$((L+cj*LOv#GL^``usV3Evc>J4EA}koY%IT| zZs^YTf^M>zcBGy&8>V|~KG~mpuC?;GqZ(!^7;a+qdr%K!je~Ef^jhgN^wKh<^S)Sb z6@HF)N!Xk0)VN)0Z>XZn$!qA|;dLx|4cj}s^se{edxsZakyT^&4liAON9-M5^QhOzy~9iI zyD#N<({j!p-Q7#OlD+>?&Z!o4uj<%?j*@dI_T)u{HO|A8y)@!0h2ZNQ6}D`#HQa&YC{j)K1>^nw)?3(N1dTMP@Z?k2v;S&3XtkXuK?& zHcm}>leYM-^ceD2IndZY$rp^=O?%wWhH;hm5dQD?6+d_Yf zFTJh7DPGj!-G6yj8~au6zgQY-)DNfZcdc;xNV;b_RUZWtSm@O&O>Z$xh>zQLkN~C6 z!&J@rrg#)*T6!w^M19oa!8Y=`9k00&G{`q$DLZn z<{=j5Zg9oo%tGD*jO@2&>;^Nb8_gN@drzLa9Buazox91&=_u3&-5a)bEN^UYYYsGa zEm@{Fex<9A9xX1UtQ|yh*!_dK+d;hE$}gh^#o%<7iHA>|q&J{_?3#x&8XA|h%)P`ucS(P>??Z1 zg%l??c8BM1mx@yFhP2B46<*q zQj7B!;)Dk1KM!iOh1y$AUUW7dLrW6bMpUe>Np zli>as#P~6NZ?= z`DyHGYV|jUTW6>z$Z5{Xw(u-$WbEbN>Xgo@=%$^rQP)Y{B^q=)O6N1&ET&S|I58+l z)eMlBldD+`)G=vVR~wwMDe>z&JKI}v(9h)RQ#%?%STYRbTQ&oDNQk4-Ndrz<*3z}g z-_oVcg-vj?IQsHO!<>~$eItKiTgRN0Elui#Idvz^Inil>Znf|vR3EmCIUUQE;*2iR{8`sxwyMs+ z8}Ia^uJoUw=K1S%^Idpqb->{>9QD-Hn`4)?H7nKG-XcMBO886xkLp(h(DYE>xVH=^ zgq${mXB{=1ZvOMGWZtO@X8DisYTobXFKt=M6C!vj`fJx_ep$VIs)iqhEzcJ4^?M7A$a3^zp5tCiCWit@SQ&0ZN3W!b#yd0nG=0ZGt^>s(nhJ5^8!oH(Yy*> z0f{vbl5}NKbMpf2T$oX6c0srk%i?HX&mb4euv@PSeQ5xhZ*E$F8axN##`mYjmz|rs zNB<6?in|MVK2KvizEP0BSnohq>el?lV%Td?!fl<40*i4hky1?sIMuF$Mf-;Vf#uyR z9P1xOyXwN+0v%QuW2-;#w~m5yw-`gGJeNH5v2;qE#^1UQT$qm|dD@hE0%@AtmY@Lt zh+iwsPt^`B>gs2&f;rooW?|ICzKZ}3=tB>Ja%>4NMVF}57E7lg z#tiCMSj74LYxo=M{hl$*Pc@e@JcznI0Yeg)1=c#hewp29CbdmqKnvE5L}GKGV;M^JHI)0(?j_ie%wFK_UfZ~gmEm_ji6=?13JV$+vzC6Fu;>ez|yO$+2$g68v z!a@0jmQ|dt9z=Q;dqsGtX{2Viw4*BrIYZTw=I|}C8%gU42d8H`imKKe^yE)st)LGk zs|y<2m$m3Q@si$jX}=TbN8Z|NhMGaz$aZ{YFPPy!&Y3IB%%9(3rUK2qTYXZYNBJZ ztxndn#NLVWjBZX$>)A5y(&GgOlsvS%R&==d_D)`)YpEWgKEf|dW3dA$(AdP8yPBIH z(9a?9L3H%cp~e**%h6l+bx;1}^g8-pd{&8a0q5fLDZDo0O!;IrzRz-Ui3%>qw=;i{ zuYAk$IlQanHMsEG2aF;tcu~GeJeIG#H^Xl&eqWfcVz(d+{vP~JT?O21FofU1I}is~ z48Ie&+_+Lt8jSx4d=M7D5`MU%xDp>jF_%jPp<&MldHUiv#r*h^RuWfaj7xuuEIGiX zVz`3%I9dGrF6GCSTI+&NNOy}%C2n-7C@{n;fUsK;_7wbnjWqZjeH(mmDPC{7RPqhP z`w%?0gBPyo1biFhs5})rGEYTt#gD*mT)xNhl>e)^@V%cT^z`BKz6CwExG!_(luy>=_Yiu98275R5uK)MU?%wU*?cD|a z{{FmpzxJ~8Onc^;y0f!Ie6eUK>EK%UIPy;-zo8-8H!?&4-`DOLKzgB&F=G_e-+OYu z*bs%#Rc?rU&eQ7phj_O$7({SCW{A!{bnz2u{Sp0~ZHV5N3GYrr^j&6%Xo)4dhg%|l zge7{)EYZQWU@Y*vCTfY^QQYU+$35|~C1TL~27X?$MBx}qbV64t_kP1o=X;C2+=s5u z@grHmeJHT`)}LjI?p1721Ks=L=S|`m$nOnp(NSoN2y_qT+i80tyC1rg_wVHUt$dHM zcN@~UE#Y$Q*o83pEwJg{cI=OG?8MG`v9Z2&D0`DKU5C~HJ8H*bDd*@7gh@H~byAj7fimvKt>y{jo}%nGHADwx+P)X%F_v-|K)F#~ z5z4ENvg%vLbu@V`M^8}riY3~ilY0^Vj{J@eK12uTxSMNd4tiS^_T%rjxW6{PH|2Yg z=v3^6{?KQPbatW7DEjN(4&9@VK6Fv|6}tEg`#9bdQQD2pF0Rmi2KD(aQ$(QV0rb4k zagWM2fxlyKxQ?DUWAC)>q;aQup}lM(@4oz5O%t!rvX# zxvmG%F}n7`ts%|%)Ft`1I2`>^KO)oz>BplB9rlzMA_jffeg1c(1N{hTd*~rxY7l^A#BiFBHuOj4kb~|n+Jif2nL;a~)hAefp54(z8fF0e9Jbi_b`M|#V zwnwkC@zX*ZG7B4o?h_rN&6L*>#LKsI+ixdbd<(bxNNB>Iq4Pu11>GyC*FTY8^4VU5 zJUWl@J>i<2Xg6ptB0JNTajkg;8>224a4o!)zK3?a6S~PWQxp-!&bxtuuIPqde#g#6 z*PWz`tLPw~CvhJN_}v9H)#&Xc^i6sq)FGx9qW5|HH4w(L_~Tme0{Lv@K7SW9A;aHY zGwCn+yO(Q(zYAl?@OKT@j%noY7NUELwr3#M&1id~*y5|SN2EJ{G55)4ReNQ8t>FDPK^u!#k{S)%thhBcEHX!;Ta$AwUErIlOui*YY+&dFpzQErPP$zaIjXTh$Od%|0Xq~mVeMLD?_Oje% znalF-B~8(}TuD=O0at!U=OM%If|E(-G34iH%AIQmbf1EbpbrX8B|lpb?)S6}kiV?Jls`J|ToXN`?*jRI2kfefJbxir$ zvTn4Kt}fcqF7nw+9t!7?53YT`0_7N?f9|}UJU}}opYx)nCm zPMEYs9n_JIo6yI*#Q!b!i5(Z-L7cR?eR4d_@5p`R3!;#}oN}VS?%359OKEqHspZ@8 z=ueEm9v}jJjMr+oMt{>qJJ+6_$p2lW2c7pG2Zxh>($p#4D8qtnXutR!!9D+2%9DG& z{4L4|lQt?QW%w<)M&v!pJ|DexFutkb?-=2UV~F#8ba_1S&L=F|rk)wZJCDE7L5wyk zI-UFv=Sq8Cb0{*s!Yjb9 zfI`A8jPSQ?6Az#-K{g*h`P*V!+hN0NlP`!s7wwn)7I`<{A8*G_3%954pO1b!0e^+G z{gofABIdwEh{AM;K|9nycMo=b3FUDqdb|ePTS7g;);lla_r;Xi)r7_UcEZgk+#2%K zNqQnjktV`#=i2!`bp+byQ2w-|?T4aw=%DQ^*qFYuo+~=+l)5C}odvXcvd$idKB%7^ zr}KM9>dBMzv*bgNk6x}dT=Neko?+y19rC^r&zop%vd zH+rg}F2x`U?NGRkGI$wVp$w7d7m$9+v3+y;9@>CNC21IkpI2!EFGZgE zkbf0=6McOumDZuPj#9ilC!T>QZ|Td3_T*p)6}? zpYjX2%D(hN(uR#i?m(xd=tuUk)Y*cgvHb=7%{8Cm%O1+NhO+I$mTIu?t_A3WI@yce zM7JUjTQf%G?{50vUfT1T72G4AowOO9`(d|d5iV)$s3iTz6CQ|aep64{=khnd3rS-i z^`(Y3p@+7j;80|sQ?@e@K{o}^EysS7NCWLl{#4|TCGY%>koI=je$?ScxCJ$YNn6uP z7~L`~8Sa7nO&ERXp@+2fp{L$cDZh_Q@fmq*XKc{Uy}qMyKZfw2knjt+3a$mmQ7;dq zJ{M75@6iTuEqoV!M)><3ejmVCoZp>g$gM{@Hp1@+bQ7UHdz`U6L|*0kI(^BtmYK0#m1ojRDq#>iu|7~Mex8G%e>B68@x2fcSpAg@P}x7m!}up<^` z87HIDPYDCR`BF#s(l(7J+!%Rjqpl)Th`%WQc(5)y|D?Z!Ze;Sg7n#L<+za{L$?tC5 z+M!VDl=%C$z_zzUH${YnURauINs>-$q~YHuZq==!VE}Y!&k5+6U!dP|9!q?&f#u%zIfO}(M9C72`iF@Q;^7s(xj6wx*BiD^w2XYD;B zgZRZgihJx^z(P*PQtr|aJk>Z{es)L-puuOt~YW;E{c06e@D6BiF@~5 z__-hVF+du7NJl5>$me&yOfT^k5^o>r=p!99E3lf||^D5A; z)T~CINgXc0uDZDPLD#`tp%7w_Pa7J8_Dj*@AlLz2(pGgqFLXm8adlN-YX>3QNZmda zzp|b!B&-I~c@kIdi_^KzBp)YpZ6Z%+@|(7#<9OoZer!Hh?sp;A#ozhJb@6vTay`;6 zF63{*>p77t;YCkFo@>`BT!}As7T_#U>We!f=iLPmj$a#!`&ZjO>9x-gWV>Rk+A7dZrD<@53 z$Pd>V(pdoQq%{JC*l;0b8joRqx|*=uo^={SYIFG3!f zj*F3B#Pt$%Njwqa>xQnI`AwYBTS@N?+#}8o!YSn1y_B#CuaB^zh3Eik=rcM>`Hd~s zMA6M?>`-3EaBpwQcQE%Mb|q>3i#BFU^i7)_r7tR^FY4I`+uNHk784KcKs)VrK6c;H zO!?2ljeeo$D9UXP`mdr)xG%UL<##^6BXe=%cOkz!`Q43t7xD$j_i{hR{Tk#8_}$0- zUU{Fj*k1aGPU7pKuEx+;KI56*A%Hz}Y>FGy&`x(jg#NPzn~Y$$1zdX;k_Oo?lJ_Xr z&XJ^r`p|bCSK7bGE2IhAEs$*?zdQLI8^(Po{|eD2g6B=v$(+cOB5l?{@ly zLRq%_-NoNAuI*BWw8o(K6XHOoXEA-;<>+!S>7-BV<{3t}?AxI0a>D51+uRzrq8<97TbN0C)%$geblKAD5PD? zhk|+7nyepO`=I7%@(Yn;C=Vz&7TY|IyvhDXUirJ1Yvg!zM?L7CkIada<8BA%H(1V6$0)w!Ysro&nABzy{M*5r!e&<2a(QTQ7+t3@7; zgid%Beum8_aUZ6`DR2vX3>!_(6(e9KoCmkV>ma7&iXET=X2bb#7rY67Kz?1W*c}dq zc32D#ftX5|usa+Ao$xTc3%|hn({jb$Pz6W9x$p>l4r@)%6+_@4m;ooiHSidG4;$3y ziXxZ~VdT(K7%3Ugo)JOUrVKd|BKT(K*ZLmjlk1<(bL zLm%YM$rW2e1uTFi@C1AY*4$iC0J}p4#Nc#T3Xj4&@E2@758H>sp&dHmN%#!3qj85( zPzNW$66l7v;9JN$CRc0&MNkbV!(zA#UWV^r)nf?@c7{@z z49CFba1Xo=-@>ZLlPA~%%3&Iu0GGltcnscxpCP}U@L)7dgOlJgSO!l+FZ=|noscWG zgb38Y$*=^TfnQ+L6RFd1D%=Ghz*Z*_Kg@+I;5qma>gMN)v*BLoh3yvPiZW=0Zul7T zPRa^-j$d`@!LGB3uK{!uPPjX`~GrU?JQF|AXIP z*VA)(j!Aui8{v8Q67tRVicntoA?JmJ4U;*3?Z-IF!^#@{b z89WZTiwGBLVFBC%ufSih{$=C^s^AQ`6`qGLAZIacB^(4t!`<*Xta3T!1bagj%!Nhp z2z&y@6}e)27!GscI#>=`CuISb!xymom6R9U1Yg3wSD_Dh1Gc`Jx(l7~0DKOsT|-@g zbKn(NcL{od#n1~|T}wX-H$xu`xsLV_9t3S^t{4Vqz)R2vt6xu9!(4b9*192A6vGVY zfJfm|FmI&IheKgLTnq2R`Zo~=%z#VbY1s1ST(LjY!pU$AJPx0Nc?;pdp)em_g)MKT zO@t{hAC|(0uvr)VG+Y8tzzWcpArHkc8P0+`;7#}gcDRkO;XJq-K7y@pr(c0H;UV|| zwz&gcKs{UpPr`Sw@tw2*Fbgh$Zuk_|xGPr_LOq-d&%>{<_1)AHxCmZ^Rqi2ea3q`u z55UK;`n~80#zQNd2M@s8@E7cUALAT24_3ey_ft1u4lII?VBiC^T~G&C!h5jMgOook zhL>Qyhp-RmfaS2t!;}Tghqs}i8$H8KU_OH0;T(7yR)3U!78b$X@IP30d9D}=+9s}oC)19 z@M-!GxC8WOXiH!&Tn#V4fM;{X1h@=70`ocAM>rfVf>&U`^VByu2kwAg82AEhHcWuo za0h%3g)cG=gR9_W_!&0qq5Xyh@C>Z|5^WKTfjMwFbi)ex8#a2Gb_OOu6PykAzy~nk z6~-tq9_GLzcnYjn2@@v5$?zC_2OGUc9|lw5RJZ|Ng3m$wAN>{V31eXvEQF=-G<*wN zyiVD{R5%VUg8ShW_yY>xpbo$hFbfvJZSV|y4%(aa*RUVd!aTSZ-iO?`Xy2d;=D}s~ zAiNF#z!q;4HcW<-;d*!-w0EeZFcwk*AH!NJxCe*8v2ZEe4X?nrkoN&;fMGBR7Q<`s z7wqvN<1)Aao`;`cmygf`oCvqTQ}6+pA7hU&5~jg?xE(%#RX@oUJHlu<5pII#;VW3< zQ{snt@DgnG8R>+};WhXk27XSz2PeTIxEBV0fllFV81ND;phQP^i z9dyI{Fz{>g2ZzI4cn1c2gP!4Lcm@W1i(cRuSPldGXfL4=u7PJ^)$fpj6W~Gk6ZZL@ zaNth(9}N6~`V7azE${+-3j=;+E&wB;0d9o%p!6rkSMVWh_%n42-h<75p}l}-;cLkI z6`ey79164GDtH-wfsKBnje}Y^8WzJ-@D;50J8d`2fD7Or_z*VzgEkgUfGc4+d#8fyAu7wxj3ouv76T87oxE{WO zHCD|N7v}gV*4DSbNPpu?HLkEwB{cgFj&F{5&xN z>fj`}3Lb?Opbel5;Yhd;9)izcjkO354uLb_Vdw=hFpqt)lna~y@58{g$q(EJ%i$wf zW1T#)GeqG8xC7n=Yu!At6V$_na1ZpsX6xmN;V=*Ggg)4GebNoha1J~SAHeDx5H=hJ z?XVOcht)UC6P0i*EP~tMIrtoMH^L^M4BB83+zW5Rx*MZ+m<{K{L+~!Fx=Ehc0Vcp~ zI2*2oN1zw}gv~e26Gd=191S<((-9Jm#F z;0wsxB2Ns0D8!%xmcc9V3v9Y&o+yEta5=mV`CH|Qz2FEq8WzEF=!Jn>=ZSscFjxRj z!go-x4RsY}z(TkKUV)!r;I`Bmm;h~X1w03YZ$mMWeg1;4_M`{juWI1R3YCtwAL{jpOx z0BYeZco;qb`vB?;jDmXTfG6PxSnELIf;zYm?t@QZlL+$A2%YdSdic&99RrD!%OfhY%n5E><`s&3S0w^zzSHcjQqneXo5@OMObwtHUWpjx$qpUIST#4 zv2Z=S4;zlo6GNc^I^j*Q%BdeP1D3*Pu)`SaA8vv_VANRJTzC=Ih|)H}x$ruye^8z{ z6guHk*nS-KA1;FB@EZ)N$P<&`TzC$0D{0GNGF%G(gKr^se4f|^#=|La13V31Lf!;y z7be0iSO(w0c2#*|3M_`VVbzJG0S<*TpbI_(>tO0B90te0weUFn0y`Z-T3|7}2q40sHFgF#22M_39!LUA=|g`40b*sKOWun?YxoLb_6 zX1EbPh3zL{tFQO*7Ok|mFa_qrQg{K(HuMS?z%#J>EczNa8am+-_!L&1 zO<6%X#Nd3m173sQVaqwB0p`IHcpUm5e=hYKs-YcjfT!V4*mxf040Ui0+zlT<&e4Pi z2S63H!;SDJ=*Q4L!J%+6+zubY>c?UeFdfc;2jB}>`#9o&Cb%441mk$ZfP>*wSOUwT z7aH2Jakw3N;Coo_1kwVB!*Q?_UIFby>LZMU`EVn=1FM{bEx<4P#ba)tkf^AP@ z%mt^zP4EPK4g*fdMqnCT3D3hfu;m$y;a~~;345MNeSpVdud^6S!Y@#~5S_wh@HUj6 zjSazS9n=9h8E%6*gT-!Q2s6Sx_~PtdVsEjJ*q8G~_7?|;14Tp>iJ_ucl!#I>Obizz zIALR?7$ruFaxq4X6;W}J7$+)3r5G++(P;rcx>_hG-BoMWbjEF>w^%?`sjQqK#K%W{WwTbu*85t&b7MisQubqFtOIP827J z`C@@MS)3wH6{m^Q#TnvEX08jx*`h<7!}%}giSs!p=0b6ixL8~wE)|Q!Wn!_oTwEbK z#g*bJakaQcED_g=>%>xVJ+I{4C~guri(AC4qDw3jw~5=C1K%m`5_gMx#J%D^ald## zJSZL#4~uT`hi7mta@#S`L5@sxO4JR_bJ&xz;73*tr5BVH0Ovr+j~@fvRozb@Vo zZ;H2=O~1p7D({K+MXy*PJ`f*@kHp8~6Y;6|jI#>95MPR~_@dS~;#<)tz7yYzAHKShPI}buMN=F z(gtd4YwKw1YU^q1Ya3`AY8z=AYny1BYMW^V+UD98+Lqc@+Sb}O+P2zu+Vz z+RoZ8+OArmHb@(+?WPUUcGvdM_SE*$_SW{%_SN>&_SZNcQHy9r+EA@nE73}|VcKwQ zgjS}F)JAEewQ_BYHdc#j2WjK93awHbuT9XZw29im+9BGZ+F{z^+7Vi{R-@HwleEd& z6s=C1s!h|TYxUZZ+6=8ho2fNwO1 zwME)x+G6c;?Fy|^yHdMKyIQ+OTcTa7U8gP8uGenRZq#nlZq{zmZq>T9W!i1p?b;pM zo!VX6-P%3cz1n@+{n`WCgW5yd!&KA zwJ)?UwXd|VwQsaL^grEjfoqi?Hkr*E(Cpzo;fr0=ZnqVK8~>Vx#b`fmCVeRq8ieNTNaeQ$jq zeP4Y)eSiG`{XjjU7wJRwV!cE!)raZB^$~iRK2jg0kJiieG5T0Nsvo3}(<}5!eY`$F zuhJ*#2kVFEhw6vvhwDe^)q0Iyt54D=>r?bPeX2f9pRU*IN9r^527RX9s5j{`{V2Uz zZ_!)zHhq>pTc4xP)#vF)>&NKF>c{EF>+Sjp`ic5U`h0zXezJaweyV<&e!6~!ex`nw zzED3~@6gZD&(+V<&(|-|FVrv6FV-*7FVz?6m+6c3%k?YtPW?*#D*bBx8hwd=t$v-p zRKH%oLBCPINxxaYMZZ<=(wFJC>9^~5=y&RO>38e*==bXP>G$go=nv`-=@0AO`Xl{=VL;uh2ixKh!_cKh{6dKh;0eKi9v|ztq3dzt+Fezt#Kn@AU8WAM_vfpY)&g zU-Vz~-}K-0KlDHKzx2QLe`xwOLpKb=G%Uk5a*S1sRgKk*TqDm|-B`m|)5tdl7;6~= zjkS$+jCGCmjP;ETj17&AjE#*=j7^Qri~?hGV+&(TV=H58V;f^zV>@GeV+UhLV<%&0 zV;5srqtF;+3^sN%h8VjWdl-8fdl`Eh`xyHg`x*Ni2N(w$5u?Z$Y7`qKMyWB(7;cO( z%8Zf5C}XryZj3R;8d2jQW1LZ8R2t)r2}YGM(Ky&R#5mMA%sAXQ!l*WCj9O!oG1-`6 z)EQHaX~uM;-Z;{jVKf*sjYgx%h#5y2%|?sSYP1=%jM>H`e<}d&l%4fFBmTx zJ;qDM%f>6ltHx`_|BTm-H;gxpw~V)qcZ_$9_l)u4Ariu4k@qZeVU`Ze(t3Zeng~Ze|vk zo10shTbf&$TbtXM+nU>%+nYO>JDNM0JDaobX-Q2_6)7;D4+uX<8 z*WAzC-#oxP(2STx=1{ZPEHO*XVdijigjr^eG)I}E&2n>$Io6Dt2btr{3bWE2Z%#0) z%!%f~<{{>x=3(aH<`HJKS!33klg!EH6tm8pYECn!oAu_A<_xpJoM|?iO=iqI%4{}U z%vQ6_oMp~7=a_TNdFIjPG3K%6apv)6yLp0nqIr@z-&|mxY@TACYMy4EZk}PDX`W>+ zG|x6W%yZ0h&GXFj%?r#6&5O*7%}dNn%|+&A=3?`5^9r-mywbeNyxP3RTw-2pUS}>f zuQzWnZ!~W*Z#Hi+Z#BEjW#(<>?dBcko#tKU-R3>!z2<%9{pJJagXTl#!)CYni210w z+lv&Ckrw%`eO^&9BU_&2P+a%|7!x^Lz6L^GEY1^JnuH z^H=jX^LO(P^H1|H^KbJXQ&^g%TZUy?mStNx)+*Mj)@oL+m1nJPtzoTc4hSo;b#?~g*rq*Uwfwj4{g|(%%m9@3CjkT?{owdESgSDfzleM$8 zi?yp&XbrLkTf139tlh0WtUay0ti7#$tbMKhto^M6tOKoxRb&mdimei>)EZ_Dw?a9c&$99cmqB9c~?ARa-Sytu@J-Y)!H1 ztf|&CYr0i$9cj(58myUCqt#@^tfQ=EtHo-y+N@dDY-^4+*P3S?Z5?ABYaM4DZ?#(| zSSMO1S@W$0*2&f>)~VKM*6G$6)|u8>)m}=D>lN!&>ox0t*6Y?A)|=K_*4x%Q*1Ohw*85hkwZi(q`q28w`q=u!`qcW&`rP`$ z`qKK!`r7)&`qt{RzO%l!ez1PDezJbHezAVFezShJ{;>YE{<8kI{;`Cu*}84mrfu1_ zonxh>D;ns&ZDz+TH9Xs>OrW3OwkXRmK>U~gz|WN&P5VsC11W*69- z+gsRM+FRLM+uPXN+S}RN+dJ4h+B?}h+q>Ai+J*KYd$7HmJ;dJK-oxJ0-pk(G-pAh8 z-p}6OKEOWEj@U)^P`lVJu}ke?_HcWIU1pE8N7R+P=nKVqa@tXD_v{ zw{Ng-v~RL+wr{a-wY%(P_HFj<_8s<}_FeYf_C5B!_I>vK_5=2V_Cxl=cDMbA{iwa% ze$0N{e!_mze#(B@e#U;*e$Ia0e!+gx?y+C8U$$SdU$tMe|7X8$zhS>=zh%E|zhl2^ zzh}R1_u4D$59|-^kL-`_PwY?a&+O0bFYGVvuk5ewZ|raFKKncSd;16bNBbxHXZsiX zSNk{ncl!_fPx~+XZ~Grxi zIXmR+n6p#P&N;i}?3z=UGbm?p&TctFa(2(zBWKT?y>j->*(Yb;oc(h4&p9CHz??`< zQO?ku;+&G4(j2~I9_0+#+DY{d^{sQ&k&qk%dEizR>Rjca^25+25h>vurKJwHcMezV zkC;4{PWsFRDR^Ft+k00ew%Cg+L!P2 zxZqTlgg~vP+v*4WKfcMr}#;+?i7ji#vLje)MBVeQYod#1obSPgJmeyl2YH( zie*<~YUlwi;vA;Npsx%jotiQwoT{jh5JOZIl**>7gh>vqogz;Gji0)gw!&u;bc|ty z{GtI(NIxVU!Q$!l4O7OpwekV6w9%%KaWa@a)u9r>_L8Y!-Cjk>*@n-~rkEU9am>`!JeA!!5-+^U5SQPxeVjUn=ofGL) zn)P*e370XPvzamV$pTLCy468yCrluryJS}<<`H$ZvGOL0yQw)mX+D85O&kV3W4t_3 zKW##@i>Jif?*LN--JqoX_*5D&$q`A8QfZD(l3kp@${P6!DQBQp%5yoxs{u!xS2ov9 zo>7-ZV+r^EC@ibAldgnViWUO-%^>Fn)H1E4wzbx;Fl9)NQp$1V2;$n-$ zPw@*nA&lWv+Ng6FZuYh*n{cp=>Zi1m)+op9kLIHx{uBmu5hmbd!E*^W`M4{m=yK$8 zvl{^)1u2rB{u!U_u4=p2O(#2MOYzxfU(6(35x8Cx5}6`MNcrRNSKQPrQ?JWw8`Ira4T6(1 zWj)>)%gL7V>VDS54M9rzbM6;OBu`pVSP-GU!%e|Sx2ok#wVnFs4^z6R2vbty`0+m2 zfHh~52r3dysc^lDnLcJ$B7F+tmD|y7inS&3mn0cX5vvb;XF9F&9p`YxdtQCnmmls( z2&bee=o~LZ_j4bSh&$F%B^$q~6zz9$S2$FY;LS{xm!Lz4m*nO^d6+ob&3$TE6DNi` z)lI8o_LfHP926dO4a~Z{6&|0?0v?hK7&rOtDreN}MCcZu^I05!7vN5+JiSScxM_@g znI;?SVom{9UorPd1Ut9prnG`iP!)V`LVgs-AHD0sFs`oE{q~XHIS@ldI;lkV(~7w9 zIA)tJ3$AJUoJUj*DN^T=N0?)~rjaTo6u^@s(xe zUatM^w^T{Mv08o<*s-&cvT?4Sl6)i_WL^?Q%Kd}_QvD>{QoL1{RhEt`svMg>!eoiK zer0);j*%5AJh3V6AwCl+OGP>%q<1^PkgVvOFiG-7HLBXG#_$ooj&BQ7}vSZjP!62EU>d;k!B&VcR zf>c-EDnWA0DGLFP?~qx3z(Gx{lEVs=s@v%L6z0qVBaskq%td{(^AtbvX?Ys2&c{g8 zrHR0m4fy6PZeG-c-ZzC#i8wexR?U1+#vP7_<;ihUiAweupL-_f$D1&vgcq2Y`I3-s zQZ~p7$uVnxJlSScgVN5Z24$WI4GPRnd{M@S|H;F=L2(zRBR(ZLw-Y06C&SL&q_7&w`%Z5m?AgmA0h&fiYG*aCdwalua2#4GIo&d?t`)Jfp%N?CToccE2L= zG0y`J^t(G9SeVpqVWD^*Ddm%;KIf!B5<)}L4x$*Z$tUP)pz00-vkE&itgK@3acove zZ%&qG15406)>-Tf$A?c%Y7P{gBNyGO{X-Y4;^63f>%a^vKv1ht; z`DPMn%P959w;NibIY?=-l85DC@%udWOFTH|2n#XO1SCv8F^veHZDjV-pG13uecvP@ zEKpCZAEg!-_3CtD`Vbm&$Xr6nIUR&sW7U8^_#88hken|#BZv$Fi9v4~A>Y_PjYRSj zyL4h|Ae}Wnr$I}Mw=;&8I`mE>9v@7{t4^v?-KR0xB)rEjX<~97(YViH(#iN9xvZ2( z=t#n8$(3FBX8=yXiHeU3(mf?nqDkYBbi?>CSvlzT4~SFqghYI7oX#~qrcEc1G-3`F zOAL|InSNk|ojFe5Dr0D5!6n5|5#MMw)qNuEb>l4AOk`*2?N>5Z2PNjZLY0vZ3*rYPmF10B!hROozD`9_n0Mp zx=V+$TP0J0S7%XcwWHU)(sp4(i>k-?hq!yG_9xMJ`=8;*Wt}c;FQ+jG)aE3Sz55;S z-u;hv@9gmo4H-<1P}9k{OVV)8=bAJ?lP#~~)oGfY*Bp3|s7B|}*5=B(76t~9LTA6v z(C`LVN_*i19s>+5ITA=-k<>o8kw`CTqDbSRVQ52b z;|!mQO5>`F#p+0HlD|Ylq*OF$uo_zw^;1@ARaH@U&Zo4Q&2Nbssb-BrraP@y@Ff~WbDoRUUG(rs=i^mnyj)z*H9HW=Xm@~*!6qUKkmsS`e=u=+$(BeX2!6o{o zGHDV{zgJulV@q~j<22R`5(3quq=d5f;xyILnenB#%BrHC9i*>}%1>xjR323|EUsWhoN|yTc8sL#C^$ktDEt2}k)K!$$U2)l z)M&7{!7r5RD7B=DD`OCTspK#y)^Zfw;u%n~^#n>^Rb|gds;yLy36XASkPTa+Dyp%f zQxkdFh*v$+w=pB!7Nly3T+c^TZBciJGVZM`zWsw3H?+e4+u;6>LX+)N+5cf!|1zlm zk__p$|Ix;qcG;V(d`YKz|8GUzukij$?)=GICsnGsy(*9dgvPYe+;;Xj| zl}>`s>SRw{RI2*xQuR8aY?x~6X`q6gqniFWJwQ|AEQYw1O(jijyoj5=L2%Y_g5^-8 zR=9~HeGmcV_ah8v0-Sc>6dHmv;__1QuR>4{8R8iU2_kzwvZkURL8K&u3IEFJ!X1eD zl<_Zu$eN7oS;?M`{)7=s$oPe+?sD6`;rPjsVlwLpd9m?uFbq{8P3!TctB z2+6S*a)UE5Yw;ye#w-)5I+K#RhPqZJ7&9k@sU=NmI$4t*C)75yxi;@tXBvOX3QFpy zPEDTSk8#(7d0Rpr)iG4g3mHz-H?m?ftbR^h{XvsaYLo?)&6`g@u6Rryis3s)sM?z% zN6MYD;oUiOq@F>Sv@zrJ)iG(Q@|o3tct*b45VhD_(iZcTi!2jaSEP1JXU1CRrt6m7 z=O7_6zP2nNBe6jCtX$oXKYn?x?ofhRUW$$g2o)5LzTxMpd-U z%^Y0fZ(>*+Rt|IOTipYHB8j)jq=7n1A-pnE*W6g!AfM7o|IwmK-cs}yx}EhIWHrskO}rzRDi>_Zbz-Z3c|;2|_Jtd6FL z`>e=mZ5{dQs)Prg4@V&B)w|R^C5ecJmnU~Tx(SnQLMeg-?c3x;#> z!lX&fb+hUj`b|+SY)DfZmZTBRLkkr^OH)HzYn`g2{q&viJh_!;u72aJP|qQf7KCLq zJZe>|b4ia`;&};a zoRmd|x;v&O?NT;^QlxF_)VgN*yf%%^B{m_Ym-d;Q60fdu6;WIptDVdNvgrd?&sqpM zTrv=FT$I%kHU7>Js+T?4Muf-)!{skW8EU&nRKB$x*p?wz@kV($lE)~Ht7}zVw;J0@ zS+%mQ7W*=asjVOB#gZZ|DpDKFq;i;1FaQq@r2+*Ll1KmCTRpE*sdXQEm&6q$Co-87 znL#k9McHfkqo}S@gI1ONlsJN3If>RQ0j3&WOB3H%Qc)xy-Hn&`8A7-Zm}R)myrjH# zj`N7o*{)7`gl(RYYL8ox>#@FZB|Vm;*Hq}*ms)`%rAht*xzFs;dpp|qHZc#rMoo|n zh3|w&^rHrEUQZ+A#H&-glP6JX6jSP2YC^0c-dQKcn=&q+V4!)bE^VCUKH5^FZ#D6h z5jRcFDCe9c(GNi{KzGn8w<9EHMV6|U6B@Cy#wm5~oF~4cKT+&5y~;uZ36D30;m*#i zg4CAIsmq+Ncyc+DEkmO$U&anNr?km(?Aa)H1VsXRlp}zQ-9bRwE1lFXRH`7Nh7fM0 zlm6l-B>6X%NkehAWRm}3_027<yq1C;V2nPKXJ_I1sU8#X70|w%ITksO&&i|;HQ=67I#R@&@%t-Eb``jl z)N4_lfZ~I&fIcUdm6fuV!*MA^o>@8K=_)A&l@M%DC(FjCt)3~!!jyP||7O8fO`cFx zh~Mn3n$L6cTB5(V3T+YHF{X++*>m=o6TW2&F?OmlW;KCGJM2l{XR21Fe__P?+01?d z8AypZ(UY!BD2Yzh^);HKr~hM?`w>KPj>EmIWQO|_NJ@U)F?i+zW^xqzcu|_TV=Da$ z#y{|JpT+;zU}a4We~!8mX_JBci-e>W&%e`CYB>KliVB8HCeuA~&aA4CVA3ngIS@G# zzT`(co%$p|8iH>I==Qc=0Fji%j|vt?8AE&L`NpStejmZrkgPs}dx7K(mxZD1r;y8Z zB-NYvJasfLv!o28+%clNIn7_l{&BZ=o~?3^A6%n)K((~yP9Wkga;W4VsBrFfZG)VJ zmj!k(yV-Ios=M+SS6+GTD=_oOPUzRR;YIu)B))6foAgD!Fehp$JeeFqHJ{IxoO4~mhjcQjP@kG zRMfGHP90O8RIe(E)T?;(1kt)?&eLXo+L(4XvcI*8vb%383#=+Zs>$-r8{N`!7m7G# zbS7)6N+_@%MBd|zVQFOJi$WP>lU9aYW%#~5L{FA@mo%6*_Ygw$oG!jjG8>^1(ngYlj=A-F#Yn19BjC8tJ(M1spaxvVfr-_s=B|ckiCvP!o*uga^IA9ZRcMH z=|s`rzyf1}|DyzE3o~m90|IW7?AM&sywqE~lMX1WKfcul80v_(w;_F@c^zW7WFV^v zQMRD9)FrmBkDV$fA}wW&^4Uw$Ktrb1S?Y-I6j15vcM!oOsKKFULUrMRjA>%9|DTf* z9!iGP$c^HGwT9qYgxW|Ra8Fr_kgEYrY({auY(O`rR`bL9G4_R&dL5bLE71C<^(Xs3 zpU?OU2rFNL{VDzQ%1ZGau5JG^g5P}l={-aIt+mb0jL^|;$nv81%7EOY!vzfs+ zy~{FEPBX;_EU~7QVdK$IxvR!2r}To!!!@5L=OB@?Sict%-IGU}o2Il)Zk3~;_(0E_ zM9D%)8Lm4GxVPf^-vW`gsg{i8V_CKvsIJV}OHkn~{PWUmx+ka3J_H0ZE~q;z%hHCk zOUls=QswF9Z}Aw@4PqUEGTCCw*FzFjG4bk1Sy@Y|+}+}Hl#h0tCF(L~L>209OuA4V zGcT)bD)NOX_p&*AA>yZ5CC-v{rsVEk3+e85ETLUBCg5!ANGBMthyh79L00g2r73Of zYgfe@zJE!oTm~E_?%TcOi8=dH{C48Yh3lBI@DeH#Txp4m$X8C&4O!5G|II+wZTcsi z81g2*hnn59^P*^WIcYqql?@Y(myOy*nl1I=()~?-l5f)GzAM^~gvBL!8A5LQO5XV; zSHje;FZGN;?e)#Fd#kK*7WvP57O=18;@O`^LmWiwf^ z+{^0ucbY)?hN6?4mZAps)A?$j^B!s$_hZv*!|u0CuCEWdUmWsEpxj!o%)h0W;{^Qe zh8Ih5GbamVPh?5`G(HIBYg^pJmo%wOu-+Cp)f&n6l7>ocwoICE%Z|`Xk^AV@Yq`CV zs(fs$o>#lUIGY_qrII@|HHal<&r4a%DY|q)r*BGIhBw#7{BP2F>Ke`4rtVW@cgC+C zF_*QBZsO6O+X=-NDZS-OwV)_vX7h9~Rf%%_kVIo8ZUAW#oLMf7HpaLcrGv?h^4fG* zc}CkB*|nIO#0p-PuWevob3M}}FPUCv%g0VskykW1-w*JH0Iq5nV6(G6HB5QXQMsxb za#$Q+KylV&%38*A@Isij7+uu|)_DC!l=o9CZ}A(`(JN!XIaa{bhhpcSLBMO zv$amelBTG#%cd!=mbSXFsJOXazM$%SP))_-sz;9Y_?WfS_voUA(9wR>F_s89bXQNZz<(8qagr$N~QOXu5{GDYtO;TB@W1Dyc>0f!Pu8N0{{JKa= zDlk%Kxj$rkesz^+W1>nW(w8WWG=jm<MCZ}#?-o;PaqgUa&zqq zKDCV0WL0*VP6wURVdB|Yc*rCp2R723FMl@IHqZ6m?DDSOD;Lh{Kd%rMynyy|hT5*Q zJ4*;_794AA;poMt@=08LHZG%yH-zP!GB#}V*dlq-m+F+=Ly!lTGb4yQvI8mLIL38! z-jWPBRgZMt+{LwkW0}t_Im~J~2PrQblOZ{tW3pG3930aor*=kNacv_XyvCkV*CE3n z!a7^$%kk>yH_0SqzMPj7)Y(=lo9^&TT6=%|NfS>a7bxkKi6H3Fi(yP{D}&tr=G^n; zG=D3f?`-}ugda?P*i2h0EF^-UM=yq|=K5Coo?wO*jLf28!OCZRi7qLzl2tw_q~})k zuzb>Fp8r%frJnrb^$Dtua&AycO;zJBHxN~ut1^kyPiFP9uAx@Feq2&IT7J-u7xYw@ zT3+A4BqOckO!ikNcKIi!0J5o(-DcnIYwkN;mCao#SmH=$=r`&KZqLHI_*qSUc3g$>4t)jnq@9HbBt$@ zfrEEeCP1%yc9!h02cBL3i(q{fDosMGt5!0lDM6-5YIwj+j5K*4AC= znNqr(7^@fiLe_o4Ht#t7##l~rOc`Bx6LP;}U*B*Z`Z5~W%rWBU$o2|MkDdxE6WiCjOM>ML#4waM2gqirqI zGvp&av+?B8rramlDp!A$*4FG0^dibn^3Fu+zWnmh zL}$|D7fG(@Nn+^^HkCoJ_?uyL$t_AwJxdwiTHnASD#})QO33PRn*N=qZeio%aUq@pnMvbIe#E}6*;`=nuHHg} z^5lE!FZEI86N;zU35F+It+kD$-TRSrQiM!+a%gIeU~1x^8b<>&n`pLHRs&f)xz#aM zsy}+l=F1ISty--_!lgzS-l_4gyXLj>z8#gVY}B$Z>}RT_X!v1^p=r*n&#YPQm-n=J z&g`3~n3E9E(xa6lC)QMt>g=@T0C?k-QO z30g648Ps_Pxw~zkdk~CrkDvGy?DEt}F$lEHtjly5N%*?1tJT@8FNX}Q)q$|%>in)r zy`m%0=AMBdA6+Bhtl-EkYti5#x2nVTo^Qur%AoCby7IV-oF0dGSF4jwilvvpqx5uM z21iEl*pL5mB&;?&$K;7ysUKzVb!27LV+IdaRpDPmQ$0q_X;r5BnL^nR{69{ej3SXT z)jRN*HlcP^YK-;5)Sg^wMH4t8Sq*WVe%jU4n5HVMsA=~9PX+U@qf4k^rGxnQ1@*6@ za#LC{Molo1CK0?Rtq$#$Q;CXj0hwIoTrs(}G08h!k8-M=Dwn8y6Bmp?jm#@>DPw~~ zVlWnWshgZ`#Y^p~NgZO394V&}@pG1(0jXa$xm8IgFHdVoyK6@d7GsGRkR&DB88tzvsB4%iHzqiB$USl}+{HULO)h`95vs)E|oMdCe)@S>4|UQO^x z`U=M{k#5KpDw93JcyNfOiP3%gHSO4TV!Y0UNv5lHuB@s{tfow3@hZ?JBz9MYXQFC| zOmX>Gj(t#J@K@Ye0)BnD%Irs;<`c3C=PzotkY3v|gEwM1o<}`GWoaPYt7Ed(cm>OQ z9raV)lmFwU<~04Syn#tEIBN{@q*$+U_NBbcGo^Gp!2GFU`F@%^N6;>p+;XY*f0Y9iu|M5a{4g)$@~F5`>b_mgzU-C15jnnRX)-GPQ$ zlUIfjtgY&P>Uy85$^;DhMQ;!AO{dTJv{<2}rTSBQ+dn z;ajD}+3ArGkt^deM=C*yko+H_^D?Bi45>i;u4(+YB=4L_JdamFxSL!O2~lt1rKH1s zUn?Qz)V)+Wf0ERkDMcjH^Z$yr7Wo0WjJB3$)0?|$Raw{25Yo`7wG+QvQY+)SH_zk{ z)K)g_xnC6unO|3rah{K+7`A+7;S@02AVN2l^CpDzWvt-G{$yozUZ4$o8Y?ScWmED- zK4FFbAyUXYaMMXrvZr|C=J}FOxaPg$go3H8mlAm@ArQ?38ga*-9eZ-&6e?n%Z8NgcwX^J z|KQdgY%vb@hLD-Yz-rah9U{wTY0g*h9BW8=O(JfrjJc>~Lz|q}RT7W2CuClDQe&J6 z8J3=0f$+sAuclOGd^w?NrCv^`%KmafRmz5>ShWjtIYuwy(f(yx~jGD>^lDyvYEZpV{oKCy|E(&*32303`h zIiV`^%L!GPUrwkBeQDNxdQ(-FW`mj>{;F4=sxrQuP{qv6*A=Q^4DEz8gXB(IR$A97 zd2S!vAdqC@u8g#;76$HOa0HEgU3m+?%(mC62cLRI)fELR~}UrwmX z`f@^5@|eb_Z)dluv+LAXTCyQ?6TrS$_ci3?o;LX%E{ckiIaLWuPw^KK6Eoxq3^|*c zi=ylWP)8RJou~%E?v6>h1y`LU7WQdfM?&;d*W@m$NXjB-9dQ2N8TjEPnLatfv>~-Jw z4Gq%Wu~b`~BIyq>F4Z!yhIEus;De4Etel;VxdU6`(hhWRKa&Q z+;M!7Oc?&BOd;U%TKCa&N+e8d-A$IRnA$|-_^N1|q`uSYrq6x*(u;sr&5gh}R+l>n z-9IDh!#VPSn70R#rFS)`Uy03{BqUqtK6huWHl3fuLfrqOLSeKe*)jD5XPjZ!WjQGm3y9p>WYdtt(fcs!xy{~`Sd(HFN-C`;>`Zz!r9XjD$$Q*c>d&DZR4C8FZ0M}clnfjeZ4c` zcPg^{TZ(5%@T9tF^7~`najJo35$|ppTm94`t@A>G>xK49R(e{P(QF2E65|~Om$)DI ztKLQU|JXYpIJu_#@1Olcvys*yDs7_%sphA_-W5#$15N2 z&))gobI&>V+;h+U?>eh~sgpiIT6k90aQQf0ay^IjXZ5(sF_T7BPGq{&hO7r`LES}5 z+u+Ut`X=kLoNc>sXz(tPsYRNftLqwDv$qE8-h12TAQ>zWZA);D9&r`k{!MC*p}9%* zJaalZr|@)=4LvNVQ(PvIGiq9A>c`VZpIAPc*C*(m%oy3f$}LUOU&}^)V)?|tXfDoi zQI1Jdm^5nAapNY8o#^-LAxdq7V>+^3TeB0+yakdq$;@i$t|jQPd~Jh6pcKmbRi6H@ zW}hcrerAAOE0blA-DY+L>26H_GROXtZ7(4|n$NS0nOj>QN`H|jJyg&UyeWapC|ONK zj}vS^X6=~tXhClPlK!lEeXi1N?2@{DyjFi5J!>Jdx5~K_I}>I5_=YS`-y4$sYt|{@ zRD?OhV08s%5MqBoK}zmCeyL^F)|Mvo!U(wv$KZvlmoAB9cD1!~#v-R+IemcMO*+NN zickMo9qMT^`H?vZRr6`h?16z>j;cfXvAKzzxgCk+#o7|%!gjSZRcXX*P@}BdNo(b8 zU#T`P+gYkcWU_ABsrb}MB0UH9OPF)0`UP9o4dlLxQFM@!p!`e?=-;eeQ`T>(6RP@t zakm80dokXRWjgq*MD$)qE}`iJ{Q19G&CvcUJB;@FiPKN#>SnrOu^-e2w=_-ZwX9n? zeHFT0)X9A^liNF{J4IK?ZEAJ;Daz@*VNwP$W5n?TM@79>#ZJz8Q`PVoQ>DdCD@>M;9Yb5EzNb49a^=Vf9<b2NGq$KQPa`HfGhodQuiQT3z@hUr%&OfPVT3k8I^5UH%Z56j{Qc;dw_jsEiW_5%<8aJ=u5MDzpWF`ib!t}`9XRg zmp-1(T1pGoL1)n4v}Jdd>0GpgQbU#Wa3pJf9%JF*9x|erVLK|98mMp9&y=TX$YEvY zL^!T%*O=^8U9VrF)m?w$7L~5OD$}2Eu5SB|5%df@a)jVAWB11gG9xu#@aVu=4RuZa ztj#rj@2u{(hv>s6MpLtRS2+nz4vd;Q!RlRQ%g{+=|Cp|=^zU=!fT-}UTSo2mPH~b* z`p4?#mg-vlZHAdWO|QT#wxl!rt(pD$&* zx2MM2AZ2Jc&J4zEKiQsIBZZt1x8%~2K7Q9j45<)_tkw@r*6XLLQn|7=GuEA)U6CbL z={`Zi+vZ5#IeM^$|FW&>{y-9zv}MG;WwvtKeOh{`VKv2$g4Oh z=1ln@DVufX8y`6pGDWJm2a%>;Y{5-UR#N81#hkXJcTTCUGkwazEJ%MXg3GM>1wFhF zuf8Ufx|t=lud?oWBm3^LIU^poIUL4P-G{oRJ(_+dd&8DK$gDx@YzuiF%^8}k-o!CT zjxN*t-|T%yrkY2)MCGjyxq{o;IJ3d6qhLF;zS$u8({K9CKRDHILa=_%=?x+IFTEvvXkG%5B=5=>n`ao38ep8CaL8 z!jMb9J_RN@laq<|W@UKsa?AtD1=pq3UpWQ3tCbiSdT&c@^ z_?QMnl=VLQbYXHkD#|`}7-8uzoSIYn)ON`R$8I)h;u;UN$tfYwI9nQM{MP zAwBzgV2NXz^m&X_0kf_*WVXABO?5n}W<9B6zja=Yqn+;WwB^KM)@m69>a1Dgey0@V zOdK!2laVB~2AdeRNZ?QT8UzVhQT+f8o{ zmtePybQ_+Ro9$cI`YaslY5V3fD>rMV?Pwd03)N5Ibk{7bCmV9}+oM_SdDf>#xih<` zTSQidb7t<*!m|?4R|Opx{jEL~Vy^&u793aHx04g;8*-j_N%s`GF=gc|ub=pKG9_Ie z&#IW}nOf7@T(B=G5b3rN=ck#8%-epeYii}vO!k==8TZHyzN4()%nR(;4!GdJ!vWk0 z)UIDP$nG$GS|+m-FhOmN+2?I+0i4Tiuc@vLb;u4ieGUECQTodOvKT9X6Wsa(0ZtM1 zbL*>TFdpNsjhvev*h{1bbm>F4>X}U~(`%YYSe~e5so7^A&EwLt=!T9Na=t}>(LCo6 z{#ffp@DimLn_YZav1Vjd9jZB_2Z^+WYUVUobLiRJB3W{(%C`2_>gn^U+v<7Oh5fb_ z>((F1$=pzSNmA_WU7hvjClZmtOQE}d*iJIffR)ReoHB1-(x;;SJBzZ72kZsQTMv39 z@QoDCtTAp`(l6Q7%_4O(nw+qg^H|n37ixfO3Y|a8 zWN!AA>+0qhr2A!bGegc&^aT+LBA2+Vg`|cIY>Ph+etjdsSc4mpuRXOhVT*^|ksm@`c}nTnwa@XwZx zJ;Zj>IX8+EpY?N{a%C&onf4%iu2M0zv+DHiV(G$h`-Igb(mAT_XieRZl)fK|D}|if znNrD(N9^f%-F9Y|Q#&W;M6c7Z+EiLzten?PH&*AH&hl=vAtUK6$ZZx`30ZNe`@D4h z1*TZ950`VSWWZJ|`D@7F)bO9r3+C_0tWRyQ^~$eQWA;Ve%qcng;oKWHQVrSqDX$kw z{XBywklcT4nK6SqZ1V$BXO(7{0EeulLK-=vkZDM?fN@lWtY79y-+*IQnJw0KaZHCx zA7V|jwpBAnbNw87RS`dC?-WgK>(ka$ADbx~ed@wn=1hL7rDp%`)Ru{;PaQ_GG%gXD zttT@yAjIKfL23~#oC)p02GJ%@1!nCGt9j2$*3Fu)|pAj?wfDA!ZmitE1iOTC-cM&pOS^3jM*bAF=Rh4kPA zMD9YY6K9!o*6D$#87`%(DK{|Z8ccq;@bZB+7e^>lgUGQYdjX~_O4-I1PHeeC#&qfe-=klU{HK1$}dee^H;{5@Ha1xe?S z6DKDD?dNxTbVaw38@^_=*6X{mvp17ePW8<%gw?n5ineNfG$O^)$053BmOwcUl;*($ zo~hrsfs=lOf7}aIP_DsrDInV2QfI zW#7`1g>v0Nx43j8uom9aG_032n^P0{yI_3|p|NdpyF7MdTLtzKc9Tn&d3&0{)a+lg zoQ&HUSIVk$X=2s2=KW=CPp~6XT z`;WFUb9!r^A?HE*7ftfrowv{e4_c+&Ng3s*PUgJjFmF|p7qt>Mly=RcS z0UO&=fyd69kiHO<8(ondN^0qiExX6Hc5OVD$d1djkW~82l)VV&In(zA>6|ep;vmY_ z-?$Y)2WF?AUEoX+bm;aLAvII>B6?VaIh>VsDTGU>s&8jXS2_!qB(j&CT|Ad`GNsmI zMVCzI`?}Lh(~*UiRgsfw_jOs3-5&H3dfFbc8-xsBT}mNaM!FCZSFj9Ok=>Udy8x1U zPxGI(CFJ$t*=sSU2-beBr^T?Mx4$Uq`LY+(leW#mqyU-MMVblrekSK$nXDq(=FeVu zZnfkjl4*ce3VQ2wZ5BB*JLEvm|8;XvU@MnHep@Hz_h&ZOA^z-bJzb#gw)lc+=2lRl ztv@fJ?C!wIP`8~zek!?nD7fk7)Q`hD>TZk9&p>(;w~Em1w%3z9biYY*!{E*mf%2 zSA3>yRxB+qUBk{DYW9vLJy&*FoM+A6Gp5%@dV$#$nY{+n(b)^inI%)4bbR+E%Guf5 z=geN1OR2Jp)m`hbt)3nWDw(*jCec+qbmPd_pe9eB7 zd$dDpSt0K8zltO=XOr38Ra-SvDT}VVn zbK~6YP1R+dOtO5fRNrf&PrmA&NI!9Hn)0kO98P-VoSCq0Aaab9DltFPc=HYlvYv0$ z4cT^{V3zwF`aGF=z>zj+JITBQBYOt(&Zv%XacBFi62XrorwU^O60O^ohQ=yrod|PwTFSDth zNC`r_+&7cUzGAJb8<p1bh0J2ROGIvI;erz{Tg)1}<=u0phup5gdb^N3HK#uhEyqQv+syKA zp3|WnJcnJ=s_!MS-ne3oC-d*|VSkdjwcmcFN&J14E7S2y!ZRwcphiFa5?M|-Fv z^^}h}2Ry^}_6pZ(w~k`;zO=stKsK!Tbr$rCS9+2JFICoWqE7cm zxrb2t<$(I>_q>Bh>-0b>h3pN`AsN|gbdyHvc(A+NxRi`;p{GmeHn-B)TozNPAaazL za{-$763}YRt7k(Jzy_8%Enr>L)GvlN@8QpnNuN5%4LhTLp6lYI1hTbCa&6Xa?`}Cu zhjL>`_NI}!8sbtJ%xc|$AJX%>-!GK)d)A{n){swURno{j=ADRyuBC(f zfb(-9LFt-s3({B8WI;2y;FR}d4MR^7N!PYZ%!x8wk~A264L&qKBl?k(0>8`fHr*CU zgX6Qj3Xzy}i*t*y<%7?rIi`_W_ax#JWIcCcayueFk@T8jeutkWpXoJ2NPYrRqv?|L zWc)38k+=e*ei^W&8dP3r-r(OJtsjWXuPO5AJSdRN6rAT9d6G0$7MCSS9jB|%J!ZR0 zK)THH&6l9Sn#(F_R$Sg{ONX}b0J7sMOLwYGjv@LHI9Y*h4KlLJOCr6Uy8JFJH@%&< z6*wZyteiqo?yIMpxxUdA)ZTIi50>TCh2DnmsdOAV*z~?tQgHZP7L(q&N{qw0 zr5$I=h-PJx*oU4G3u%*;NO z#!VqP$E%rS^Iy1~bIP?)Xm%d>L_<#tlOLL!)b`}7H9y#vT;Xq%v^u;3!})Z$bEGUt zpDk~-K8qzMxwGFjp(|g$Yh=9_fy7Vbl>t_@hNM%@eIJ^l+zvNS&B?l=JCaD6U>AbNH4ET zw&jST+a5m2$}B&n-*IC9A@7;g?9C#RcwS)U?2)W~9{147U8;1KGbi-N%p`H(B?JzIJZ$2ign0D`Z{gTa^e#1o}F%IaLAKfS?yVN@*~}IQYh9T z6M64cviByuwkhX*O7>s#-Y<~;kwJ6)nf2+nHnOLtn@gWq&S`-TXJ)OQmr=t>Lx%dt z36B|I-mN7&AO6a`dPwi~GOr%8y@kly-^}4LW2b{kYlJnc``h7kGEQ-+9d27S?*dAn zLC$q{FO2I{^2YSM9fx%vu-sDFraw;a z8eqK$+HG}MZ-mtu>i(6{tZ_XGWnWw9?b4Zcmx^|Ko3Yu)tP)=sHHd>$9$sJwD zc|>VM);ACI6J%t#@VjQK^idIE=~kRKXvt32x&Xoqj&BvsY4fSX9b@yh?H)#FDkVLG z?Yf?64*K(wZkNp~QuS`X`ex0j6EAeV+&(Y+k^;|b(`Z~yPp8wc9*9mi(e28+Ci=ru0|tyb)cQhU>RuD8o8_w3^cKmX9-vFQ4sR!7ouwL8<|TT0 zJ&e9YZMu$b-=CLG(BWk(Y^~NO2+~7f>-|F}ZRW_lnJfJ|Bi%Y}^+{CL%d*meI(2=D zhtlRorQY|F6_k48QeKIxC+M?Lq>~CE$GLYcj7i1VUaym#8TJAC%qHpBVzRPg58=H^ z&78`vvAtW)svn){^j5^<<8F0pl|J?1)%>Fj{jF8E9lU<+QQk$?V@K(eBW-6ihVolt zh1GiaP2L((Tc3Trs8?&wZ`Pv_rdO5M9?Bjz{ldenw~P$Ve!EEaYe}plINLi(tnWKn zJzZA1=~I!m;LI|mVP$607pCXb@amULgtR}~teITt;^{Xx%11D|n=9Rd^$jk0El!{L zvCc5Hg_?L>x_R?tiq=Lg-y(GwSPhYn@X4KFgSnW^kV8)EIIJw%nz<;~#!xQA%PD#E zLBCDX`oM>t*ZOQ>UjC#HFf+IFX6LNeB-*d8kCQ`w>pF$g=?ZD?sV3jv!cyeO>$E9YBk(S;YfefjUML0Df6wRR7(H8pQXtH_Pr&PHJ$-KTqvoaSoX->utVbJZ;Q ztddJ)=If_D4z|+nXx2~MO0VVk*snBV6T`rUabfByTKjXqc>(E>Q|8Ivj%LQP`ka7E z5vnpL8q#O-SzgxLl%%tj)6gC5)irJMR>%TdkNiXBRz4X!jo~$qB-+;A+SV~$4t?~Svh~NytQ4)mja=I)aF1wxi^sRGBgwvI5GdNF$I9!Cb6~_7Yl=S%korsR1 zSIIp*?k+0byW|8q-u+RPX;&^+qiuD=_Ai5n{H-N5c-Q%Mxx*nlH*yW$aZO}49|>_> z6`AcsLY&t{W{Z#*r{HSg zXY3Qrb8Sqb6nv^vK0>Iiya834S(#~a9YlWr|Nnjc8t^{)op2G!^!rCI%~Xm9b4)(H zh7W~GO>-HCy}bU(DXxBQZM}7_zi?bzh*w_9*U0O5GN7&AU&r~r#-`NyPHV|d=~qn| zSFXn`=9oL@dKzawy*?^1 zPBOqZ#Fg4opkA{-w=QFl)LhQ#r@riNkL>EQ*4x~_TU=!5v5Kwwckdjg;(M9t z4bQr_2dgVFFD*5-yy#Uc-puZ}evTQS=j65C{No&#evpOHZI%E$0Nedl`9$@9BD*CdJZpRd?z=L7f%i55VuGB3+F2pXU|_~XDj_hhB3oK4z@Db=R4N#9>acy zUc-TgzSQpy+jZgfF{b&<$~HE4YXv)%Gc*5j9W||W*0xifd272%xu*`xz7!~PWTyC) zbVF)H!F)4^%Oa)jWx3&AR{ewwH=6W<=I?-^-*927zRcfNEi2X4$9+9#GM!qg^LjqE zrfzgi8<#dy-D4))aXXpN(bN=ZVL(xDtC!R~-*eB;SZ?UbU53+olcc25`6p+ZsV(Px zr{Xe+`W^Gja#P*1+wZm`EURV<<;%SEUkAqbw94y!y^r269bmYhp;J2YH3Yu4U9aC^ zYyFYlxj4nQvM=Jx2B{g^o46d3+R-`1S65Hq@%6gu`gCPy?sTw9=Ukt)+{#&R?efyI za=9>n=jXCMGTWQA=Cb=wIV`4{Wt%-_ly7d`ki!qJ89Ic{N3UR~a%9rW*_bBP^DUaT zMt!r$8CC*$^K;Oy&v)crwlo!x7q8Fl=kIT)%&KP3>rb7vOA z?C7Vkrbueloc3;PZ}VrCnQA3HoRqWbii+sDoXVYE*{Sqh*ROSBb|yonNv4bazpoMs zwD&2;4A7e(XMtw4@W0dRYukCLbh?%Dt>Myx-P0LMXCggydgnw6v0+wxWVpq+Vb(Zs zM0yyg!be+iNkesv^>=E#yrbjmC4MWN0^3h|Lus5rcUNCCtKgUaY`54+n$GRd>R%eS zKe@u`wY2p(YfkxUY-zLhiniUTQ=D!W<3i&bYwJ0WJ!WpZd{?+qzf{nls>c+a=09ct z9}#M(o>jw#gt?~6k%#s0ygf;-Ke2LJjII7+Mb8_ z(i?Q*H0$@I;TFTH8u#UlmXD=Q%)B;7D%f#7Sg%L0cQ>kPhI_iY{sPQoiZAPW>C7I) z%ZFY~g(nNex3-X>69aCeh94ZnQ0x%yx_(hx_wqPn{XcOINDSsXQh>`S~-iWXenETg;$y z{_@A?C)Ljiwa?RIbZM>}4CIV@O*`pndQ+@bq=!JM`p_o*H+Nu z{Bb6n>*U=g*z@!_=K3bn!8&nDk569JbhNk70dXX0>yI1Tno_$% z$9^~SY3-qhxyw=T?RfshM6kw1%C6(|jk{mN#@emS>-D#E#83 z+_!`C4c@W&4&A}!9lE2-8?uA>9JYh=4d1c(Mr>ETWX}4yEzNB_!KGl{f-ZN=aCkVK zizbCS^;BP#w=0vKmecl@Iw{w~->n?rYq+z8%j`yB>DBpUrgM-i{f-^QDcg3|4GC^ht8s zySlBVqm|nf>bUp}GCi^$%2dMQAFeqsH~%oqIWFfARTk@5j@s-)PxrX2Luei5n4a^YXW@9KGRYj? zPfPT9@aXdv{pRnO`8)M{Jm>c=^S9G_<2bLbKK1ctxn|4_8@BdoKP+`DAC0lPWkxkm zP|d8j_3^rZPRna*naP+(@3V5l9n&X&a)Sq%a?hL7a+puwV!H$Lbz2*$dQO;n?K0~j zY5q={zts!Ydh%>BG=KZd-(K@~!2Inqe}~N9e)D(4{2efV$IRbB^LLl|J7oS&n!m&5 zZ_huibugm=HGk+({-vRS?()=AVf2$YGa?Rf!^LNbr z?KOXQnZJGJ@1*(LZ~ji@KVbg0wjSs0w@25fw|{h0XxNm>j$`}f{!D#fTg{Q}3|cV* z0qaotzq>2V8<0q*owl1E=J(s*wK?RtNBRABho%m>O0WK&)u+y1p#jS$JmC3#6%b=0%`Si^9u78-dr|JymCl9k`?4mivz z?pgl*Q`|O=Z@NNzmY>}E{6=z@zlwLDLY?z(b&qBYRQP0I*4a9@{_MZn-n$(y@!FKs zqs8g%?;BYoS@oaU0PPtHrp6_cO7%E0^|#0T-Ef6<{oC_XrFPDAYby6|^&4}&+;FMk z*S(*pV0+ks`-hUttbD5Lov!N-=fZyK&H<~i{##7Et?b)l!#7*=JC#Gm{BdaFQsl4 z(KnAWPr}q=zgykwqp?|kySSRy$aKt-J00xXa;N)zj?cTC(ij@c87a5xnOpC)(#yK9 zWANbgjU7(q<7q|doXw`V^RV%$zZy0#pA>TtX&lRmTzpF&yd;~^K zogn!L^&n0^ifd)ZWgMOAL9^F?IScT;Y30LjxX3VS7)wom*NRh{-1F_aeyzpZo0;;y zXQdNaZ`}{J%Fq-;1Oj&lvQl(_ulmAkA`M;T71|X-PKxc0igmY2&u-+;L zUqJJZ>#Y)S@7=f;^=D@XRE78V#iJ&C6uyT# z@kx02p6ml91~wwkpfVMLOHn_36b{*o=lAe__-sF=mf#bxWpDC!P?-wDMf)hV>EJRI zfe)g{A;f!?`T#A(t9_N)X+Q1<$NS*1`}3?OJ^+uR6ZZR<7j8uJ@k#g##&#?5A?P`X zXTt_lE_kv}srI2|DhMA!!C_^p3+^(6W#L2cdgS>Z>JvVPq~4P7prJ~|?;y|cBeb6Q zBviwc8t>;RO*j$t9bTqci!a58;2y)38ie=4^U+*<1m24zeb1rPH`41AK8reuNx&h8 zv0S_#-h%vxmZ=zg3MKJE-w37F;f1H8)p%ix@xrsw3Z{qQEl8FdgO8(uhm~pm z;DtlcHsbwouOnDrO!vY=Py#Q!6s^SzBWM*~IQmHHVMLkc-|*X*F8uCDr8eU|a25&> zBfJ-lzzgGOAYM55DB2$051&RG@m+AAqpddYg&j!B5{3sH!}>j(dVuRt44;6v`^nF* zs5kh;v9vd)cfspMThpU(${5yVEo}q7ib{`QUU=wOrRwm)J5V`ZxDpM+$Ke^rDYXzE zf)^Z5`#+L;fV+%mz2b#OoXEU*;bb%nAB2}9y)NJz#wTIb1nTr*V&Fa#sR!b{@Jcl7 z0k$vL8Bi+9^ccM2=j3@L)8U(wh`}e}nkfk11;0Yl#sViP_2^XU^C+gn0dLp=OANM7;Y8tMV9#7E)tXbnCA=S^qZz=z?zwX_3# z9QLiF?cu#}X#;KS80LlNpP|$;yswG%f;|2*&GYg7@WKUX5IzDop^^9`+;0}!1zz}W zi&B+%VG_;7tB_LrqeXb(K(que44_WD@cP+ovv^?)t-}l7X=NSZg&&|Tc-5vV7l~ABUf#a=fs44$H<1=c78j@YK2F882)`5quaPJdZYp z7nYnwo#Tc5(OSF@Zatem0k7s0a}Iepmb}4d&sC}cpMW=o>3{Gsc;NZWgZIHo_pyj(u6M~ zA6_`(SFBUK@bN{|30~NR7UL7}#b49*#KYSb)0XftxYs4jKZbS%KR{u;x>TvZq6EGR zZb9>p>8-i%Wt4YpnG&9geE1;z1Cs4J4#!+Ud6^!7%g{Q!_e!Pix{7)~ju?2t)uf3R z-iQ|Bh0D=0yzuvEg~Y=fmQp|XDEvL@M}CCkenb5*T^K~0@WNK(g>%q)rpK;j{a(km zR6!enP0PqXUfBD3)*aph-@cjc9iN1eW>#*iiY81@Euf+Pr~^RvVX@%;1j3{pMXDpi2B0&;1tw=7cTxI+Yw&43@ygT;KTAa zURd@p>j^L19j(Iq;8L^}AB7crt;0$~3>g_s0vr_c;A6-V5u{NWAb0RDl;hj;7&- z@1YQ0_!SD{J%6E}K@q(0G_({iY(gvWVYnQv#tUCUYw*GiYpH*{@DrrQmudbB@4>4l zl=>2R@t!A@8jgH;;Y(-)UU>LZv=h8=Dr(0IPeTjw!t>A)d;~s;;`lE38Cr`M);>*t zgcr_2oA6ya4&|!W)qvAA`T{qD_d0e@9#K>hI(csR^VH zcY2oc;yv&wREdu~r_}6qv=i}g-t+7uBp!Z&R^x>mUtk^Mg@1pMJ`!3)oM ziF{5h(_HW}`NT)yW2ge(1-BsGf5LrVVYy5fjz@Fx0eBvgu}v8M0WD*?+CbYu%f-LS za?lFA7oLVz;v;bKYt$`12xp`I0m=feK?CqncrO}+kHht77(M|%K_l_%b<#)WcrP4+ z#^e343RU8RaMByJY4Pwb)QOM7v2RiT_yBBwhdTT@d4QeoQU8-!M{w9?(ySy+cvX_} zP9bkFzJ>O165A$xe=B*YB0v99>O|E4WR?XNAURHq!1s{sYm#vI7goLb;XD422wrWYeW9iJ0Bk`~d>1_MKa@wN!#hw+ro+R&qz}aV z;l(J9kHSyUTDs;e+rql$3ZL@E?k{;r;LhRC)^WaF605 z<-vPlIg)lIoQ(!DT^L3E@WM4nVuT-~@k|$bON!J;Ne7lAoerFhLXr-QqM)P$pGOPv z3AjgTky6HX6toNE_!#Wkjk4eq@Y+528y|&d_bpN@Poo~- z$$J*5_G;3E8&C|NghRhy#Bl)2h0(ppGd>32??*m^#K5zDSfn=N!*Guuu`X)J5A^ge zQtR+OcoLHFKoDMvMoee9@KqGVCt!4M))!v52I)2cKSpbrF6{r~BDD(dg@+(XQ+P7k z%yeNj+JFzjvyp6HVHh`FSh7!%?z>`e$-dNoEz5;nNcuFPcR$)B(}lOAN_-3+xIgP1 z?}JaEa2@RdHVq(e_z>*#6Sfh&2l|nuDLnkAtjBul6Sg3k9)i~(DN7VSXM6%4JkW~q z!7=|+q_#3|5MGERJ_7GEz6*YcA~R@92NtQ_(Ner{2#Vr`XQ7q&2>cYSk@%k#sRPhD zybrdb4R~P^ZNUq@gNjtInZ&^5s2@HCUqplO!YybRUihPfid2Qf!*fs-ULDMKfa>sG z_$$_+>Nkrz zf!{rr?G!H@jT-R66VQBo5T1h;6sez$r|seW@X!g=gLpVGKp)sjx!`lCp^at1$v-Fm_#nJ>a*^`3lRg|$N&0v{ zTrh=t>mbjtQg2q=OH@*=WFA_M7lJG!ieId>U;J zFPw`)c;Q+U#&^Nfs#!1i5d1?8`}%q06W&wHvc$vnXeD0wDq4*fzJt2(!u#u}bG&fp zdfEeC_*2yDtTN3*@%`|A`1={u6+RAkZe$sF58UH)+Su8Y5pF}J^O=4IZ2$%EF?irC zwsE`<-iKD<`dwl zuja7bp_TXq{P{fECA=TjqXb@fJKBub#H$O+)MofE)EBSLE>h>AVfYBV z56ONl4tJVw?IS#JpL4AJf*0N?p7=PdIoFB_!7I=j&YugPKyhM(&!QMU0gKMFVuT~m zMy3l#p>_Bm`~%YGPvF|~S$9nDg8N=zEz1WlM->a`C*dPV_E~Y*cLDo)rhDOVv;i;d zKx^^BIcOC=4BtST@JYDGLfX=!WtvCf2P`a8!UohAAA(>0lI7ynh3s?CW_%D{hGd@` zfo~a~guQ-ch5{^I$?}uR|`4|4p_%1kYkx3K2ga#0wfJr3vEc_BJWxDX- zUsHCxa13h43r|3G_yFvG5q%oo3(rB4=P2U)51SN@47t@x|+zTl?d=PEK$6?1} z>f~3{A$;!=%2G=m!WEa&wwa!QCtPNwAApx2J+6SeN2pU`ys#ZDlXT!sm(%|)FVno~ z3fei-g%6_T_&6MPCCl1_F%PUpl4cM_kgk7NdKKF*@g6wlYPP#yQ+60ZgD#?-!{e@D z+=UOo!AohYct89S`7UPO-xR6+(IUJLjz$rD7+!{!;)Q=eQM~XGv=XnbWnG{(ct31L zPvOJxX|zsat|Koffe*lQ(I$KZ-ing=7<>(F#V6s;%P9L|@&->uz3?IUBAxce;UfA@Oho^5Ok(f&7h+z#EVsFI<5t@WL0+G`#RF6v7MNLt%Up9&;mgf)Bt| zXc;~Z4~f!e;{EVcv<)xpK%4Nw8__zvuoJDp3-3oO@o`xETgrF|`Gz!dpT*s3(;bH1bS9bE}0Hz z-Nk%E_~u1>I>fm|9mg$EWyKL?_*i`1dOa?eO<+Rf%AS( z|A-I6`|jsAyn2A~=O5Td<2~?I)az=>4o`oOJmH1&kryxAffo)yRd^q~ z8@1!(uxT~*jE}(k&@i;5$hA z=OmoE-s+!&@cfrpkHiSieVMk07ha7z@lja$3fqFDvw`gZ4Z4N&U!_i7V=RYHzRvMi zg0kR!@CMY5kHMYaAP(<=b!ag@1Rq38@p1Uon=DIW-lC164H5&dMjP=_*o8LZ6L924 z#i5{c$aMG`s=`O!XFEngyzqV0fESkhgY|_M_CXQ67fwb|d=T!v znR>wc;HzjAJ_+yofcArr!&x8F58y*^X_9q%8}$Qs{+Qzwya(Ql7UE;@c@)PdVA&_6 zgZIIrPpMOhhfi-|AK6I^T=Y-U#Yf<4D2Y$PzMrv;-Oh61r>GpSKIb?Tt-yD|_t7T2 z@UX4Six(b+dfmbLf>$9gJ_w0dxF&58IXrVD?Cs_?>VQ3YOj4;q1w z!)gCvJH-d#KT*|PyBeWGCfnTCQcQgGf+87GrL-0qcSS`hS;iV{skH9fS#cBgS z0Oyqyt6smO9^eMliBG^4I~8+Mm30bRdljo?cvV)c=Af1L5D$0nU98sNy>KO3kB`Hm zU5eEvyl`-zVzmt~JOTB)m$Ja!cP&-}@m~1s?!~H7{2s+Bf|lY{-(qz;isEB%BkIHp ztM)8btMS77P!~Q9zq^02O5nY4?*YZC&wbQCoF>AD;7U}7kHfc76FvzS{G?bd#7AJC z1B%sCcrP4=*5Uo|E3^Uc`Dw8V52W5!5)YRgjQ>4t3{F0TnENRs{1cMnh%WenOkYr@ zIn-CIyi6C4LLPho9yQpC_rp7o91FzYSE!O0HH11uGR_RZm1s57Oj4 z^~HORELJ|$A1^!|4ZsT*pb>cCVl*Bfh0mdB_yjz3B@nFJ@8Jn4j+fR`e_e%FIJc+2a&Wn*dE z_%2v-9A(6N;8Ca(AAk!{3?G3HqE+}f`~b!As-jr^6s^Vk;3=pJAA}d7_4o*U5GC*l z*lQfyBi;iKLrHuTu0&h$!k-*Z`+11_wcvQv7cZQO2I7M-f=1wdC$L=Pm+9~}RDqAd zFHiul#cV%yA5Ee@@jiGq zO5h{#6|@PTghx)MKJfu~9omYI!JR9q&()+4XP{pA5PS%E@Le)(3frl~z$1_s?}xL{ zKztb9g?#up`~Z!>tCNb=fyj^d!BbELJ_s*D0el2Lh^p}ZDz;4&#E0PZr~w~?Z=n!A z3HLvl`p5fVEecCKycsQ$c=!&ANIX2?6zX5%;S3a&cz7%7lz8|Vis98Siq%-O3Lk)% zqP6%a{2SVePrw1wsJB0oCcFss!bjjIs6SqvTC6Tc)9_LF+G+Fy_yjzs+Uh^Ua4FK~ z6X2wvH9ZJtBRRh$Tt1z)&VFBbI~s|P!C|%ZOL#wg5y{vf0cX~c|8lNtz`Ky#6B~#B zK}(78)EBFLXApz;!8K^mBdlw`&WsF4QR8( z!%nnC;^8}Jo5aHdT8dRE`z9Zpf%@P>@K)4U_F3>9)E}RO2ZUI!5)WsfK@tydMZ@r_ zjdG!p_#pfWEtKi)tUDz8!63ZX_&D6H!Ga`BKTGd=-_&NJ!5tBsGs&x}`RQ7)v@hxZ#Fhu=Tjqz_w+55Y%_ zkHcN(TQMFu-S`lE)c7vA-#J!{4_;t=1ioo}67F#>+ZuHkfES`Jd<0&L*5ie1Py#P3 zI*;uUFDyZQ9%J3X@u)vO0_(%9Q+xdNCF+K*{7twF5A$?f>YqlkP z0B%J-yt=4Z-GVCcF<5mm`N0Qauf_Cxcn@5KBKSCLxP)y0AA_neNq8{*GxcF+eUwjn4i3U7grg__q)FWQF3RU8T8&DlS87)@LH!(jx3_EY7Z1^~Q z9?5uBxaVzb4}U4s+zVfd_d*|%^T5KR(KMzDn^6T`_)9bbFT5BH#7E#8D2!K~w58jr zw_55ME4aF=_i2fPOBUS&GG25pe(aIgDVUostDgEq@_c)&{fCcF>ckJJ;a3wYS?DKFj+N8iu7zz5*H zsK3O(K@YIrB?hiQgJimJ6>UJK!xd^bI@>F_?(>uILLq3f6z?}x8H&vt=N!rNb@T=*EA_!9jLJ_vvC3d{W)d4tDqU|fd} zz}cu1FWih);e|WD$~waf%TYq&;cS$Yc(@sIC-rguLOsa5z%y%9I~YLA~%n_#o2PJ>h|$vJRN;gD0REUU<IW~p3Wf1;xDn}X z6Ylm;+9A`0L(yuyAI?Szd>H;2ZN_^)c+*$(S1+)>;5HP-dsK;)6Yl<9;_+Vi0P?-eyl~R@N>md*2tP-ic(rSZItp#X2jJDH|0}d5c>Hc9 zY8pNOcio+3;l1!wl*EVN{b=9@(ue!*S)v;7KKLnGi&x(-QP-kv_$W*uITs}SpS?+@WPV4OOzik^r1?LfdSNj55jZNT)Z%W!g%3-&=S1o$0h0*v>YFRulK z14!$2>L2d;(-Pe#z3^W2C{r4WfY!|5=<99in=8Je@fK*XbWB)SfVaQ zr3u;zOrQ~X&(BKK0_4X>;081vpM+lxV%_1@L9AaS$9(~K30lJRDEt5|lbC}`R0~>( z55rPli7J1C^x@#a_%~^9ux1E#jt{|Ghf>D3SnqHnT8a-0W8UEmJ=fXySQ3w#(ZN89i*xCxbRB>(VVs1IIv#Nj2XFJ4%F1nUhd=mA=cfr%k$pbzFpFoRcIy_(u>rUd~6==Ckhm*&asP*_Tyb*20 z$KYSkW_%J}avb%5kHV+XheaPg?08@IF|Jp2A1qyJ(%n$n-YKix0sM(H6XFXPZN%pVB|T4^dyd z@Dnr$FWjkvIJ^hWMV0t4{2L156YvYvgjZ*lsG+DGAA~od`S=+81TB=9Ic!fNd=w6v zOa0*ea5h?j55s%VDtsLNY#wEl7FotHsh0U;@NEP_#k`) zm2P3Z!$aoNmhgUfBkGTj!ClXx&%}G-d1x3u0zX22ygIi;Rip9v5PSwz;S=zX^GZ}5 z-VZNBA$$~mh34ZuVe*L<;luECv;?1o6VIm~!w2E-&DOMeuTR4 zY61B{8}K3c4BCWGz+)D&uJHl*JEZhbz!xd>oein)QqKz{#i+AB4A{mG~I^CyL|MMf4l! zDSQB4iPqzz@NKjapM=9NW=w_m!wbMGNt9_;`f!;=5q;CeRvu5)QwLZ2>Rb{c7q8 zFZ?muh8G@!`fg=ea5fr%55s$q4aF1JAk9aTKil*V! zZR74zIbLZ5bbhGw+~n<3sSUI~o7r{jh8WV*tDdzJy}<1ibAo_PO{N zoO3s05_}kr`yK5QAAmoI(RT4(_yyXGSNE_#MO*Pnc-g)5QQOD^JmEgpBR&YPL~~_2 zT!+H=1nl>F`cJ$Up7a>&>r3L{^UqTMr5r=S-#*8@WxUG*K96L~kbpa{W4q=)PY*oQ z_y9cNdDbcM0eBsfF+k`=_LWF{5;m_l)8U84Ct>qTW;*=P_#|w8*-VEY8lQyCubAoZ zL*tXMd4rh_KQulGn_o54;fKb1Ut=GIq%6Xq@xr^2ls5(wNb;{R$9 z_-KN4h$KD^fAR+Ha2L)4!>iCjd=&2VCjDC<>J4_F`S>t=8*RlW;gq*%SKsZeg7D8M ziSL4+A<3uONP850j~MtW>cl5t<=dpsy|_Vm5sF27Yu=0BN{q1oJ8VaI;UQ=p-UpMY z&#t8ZZizY;$+7}4YP|4=o2+{9!r@4+;|R}{>C7u!jr{m7_z{wHl5p4eEboD>sE(L0 zd=o7b|9*-34cds0!bko=J$T5&<`OjvsoiKx@PZF0FFpcK`;dLa?vxi^jbvF-xc5iw z>zVF_OOVt_6!uM8-V2u)ABBBCHq+q}SiFAATl(7Ml#e1Cf zbl*SOZcrbV8-{P9Y52fr9CLk6T6iz~Inv{BSiY5QM`GZv|0+?zzO)nAinie+@C`J6 zPwEri{{`zCABWGPx%dRU|KGH|?=u}_>v{j~mS)#5- zfqulp1nR^K@A=Bga~y6#l4q|fRh3A55SA5{D$ftdGi*YG@Dca~T7yr*A;qP-uKe(H z<0G)Nq*T|N2i}0>oLdxrgmn9X-!HYi57r`CZU`<$5)*^F?Nq9^uq+?EANBts^#DIY zy8nSwb}m)rOb^16@06-`yayhGB*qU{p=B~JJiJ$_+KBhV%aFuGU{hJC^8JWB!129F z2Oofgb}3bzcpvQ3r&Mjgd*D;Z)1P{P2YuI+1ujF9W)$xCJv=c!xDd_9N8!%9mZ~Lq z51fhA-YgeBf~3yl@L9BiYflMyxu;ZB5fg=dcPmw0crW}bYWgwTCM@5ba^VB;eiYe< zbl_$r^(j1a57PJc*8B~A8!^H=(Pn%Mey4A#8bOQ)jzh9u0&u>FbcAZpQniv8;r=L! z7Y;`eydTa(GH)2JM;n-)fFr(7UF=H?j3cQlVZXho>-{JfJQvCIFx<5t?TzUk*nzg< zV{p$Ol&XRIv)=f>Nhb(b8Xt#!z1DOuY&Sj( z*BPIH!}c}l!zIQ?;TGf7ex#3d`f#Q3aoBf%lRj)WJ`C3xpMb*#nDpTiu)A0`BUwyayhF6dX9pQs;HIjLSyC2HDc;Nu#!~5Vps16^8_a8>R|BCS{Jo|9AU#5rQe~w`K zAlmJb)B}<{d*S6s?>FIYBk4;IVmiDWb>gFN4btb*;m2s$!M!ziKZ<-RsydN$=vM!?VE#s4L z^(f{g%{YAUSlTGQ3+^%6iuc0rm(zdx$TQrGD!D&72}d7KUhx6AWg_ioD0LMmRbL?Q zq4=Mds?X4RymwNmIt@u4f^ZI6Bz+pZ21W2u_yAgp4^1vrS5(p;%5?a^6!ty%I4nDf zejD$BC!hpA2=73f@iF)j+J;wCOV#nnGlG1=HdKxe!<*4~d<YSz)=c=%S3x)TrIsbT#dfrpPyr!4p`_*gA%T0GoPN8Tg`URlq6{Yc6M ze>a0RkB`F}W|pdv_!zvtf%PIDUeU<1BpzOHI&E(x`GoCfP!@a`)-_R|_z=7pt-(iO z=PZ_ukHNp9VMozs;HGBEj!(i}T1wS&ycZ4(u`Ij~o`CuuP2IwV*|aBo7~X}X?Zx1$ z#wXzHR`OO`ro!-QB=33>zJxlNSC~Xg@WQQVAzro7-jKu#Ytd6o7oLt*<3q5x-AdmB z4?q$xJOh>Dg$q!Uc;Uq;fseqOk;DuCiF|nBHsr;t4(bL;x%}|&Ncw~XtUA;3L3jz0 z7&WI<4Mwt!3$H{Cc;W4+5-)rhmE+^^L$m~+gfr)|zVIQKK-=)b z9(R_R4qrktU7gK11c?vB_l!@%gXddg9v_@$d=UQH_z3Jmk{{vbbLn$gZW7*fp0%AO z;H0oAJ6we1os+^x&F~tFAg^wpM*bH zWKH+N8YJ})f{QP*>LCJ;z1XUUF#H5bSr}CFoT60^!g@4}^o6Zx06qfmMN-B%eA9T( zCG?r7l9<i6kZjuP{CeA2mJ+_rH{Fgm@o34oQ3f-h*U+6o)S(J-&srF0=al5WEh_ zJy%iqIg%J&_@aJ@#Czf0Nbi5)1|;zb_!*M%p1QnL9dQNgnCX7_9NH)`u;ogtPC{@c z(&dFCm(W+26AwF(Ob^2cjgP~v#(S)OM#S55jAa)Kv_|jTde}4a_V22dcs+VZZyWcrQHCct1QJ%_k-T zqv9!x@L{xy>B5&#Cq4nkJz$k90K1UH3nPD^&yjTCzmaaY50dwlz7&HsqwpD|>j8djyyr2-{AeNRB;Z4T zp&!A=;YUc~ld%6<%X{H?;{&iBNq;5$y-cs*J)AI(n()GB&}zK!;3sISc%ct1!3%Fg z>+vyo$di)_lj!PZG#?*>&!Plg*!DDS9xpr> zt-^=l3n+J1-&m!eL56uyRH_#~Y43H6B&!r@zJ zGXdHsya@IGIok_-{WIDJJ_!%lN<7{NTfSf$pG2DQZ^%2D<-$Y1WIEmtpZbdJzmn;2 z*OHx79PfoE?7Wj2c@oQo{d?`Cf>opmuP)n3Z8@1V;YGc7;(c-C0Uoi-PHOxwNE240 zMffm$3`Ou=@RR@3-u;Kkc@+fTztLp7ZG(&$aFL*6iLl7h4iI5#7CJzHtxaWspbH5& zZIdz~X*;xOnUEAm2{5$*28ggV5hlqdnIxNJlnPrKWYmg_4KixP!K9BP23%->sYV<~ z`tW|v<`nP|-|PKxJ?|gy6|U>c_q%uRIdkURKW4JsY%!se1@n`iLtSV1^=Rk<|0bHc z#`j@bxA<#l=^j7f@$-`zo#GtApF>OhA%x>t=dZ-=$xqBro`mo-?ZIzC*JFbVXzSoF zVBRY8a_}xD_KRK>zydU8`DEKT^zwweeuY02T=-`dW=?bTRYJRd+ z2frHO8ZYpZ7tWvixw|yqfyHmKKW}}KadeHJ@Z|Z)q)zj~A?y4bn!k(iIXroaxnZmA zHGbmH%uf~+e4m8hioWf^RUFsBzl&2kcsg!x@yniS4j!B5_aUrpjSoF-ep0r*$@l&2 z{JFm$+T!0&oBwszoY()nW2$TX4K#If+5BV|TDr-vdAj-N5Zufr-`sSz1EuWO<1pkpZa2R(!o!|4xQm&!;}slxXL&>cp;AKG>_)YRhM`llDAwk=WpqS zI{2oS%uj}Og+Gm~ZgUUIbnp{iYR)=%1&TU&EjH`mw_&TU@MPG2)g^QOYdvLq@V{Y) zPG08RUS^GSp5KSAZt+uI?%G`Eyy9;ntt+o^og%M;zl(7l{Nt~*R=UOi6I*m@%=6=@ zuJIGE_S)B56Mg{8benJe73Y=i@g>*zXS&NTUTzI_p5KB6H(5j8hK0J$U&A8Z<14SV zhC0W0VTrEsmypw4e%7zLUUY`vi=wXcQ(onHb(&8heX}t+pZ8jHf&Um?-R9-5c3f{U zH(rl$-j?{wsM_A+YhU9!(gmJGT{rn&G<5KNXzJjFuQdl9{2S=%;CG|1gKHQp8J}OU z!nL4tycTI4y!dslHy!*eEZ2E{JJ#z8e+V0N@E1_g!6&gz_xPQ^Zr-}Zzc}tZ(HY*3 znXsLI@AcmMbnxPp)=menT4m0<#ML*N^IIJY{xlZr;Lp6tb*h6OKwh``Ex+L&rBj9Z z$IxsjHeD*Y zM`1_UpFjLo=c=yrH}QZ@Zk?aJ0&Sh=-$q9VfAcoyfDS%~WWyzM&TjDBI>!%SM7R07 z7}e?9treE(JkP(wd8<>rkj86@5ZPOz85QWi+`!=y3jd(++EgDr}!=`ew)|(JM)tlVucR=brf{)JMT6(9sKv$ zrh_-W*Eyhr--#Jr-}8hnR+c>kxZ z;cq$zfIVr?ol(2>2JA5@%m%F*1^Ap5nbbNVwp}pKRpZo{wpj-T1Y}LsL#|ztZ@P5>Fa2NOL;BVlV z4!-E5b5iH{X%Ef!yB5ujulyg@@Ljg^Ymm~xAI6Xl{wOlK&JQ5Gj|V@3yzRkP{-bL~ z=Xo5fb?_ZnuY)(CqU-!esOjJ*cHK*L@K2+uGhD+=*q^_N!FPM@|789c)fv7P%XEqV z3M+Jv*L>OI!*)K0cIbch9*4pASWo^67U~|~cq&|<_Tm4)YMp##e)2Qeq0?N#l&bbsV4ru5S??O{I z`O7$}d;BM-y%yc(H-F>&m?i!Q!uL;tuluIY9(P?b=UeoUF7bb0?)y~V@|he3+k@}K zavl6(jOseSycgETocVgxZ4dreY|+7Iut6t}IM* zzgffZSpG50=oII$-2TCzLRJU=C5CmI{~Z+_e8U;%m=3-ht8|S&jeB+Q+JAQ(b?|0v z)fMhxRtMkwZP%d=Zr}mk;&szw5DQoe#Qx z&iXxSZo0{zMNtPo?|aTI9lR7uTqp5@cB^gMjb#M=Dojh*9uNNNh^Wf&g z&;PN3WZqWe@by@(OZ-8s&~<+1yaB(L(wzB?^9TH1O7~&D58?YrZT^XYfuw4Cnr}u+ zSNQjFM%OPINWO-1y2o!C9PoQ4jl+kL)h+I0nND3ikX(tp4!#$wbnyMypxb-~TXgVi zFBwR->fqO*t_yq)O&$F91p~=39XyGyZt@o%Z;f=9AH}@)`5q}>^AiKfLLIyk!@9&v zpJ2|q&aX@jBstyUJAZN@De4;MpXhmYf&UII-Q_EP>ioJD_z|46Jy|&5`ym6#(EBf$ z^Goz%9lR1*UE+Vkm`)=PQIqdV~f#eB4ZB2BJKZUvu{tTvc@WLT; z)4^}YaUJ}-IHl|SM>wN{{|UbFHRscMp-!GMkURy8b?{4((ZSbZna=YLgnM0`{|zg` zV}E8KxdP#SnB&z5?;XJ(K;1sUe~6lH@rRx|kQ~)b&Mz`IUEsr5@PSL_{Pd>{BxxP| zLM+iaej}FY;QO&c2Y(Lhb(eeCtdpM|NPZSubnqI~bZ`X?-Q@hwS%+{Bv0 z4ql3?4!#yUbb&vDDINSEj_Tlt(AGWv@e%XX!5_!04*oMF+dLP~e~xQG2fz7>fn-Dn zSFl{ycqdlr;771p2Y(Nnb?{)u@zOcI3k_Z4ucNDby!g3}-S0XU{3VB0UFXl>s1BaR13LH{=;$Qt zd`4fl_=+n%*N6Q#GI$aN9sJL~Y+ZElk1riaw&~!X!=%pe*Kn`y@xsybuPgW^XxkoK zLQ4mK4&mNjda-jKr)&@I; zZgUq!9ei2NoOJLru|;P%hiy9ex3NP9zXwfS;~BJca65dhgJ1O$_gfu&1A036tw<(( zub4Mtp>Fc0uviE8F`|RNi)A`_scQ%;bnwv2oQFF2nW%*ATtH0+|Hd-sfDXPHM|JAu z1Ih2;n6B~n&29n!f>v-wf3eS%f zI`|c@bAQvpuOD}g>EKP+p@SQk(y2ELB+tW9o#PwufG+Wea7-s}GzO0A8ZUg4W2f7N zf#hk|xhL!3B9iTw%z3k3sB66WdY>^u^FtWb!I$6Q*y-SxVwEoNz-rfsPVoy-*1=yv zRR^C&T?c;;(_#NL9($wX5}IF)jt;&ZvpV=?Z}#~~H;X=Bt@WOr*E<@I`}Ho!an@Cn>}8q z_$6rQ3jYC`y2X!RI_!VTK=OvtK++2P@E_rr4nB#F4*oh$>mHB1)oa%|UXQ`w_gFrP zln(wD7U|$ekEO3xOb7oK3cALhLrDk!+S|NF9eh3Ny2J<3)GfZW?6v6N zHfD72z(&`O4t^TW=sdp-gC8|#{s2-s_>*sUz3Jflutc}`Z?Q~w`6o7cpVes|!wQ|} zcVd;U@h7obxA?1AuM2m&r@h0m3;S^9HytmX<2T@#4&ILAI=F#Z-R1=qYp#P|u*KIO z^E-rj8Af!8pZPB5lFo1uD|B!j1s!|>WgYw#)O3&k<=vhyG(Yn_o>vDie6Q!#!Oz7= z-JE$NMs@Hnyw7v#;Fn>&&htC4S=abJY|$-#1mW*z1;6(F?k76R1b=YCYtg~`uwFO$>Gzy}uHgN@=Nhm*_|urut*~vo>qxix%{$z;b?|$B-+8#} zk~x1)FVbxu{-`l^hO5Zx8n67Acb@0cqRX6z|?9jm<+v}Xv!S|u1gFlPoy3HH+nX|6&yY^dKog5fQehLdF{cIaw zjfFb+^%&N{Z^Ec<@w$WI^;l=#g-PAyKgE=8^Ote2?(vB!YZ#jEJY;=zjmHjq-aXch zFF)cq>KuO_t96(Esp+-r9&i5xW9laV!5=yny2a~0?$6qLy)Mq)YyLXNzxYS40iEHy zLi;S*~Vh1pE}mIXL!w@S#y6zF7h6P`Ly_wKli-0FX9yl ze~u2`8awq_@9$V;`+9yq=Dx4UFZ&B?@e*sre}$&!YV#~Ae&5Cr^mLmaMqek#jEytTb?$K%=X8$8G4FYP z7M;sjpewu`3w52Ru}HW01QzQqe-9%%`MmM5M5j56QJv#)EYk%pW4W&I1Xk!ePowsH z<8ucSy32jkb@ErnM?A);IHq-h%V_Be@4$?12BWmZn(!>9b&m(X;Qi*g?%{kD zn!jXB-iVPG7>EBHOJ3-){9|p$CF>aR2*P7Cd_9iaUgB!pUgJjWCO;Uv&8K7c_!s}$ z=bT@5ta%J!%sdxkm$(|c#*Nrb{(S5@{+=UZZz`0m&>ZpIF7 z#}58h>>fYiZ{zs(H?dDC#t4G5#v+z&9eSe~GI& zWqXYqIIWvJgG$~y@GPcvk1zd_d&R5GnXkeko#T~QtP8vqBf8Gh=;#)oKv#FUk6E4k z!$9&>^mLk+qOWtj9?5I0Cs#43YkUwX-Qo^LUTaJ~izPZa;k9E_r+F!s=^U@ba$Vr9 zSfOj&z$)G32eDdr`8z1CFy={PqNLNDMOo)~92H#%+fdaNon``P;Ma}$Kg<~mb(*tSq;tFyi*yIk7Khga2Z>4g(t98*ZDKprd#}F?9e^F zwCl5_PVorl?zcRK<8N?%@j48zbUttu8C~NB%DTxjxL3FNTga?3K0o1~%vGm&7&)Ec zYcQtsTtr@%xQcOI;|2=4$ulVGHqT<=8;#F{U-sH{iidGVXLt^#IjqphDaRJ8bec!8TIcwUSg#9Q#s*#C z9Z0ToT=*ykb&F3TrMr9%Lpu42?-d}eGrSyIbe@aYs!Lo&PuKVl(bp|LiR5}?@;QX# zn|#&hUM#!8n7kZed!9GpwCxq%5x3WQI(Cas#_sZu{mc2srug;9uJL+#W87ZhgP66w z$)Ahc+hH5}Z+0wraMnDFjs*{6wa)Mu*6TbMaZ;DKic`AA2XR_A`9Yk~UGAf_)*61z zTB5Aeyc89k=OSixiL2=88aI%Ci!pfyLrDI`b?>bdLwW>73Uo9>!Lk;W6}eo{LCsH9l7{sB7FnN;i21 zL%Pkg;cMOF!EaeZo#J71bcV;!)p;&rR+qSn@@>ZF1}eJAGid8J&!VGyJlM0=8;sAx z$mtA^1$3T^=;#vPjjpcqG%~kabMBy|yWB@vCy#hdIHuE_Md}X6l*a?Qz-1J4g(q;Y zuJbfb>lSyge&Z#^fRjy2N*(@(yG26so$( zpF>Tz`7|bUk1zhVYhR~$1Pz_xYtYnrUW;j6;;m@u8Xv@rZt~~Q)@}Y8I=aUf|A+HR zr}$Zz)fv79J)P&9(AO27K&s+A;b{!%7N0;`clj)ab*j&JKxcRi$8?_8;kYjG-8iZ1 zJdN^i8IwDx=q{f{RVUxE&Zy}Ok73zw8zxi0Zt2=8At{$%VHe<^mCzY{z8uJ0#f z?sE=b9Xro!W0&~7$ZoN=+=$zod@OdGXJhyHva{CqUFONZj^evr7hFbot_puFcAf8w z-Qtt6yWEeRob&I65gwc7Z0sDbie2Dx>ORG z=eZcW#P5$?<3{Wze=c^LXJhyH;{SPm%oLBr&hXW-^ZX8+t2)Pcd)!{<`(n5FWb7_~ zCwB5Z=OE_Rgs+U9<5jT>yfJo#Ct}xwW4HKAvAf)log^0}i;#P-dmWErOy_tN^18sA zF|KR;2`qe{bC^3Q>Mox{NhgmpCdxX^qp0W{uR>Lqf{}W^F?k9@y2;0o)@?qGVcp{e zKXy@)(P@4KPU}1uaYmQ;!&p{xt?@LL>lSwcy2}GUev!X_?|k54%<2q}p{MhF2UdK* z@!}l;UFV~i)Ga=VDc$9BxL2p<855}w8k5H`r1M{h z&-uo{piXlZDV^hSY}N%XPve|!aR>9Z8K3)DppyaPW1&uS7CUr~$I;OR zE@S9-jn5NE>pD-Ps9W4YLwETsW_9YKi;@>$>xYfWH{zr&aTTX@jX#0hM~uTAjOi}- zk=Mzf=f}8C^HR*4FlN|>1-ihSuuxZc0*iE=r?FVKxPuYh> zSgs5Fer&zRoVkH*y2&%xq1!x*N!{bYOPnV<#lyH)XLt-pb)JiOK$p0RW4gu-9M?^r z!AafbS)9^69=z0X*C`&x8J*!VjBGbP7qLW_xQbC-` zM=@`QHQ`lQpbNYi3w4EeV3BU}3>v!4r_t0sUhsIwL#KEIEuG=zn9&8^jMDELlXsx3 z>wFXy-Qts|>Mr+D)5%YGeoW{zkD{(~yb29n;LT|2TG)o{N6ncZL|3wFX?-Qtrd>n`_E(aBF)8&q|gv#9ADk7Gg?xQx24gl%Z( zI!~jiTigj>>n``v(#b+=iy58fQM7fASD~W|ycu0x;T;I?xpn>0Ove^9U~V1wK^(Ka&8Oq` z9zS)+``STc@+iXoIUbK);JdM9$~<{0Zg28T>^7gp*dfR3Db^NqYs=Z#Ilex2iSNUi z!;U+jjN7|>E_U)W7bTY=jFaJwIDN$H;*Z7cb^c837XM@HE}xB^JoTdF=P)-PUK%^c zD`OXUQ|tki z{#dWmoW%y6<8f@(1>S@$y29JBRo8hM+jNUhV2AGU;Lo|=e!{iDFT%1<8k1Kc9E$?q z8N0$eV%Pb;*e!l2c9+k_PSVy1bH|jgjGg0gEclc;a~YkZ=FAgV@@aGCX>8Cf?%=rY zavvvk^7GaNr*xWMfzvwAMTF-qaW!_08?l@GkFmRaHg@N3XCr>v%j{UJY^HLnwIUdJJUEoa^{fsr?2`tlfz8_(3E$+nbavvjq zVoerX6D-kb&SJC9@i@X`3tWy};fdIFp2m_tx8~fzyw6&5{vPT&^$QmzSKyS+@bxJD zh5IB|v3$n;hd+VEe`y@=@}34I{!zna=Y%EY~HjVuh~p z5v%fzCKmp^F?lIY=^T&av@Y-_oY57& z=sCvMDSkFm9qY#L$B?e`{Wzyv+`+srd0sw?1vj>n3tYyOuJ8l~ z|H1ftKbGk>&!VDxeCZX|PNzAGGdjoP7&&1~E@OkP@OEt0O`bt=(wIDptnTq(#<9>T zz7jQ^<8hqS1^ysX51AW3h$-FX*}(rW4i7%pJzuAI7=4}LF|7Yb=NlK%&?T;7sOva} zZP=ijJc9>xo4<`?I(eS^8&>_3*UqoPYMtkGSg%W5#RgsDgV?N_JcBK|%@1R%?(yLB zont!1!`PuSd<|B7+4#H;t8|I0SgmV(5XW?rAH;Fp=2@K7J-&2_*Z#^(k$=qAqubem_<);%74 zp)nseJ`W?SGkguU={y&)LzlRUp005N;r`s@nb>WfMfSAuc`)lW>J*P)i_Y=N@bx#G z+q?-&bcMHLRM&YL%XEu7SgyO=#|oWX>6l=ZPV-e*t#dq%^}4{Du|e0kffe7hCj1~) z={C<|weInyzwEI(#Ulu>F~eiA^SnNGiMM0xx6C*PfjCC|l%Oa1wR z--@2D@E>6P0{inLnAORP?T^)uw?DrXU0vaO5T3Wr_r-4UmoW2f$B4g!w)V^U{a#!5 z;s0=q_)0YTjuF2eOK1px*SgE+kUr-a6_z`eT5XK_>~FEM94pwqk*$8?TY;@5hL4@d+%^UG8I4CoeNT zmgzJv#d4kFajeh<-h@@U!rQT0*LfQ2b&F46gYNQKY}Uy#>w_&i%}cRW=XfQy=>nIr zLsxh^CUu?f$CPey2lwhOpT$v~yxjcpfKGE3$8?TY;(x=lgM5xA+9k z=q~qhPA9K0KKxc*zkAXAu|Vf|91C@UH(`;k@OCWLb)LqEZt)4s&buV(@>%qB@=D{Q zuhYB~$$aDUN(|}(Z$e5}csqu4o$p6lxA+8xb(hZ~qmwb?BdgQA6gi#al^D|n-h{la z@OF&rI^U0iZt)2eb(hbgq?4YUFZAJ)h#}OS>5Hc=;`Ddiq?XOYp#a^oYb)4UWpo#T}l(*@pyysq$ejO#kzkAiOV zX>7aLpK*Bb+Vl6-6c5MF@GEir3GVZJQ|uCNMYs>wcq(?2XJWVcbnG5K@mH-+%AEN{ zv2*--%)JNk#@H2}h+Pkk-Qs`3;wPFjKmJwcJH^8Y<79Yw>^!fHUE)t+@~5mVKNP#m z&&pe?g&xb-#?JFvgfUBeSL_;pGIopq9>pg)M*L{(8md{^umH)1#W3$fcg8@tDkf1UgM zB0rDK&p}UTcno2ld0rd4#2*X&H0L>g8dJK(ov_{hUH(q&{+va4t{lG+6Sfz)9Jg0^N9;P^ z7rVus*j;`UD~64~()=+ue;$pUM8NM0wMx1Z_-qliZmmiIt{Dw8e+#2#VvGZJvUE=rR)D_0$ zM%>=y6S2G8kDU}8ckIYG?tBfx_<1hIF7bO~*Z7ZPxA;WtE+^NWe{AqmW2bpEc8*uZ zE^s+^g}2A9^L?>fd@^>I&&5uzH$LXpftSY4@p$Y4Z;D;vdt%pvW4HKZ>@J^;o!nr2 z%#F`WW9PUKJNSLEYkV+vliRU_ACBGQ1*^}Gnd0Ht86JzB=XJ45d{^umPsMKXvDj^X zIChUOT@%OWXUER)HL>%&Hg<`(#;);H>?S`LyUh>B?(wBJ#_>55J9sR1o;Ss=@I>r7 ze;TP5IJdbIw|Du{H^(t~ICh4w#f<&)T*Tt6>yGb6UDx;^8oI?DBv-m8^W%%|^E%C0 zoYXnK3He|4S&JudOxJlDE0!9EJJ_bX+z(&tWUcECi$;yfSrl}R$1$l3T*f(F;R(!p zk@0yN>vfAe*r2=I$4Q;M#rQa-)11Zf7aO0)u|gNPjBrj?czf(RPseU?Cw7^e`!Zt+)R_xQ={r7e>QfTza2Zd z$vR+eo}7)H1u0xvoSF@CTC;k`1;r-z7Ip!I7a-n zxV^`Nx14`$iicxo_*Jpo~%>kN;fqVrrtUzfOw@@w2H_#i5}$zMWMce#(6PHs16Oz1Sf6m^~Fb!g}kSJBk9 zunm>h8k1*G)oq?dP4{@g9mdotz5;cf;W0FHo{Pw?Fg{n2(=~2jOgH&Kbak5_#;oq~ zrEl|jTc>y!eVyUu@QcurJQp#jOI$@t*Z4jZe$6^_2SwfGK1w<%TN9LZnwO%Yb3Bf! zE^rw&UEzsR>SUwwF|E^_MN8-S2xfGXFMhjoPp9}QY#z4{k7J82 za2Z>5g(t90*LfN{bc;Kf)LrglN++A#n{lr$@#iq3+x$HYzQI15%@Zk|=B43lo#Sz& zb%Dzm))k&WM%Q`4onD(x@meg`CH^oLuCxzNW07ug2SwfGa|ri`%p;G{7~#JpNpMTE=rz) zxiR@gv2(mKc7Zp?uJApv>wJIg7N3sYDAx;6QWvAf)loow;%#}W3)@HMgXT#Q}fyJOe*lSseWJo#bF z=pH}yUG67EbK@J)&?UYbVf&=bFP|$fU zVp5m5iqpEr4Q#&2_&kFxy3Mob=pGNg$Nf#G_}Lh}+4ww$WjfDAOz09em}uf)8~=FFS0Kv#G>7V0L?psU+F8_+!-e6RCUr+65Bo#8Pg zcbYR7F{n#iMM~HB6ByDh?jWtZJn%ka=@dU38{T0|9>Zpx=XKbkOI*cPUE>C}=_b!$ zhi>yMCUuVo-|ziJr}zc9SLb*fM|FYUjR$m%8#tz${6!qsUGC$g_5<9>GjK|0cnm`o z^W-AZy2Mos>lz=#gl_T->blLdXy_gfe!!nEe#<=h*{JCpk7Gs`xQyk$?RfD7!hNI8 z)1hr|aR+_f<+G^1)0{u({)3uMa~2ai$K$B$0+%to#rQmd^}5c}=)TMN+`*!E8=w0q z>f}S#01chyEM|3%$1(aI<8v9Cb%pn$rJFp1!KyXkZzH9XZN>@c9FL=~3tYy+t;XRA zEYfwJ4qxjQcW_*HxsR|`$?uvc%I`Bz&Z44oJdVCDa2dyI#^(tnA2dEsV@$WWgPQJg z9}_zHu<_r?x>5L@rNc+MyEX5HmS5%y0$>ideAI~JUa9bAY# z=hzjl#}01A4(`SdPCgdL=XC7gT^aA-a6NW#D|T=`Pjjw*uk~f z!OhrRPIkw+aXNM(ICgM7c5o|pa5r|3QzqGyQSy9*?HB%cVFfO%z=ajKumTrW;KB-ASb+;G zaA5^5tiXj8xUd2jR^Y-4Tv&k%D{x^2F08*4%Xc`i<%GP3v#I?M0W}e(TM@eA^AhH8)*% z+jDMOd&8}_+;+>28=iB+EjRu0b+_Gg`5n)_EPc~;H?O^M&21ZgZOyH>t-a;u7hU$; z%QKg~`0)v) zW_AA7wRfytzvj(rZu|Z}{NaE6^0J3+Fp=eJ?pU)vy*~W^i!QtFwySTxaKoD0ytW&!TYuY{%U(Qs#rMbl&kz3o_&>DAe|h%NEB@15N3Zz)i$1>wtJjp) z+`M|t%{M&uT1Fq+vf(9ht$*-5kFEaI9*TJxgI-nRDU=RfbV^v&1Zi4xthg+F$75@M<>i^npPzZ*^RB$yktyAJOL(ET-1?GRZz!(au;zvh zx8G`j>uy^8f+hax?bokgd&4zrHm`@y89tIZ zl0A|;GIk_?Wc*0sNbyMNNcl+RNcBkV$i$KQk;akck?A9?BQrH2UzQ_t3O^|5-sK3*@>i}g~yT(8ut^;&(RUavRm&H8k`RiCN1 z>z#VHK3nhA`}Jh!;Lg;}p`GcS!#guOvpaJ;$9Cp-7Iv0)mUmWmR(IBRPVB7jZ0v0A zoZi{mIkU69v$M0ib9QHM=isi^)XY?Ssx#G{nw{!R^{0|UgNIUwh7P3`GU$b{ntP`AwD!#GY47Rm>F$}`)7#VElk6Sbo7y|H zH@$awZ)R_HZ*K3{-u&M2y@kESy`8?QRX@}?)I2nOsC8)OQ2S8l zQ1{U6q28hXA^gA_^WM+4JcV~BZcVV(PS(+?QmiCnQRQ6Q&_$7wP3je&@m~HeL znf=-Qx&34N^ZUp57xowTPwcPnZ|raGpWZ*azqh}7pmt#5K<7aB!0dtEf&PJ^sr1zF zRAwqWm75xy%1@1(O)VVs%%HyqoFri_{9?qpH;>u9y}jf63j4yy=a0egq0FJ|q1>Ud zL-|AFhYE*^KXgU=BGZ^Gl#Q>bBD(c=MRq`E*vf%E*&l(t{ko& zt{t8@TtD16+&t_&%nv4;A7?Vwytk{rE7?7`JGFagcY62m?#%A&?%eLN-TB?)y9>LE zyGy&vyDPh^yKB2AcGq_|b~krV?{4jWY~^Nm_jdPp|M$oI{JK`0AGOJe$@*ktvN<_D z*_xc0Y)_{54DZS8$?nPR8QYWJGrp&=r?}^_Bk=$994PHA@2%{u?yc>e*jwM**xTGY zy|=ZuyKi=1Z(o03(im){8bghAW4MuNWE;80SR>yUZxkBEMyXM5R2tPrtufK4HyVv* zW4h65%rx4KPUHM}&~GIB2luD;5A9FyAKw4Jo)xA2<^7fY)%~>}IzL+bXZE-EclLMZ z&XoTC>AoNyzBgU z=f_DM7&?$XkUubfpm3mgpmd;opmLx(cP`csG!8TmOdn_+m^sk?p))f%ICwC1aOhzA z;PAoB!R*1@!Lft+gX0Ga2a5+w2g?U52df8b2PY2J4>k@q4^AI!9h^DXKG-=}a$jit z(3O;Y|7`P%Jd=CFd9-VASIP|2=9t-)-Id!lwkyA@wrgTneOF^wbJz5))~=ad?OmN+ z-CaNWeEZR7+mAliQa|$U60YC>dY<(r`;*C@!9A%xLwkO3zSV!^-6g*-T#LnhrG4dn zm3`ruwD!&HYsVw=zvKM*QRm0`<2rmGb0B*lcVO&49pV4GN3;F?`+WM)V|SLMHkd4N z$jU$BT_*kg_mdwS%dYo{q(12P4=2eF-vz>T`-AxxrixRgc<-%EO-$9N8dJ@=yRcvC zI(NQT{@eFP1Gfj0ZB+Yj-}Cfi4)q1eELkJ(<>TJBo8G50JIB2r*W&wcczn(kasGOkaSfz? z=x$qx_tyV>wx_*MgnMRtvNPG8oSl5^Ob+MqnD?oM_s5R+!(s1$r60Q6&F&rAmv`=V z_odz2#`c%?=MJ2|(!=q2?5NDnz4s4!*B|x{Q}Oalmh?%Zb~?|~QocVPuCtiXj8xUd2jR^Y-4Tv&k%D{x^2{(rs#{|DYu BpO63m diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/netId2.dat deleted file mode 100644 index 84f1de616b41010c4e42f3830e200507717bea86..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 100 zcmWm4w-Ep!5X8U-QaZprw*L~*X=XEJGb>OP0Syry2?Kf@dM5NN=-JS7;JDE9pyvZ_ CyaJ5? diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/t_fsb_isim_beh.exe deleted file mode 100644 index 48d934f34e7335ec387755d4380a83f62a32f836..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 129826 zcmeFa34D~*xj%m1cQRqJPFMzmf(#NcsDXqvsAz^HFwsC_5)hXl0o#7==l=e; z^GW8M=Q+=Lp0hsZIqNJsdy{YoArkQ4*C)hQxcn5z@1eieBRGBhW9j0Nq~|AWHAT5L2#vr~xu;#iSlnxn*05M|;&Rft><+zdu4;Ft27D%}K~0sub+a1({NA=8xk z5@LCh#LKw!X^#!t7)d5JBR$E=`wxA0t0aHZxKl&HwIM`Wz64)P8}p8Q#D2V@eEHPc z>QFV1xdy&k@Xu_Yi~pEUfmq%>wN(?MJjHtalh=9pkNFgc@`9-=+S-WnGS8c00%N@E z$*)22lV!6Rfv6Kv8$$$dfynKiT3AsGkFx8%_!{AaI;wGu^-UQ&Xb6XhQsMb_z1Ws4nQ|&hNR1v=|ecvSK6Ph zVE(lH*^=%>=665Bncu4ZbdWn&rSFz3l7o0MoMi*h<>ls+qJ?~NLC1D*@xgSY`S<7l zUJ3X+K5+RvcDS~?$FFDZ0p~}YOW`kyqy^xVb~(TJF7RERUg@O01t;wMiv*k>(g_!3 zd3(;OB>E-79Xs;DZX?-|Uv}MwdDnj2*SF~Goz8LK$i}zRd4%m7Zu?TSFSIVNJCa6x z*m)T^c0Ln2a~nHE&)+M+a_19$`1Dl!got>)mML(K3Ns;251okfg=-Ksqi1{}DaMe) zo=|ec`A7P?L*LHpMjEq`#saa8sp+`~Z1>$u*k9wBgtYp*oPYX=PJ+21pJSA}vjH;Q zkCtcpyZo7T8@@_0LX~t(cV`(wI<_*u>F!JC11O5D{{m2PXSe(MZuif-d#3?e=N?~# z#C4^Gk?uZE3X-_fS%MTX1v*7_n>yB=3nqX6@4mjg?v8C#cmh0nKLSF=_btim-j)We zuWHGeODp{wKDZG;QDo9P7n6BambbX`U4QtM&-@R%JE=s1{z$^4T+sL<%UpTg`~B{p zjyrG}KorC*|J?2Fjc0+%ADK7eY9!_`~~Uq$gFR_CH3PzlJyO z^^PwL_eQFei1WulAhR2r0PS?%_Z^j~i1P;ECD|kdbiUr6%miHKTtik7X930|CL^^t zulq3&h`eX|cK19mAK0$6-vQC*Y2O4O>^u(~dtO{115R57Co=A{s}TM>M0amI5~OIK zXE7lBM4x9bg2*=sY{vc_MZde}XC*A8alZne;?CDYwUB-dSm!JT4eZZp7B5Nwxg%CT!@nJj=b*94^ieffZ$@EKax7W<7uNO z8(~FV^~R!_4@<+nJrg8p!dQP~)c8f=9%RkmvE9`(0_eGa{qD2=nh()DKZH0Gho=gh zN_Hkt%d=>^Pz0snNwa~Cq@93oe>kHw;+#rf#4{dF&vevoQN(jB{9&gP-^HDK+#B7< zGkSjSw+TPfp3?JR87ufb!2S(8*w)TDFYqnjxxR0q`=C9Cf79`qvHq)L+(o}(G4=k0 z4fWBYtmVB2;H%q&a_$u37i{Bf2%Wo8AKS(Q>N#674k1(qyoCVwc-G^(A3#=D+6p*5 z^FXxIc{+&LK6O&*Quj&;&wVo(4sK8l0U@FGwk@$AOr=7fOn>iRbIKQn$(7 zxkurSvT*LsZiPuA#`C)_NN20s1#IsR@fCie=U0n`(ES(sY^SsJ+Ymu1-(s7DF6piP z8k7C z-PU$Nnl091wCo=9G1VW#6rTqyoJc`vzWEG*f z2*pJp4uze+U8iQ#CfSs_JTH++SK84H6uFj>y3!^rBhW?w^|KvA#eI;?#IN;-AIVxT zfyc8hm+nJZo8Xp)@6KW$FAYDGwOQhSQ`&V$&r#4V9Vcgr(CPk2PBPf~!|!j)VR&TL zNlf?4L`($&zR0yVCL&}fbN~=Y$ozzeD{^ATpN;Sf2VU+x;O<1Zh<$ZvmcKp|WkWuF zp1(v$+HoVQd#CI={&0tDEOE}uQFbNage;cBT7N{RJfc$`(J7DUlmp&Ou^Va93&8@|^}-$4*}Y9e-CSYk6eEfj!~T{;rD?b`AqhL^7ZRJE2@e$7CZitYfbc z9(G`NXQI0kdPQcN=6;{2n8}TFd;!&O=QL7|jCQ&Ip&rae6D6}4Dau6%LQJ>z8td{=4Ytb z$*UQycOKHYGf^gRJW{2+JBLX`I+KZZfF4F}7_cb!w1kLJ6iN1>hdA!SggNT#%6LjbrU(F zG?McrdZe%KC9>q4@h~eUas=Y^-iu+zw?x~99Uu5P*mQ0CVhrS?35HHX`cA6?5dL%< zR1lJz^KD3@uur$4m(v&RzibwEy_r6ChNlj^EdKWpDSbYB5Zl1-my7Pz4Mu8r-! znUH|)8`Jub>O{4}@A4z5(OFr;or_0^> zd!`U+pFlT~LpxMu<`^KDnGR04tB11jvK@EymV<|q?6j_quJVmkA6~kjV z+9G*Ok`yN^ip-=KiW!<>vZOdtQEZK&*!XL9@^X-&vB{O3-UO6VQZ`#)Z>B6TRUgRH zYnNJif;vQ=O1maq)`}!_Me5VxfI~L$1NQaO@So70?gsS3vLMO^MfN7ZqT zw&L7(_;G09Njd(E!im}g=Odbzv9+OxIot`<>S-lFd4#gcY2qyNhZ{LqL6`j0>p|KuiiH-U zZT@!|0O%>S4D_UV)4)QFC`7;)xpr#;LfFcIK%O`TM2_ot&j{~4@Ji?3+pG7LK#@EO zHj+o5=YtNCb!5jXk^+kCa$X_(MIy}rKh2?XnnTO{5hkr;NBwq;Br6YBq0H^zFrhf4LK< zid~M@yH)hqP1T1SvwM$Y8#!p$?j1>VB+@+`oUF!J6!9hK3>Af+IPjtmM!^i) z7x|@NfEIyl7e`!06J15&q(xoJ5{e@!MJdUpD2d{5GAG9qd-p06LaOk6yBH-m&c@vL zNKsN!1e+P*Cw<6nietiV-vo1l(R(i92V2-pqylnf#+Qv(|$XJ68)_ z8I6ektZ&w=ZCxYR@` zgKDBFy_Z0MX3V7Q`!yqyLA*Y?kNODK^~4Nc#BpG^Z=y)TY!y1G*GC2@b(xWBnGrzo zxj0Sbf~G9%WjWXnn1!esT~3`?K6K(I_8y^RFPABoYBYYTuGHv&$$kSy51WWg_LsDP z*bL&6{U@R$5$`{u{Rc1eiM>BjvJavC2TXQ|N!sv1Hj?cR-;$Lf-AA%ArTe&?wX43I zaReyr(csDj=*zH%(3iJghyXRcVT+QZBm(;PkTP&&4>^;Z(WXdecan1f$WBs8Ec&tx zMPJ?vPUN~9Ew( z*-;cCzR_{b5RGoLoTF{b+7D`ehVJpCV#nPJcj!(trT1KR8pW7NQ9Ygv4!6f2r5LbQ zWL{3vc_AV`FB4Q=I8kP+=mr5l1LVcsc@F}(@zwbwc$k-NxTRgbgpRowJ-8I-la+TL zgCi=~AHE`MGhr~_1eYI1-3)g?A+(I8kWugqq$&qB+T5LAgK6(~*mYG-z(K9CS&5A+ zzuvjhbo|c(%xIXrEuiKnaxsj@bXvpV|32`tuk(}kIhaxuly+SwNlJC_W9q=}n>Ss+ckv`?~#IkI49XIca566+$a)+=`}gia$RGx3BPM>f`oDs+K=!%# zX3$P_B*N8@q13;-^J*kTR?qeDa0FOxH-MXgQ4N6U^+yoq50_%#hVWcqBzeJrmHsJ0 zCD+g4IZPW}t+n><{aWU3xh$Xa}THtc$nNCZhXQ*;wKUVub};LO+Jn=UFC~57VkoAy7#`?hol@ zpIk2cV2|q}mUCm4zcgHn#tz@IQUu7h)b-Q#>?M&zyE)~I1v9P$oh0jM-Xw5UD?#&s zfDeN+)CL-}mu1;+L*maMDveC~;sP#I{+?{W4Y5kQavu5?`}%)gj-h9u$fe=;OT(Y& zNT*t&b`2s5)S9%wBCUrlI|yS<>a$2)Sj8WjbiPG;-yum0EmA)=NrvWHWRdn9k`(44 zifs^f(SlNBsFQ9q+Q+GPaGcBn{3lrdsN?QTX`R8*o8^%|NEWB8S*cR+L~QCFQqQBRPaj@VyRFkaLT zXiZ0QnzbmbtuR+>4?|QDqV#!|YEg_B6?OSYhJDopX3<>bLKNC`0^(sAe%m4?Dou() zyZ-&zq#m?Wprs<6e+Ek|_#-;1NC_OG4JgVoNeKzpoG2v>(HB&Na*Op4T|rTvVNnjz z6BK2IMJa3jMx>^y#spF8w5TOY)Fp=@YB8epd6sKYjM!gPLcFLGm8f(rYN--+#9@fqzKo*&+Ng5H zi2X&~#IR@s`|T*1tGn`53~Z&d03QO;p$c#UP4hXgGfdkVi36#QTjZOkR2jI zGsK8eEpS=9s4JDI6W zN*so$htLxGJa3U5a>a=KMO_jvYU>DQBj*Y&YK0PYJ&0t)A#zoXC^#>oMKNMjl&Y&E z;zccjDC{pzKs@NHZ8b{NaavT)C+IIjs5s<@`VrI^Xc@oOk~o--LkvwCv`7cjZc)-Y zi*zvc7A0M2kq)NcqNMc}X^evV63Cc3pEg`pgku&QTD#tV^MM%R)wW|^#*v=l@6jKo}8miQ$3s9GC8#~;GmRYdNL!cKy;`w8-wKbc}^!g9Wh#Fs(L;~ql*zWNs0P$x{A@N zM45*n>IFo>c~-K6C`OEmQq{9DUKB3luzIdUJXTMe67_u$$&x;=f(eTZR!hq2xPa8M zhC-IW!Kw!4-tkPLq;9vU4_Q5{Ey|eQ^9%Ipm@@k$4PYeasXUeSwJIYofap-wb0N%> zKF>pBhcaWtXql<%DUTO*sSK2iM6!B*4O{Gx)w74xvcSJ>2^_3??EY|(MLAg2pdwcwQHt+(Eb5r*85^IG zid3XDk~0}`SjyOJWAB+JGlCopG0MCS1GUeSMs_-4w9HiX{1gL8Oqu;Tg}ifqF-^r- zuSD$t(V<$xCPeA;+(~v2#fVW+s(Kd0i@FG+Frbwq9tWfiO4M0eRE`%(AEJ671a&(D z)OwV<#F98z{UC-W?XXA(tst9pqeU81I~QZLjw!N7k^x3?E}yD$eyPgG)gU@lk{4DN*H?s3EM-u`*XvwWvs3uGBcR zXL($%TuRg%C#b}ADN*l%=uo-(DWYH>i0qInMvUf4)zwOdMXk_VT>v9F7idveA_h9` zg&;bVsL7=iwT$c_iV^#Z`dc7Q)N~~(QH#1-iEwe{+CR`P}zQ5Ea! zEy}@kfz9&mYe^Hmki~6Mlq>-GfwW!TX)Xg9|Q~}l@ z3id&?C`RlrYHYly3MFc?7IlXbHSI7&y}p2=(zGZ>>@Vu4SoDZ#?0+_8u6{9DmBXD% z)D93GDp#8j1@;fwp#T}Nzo-TAqApUR%C)Gwl&G@~LzGkIYK|7gi2X&qepXzrnM`qNvZ8sa)L`Cra53H#01%19wZ_Id>x-%i#ef z>PH|tQ~|D&q9R%pBSv$jH2X9q%1NH##C`QBJ>340avoH2iVs81Cxy(?L@kFA`^(vR zrp%Hh2eouA4qoDDFAisM`w2&T{iP9ZKf$-G6ang_uYLQ;zW-FrRhF)_E8(CYOSWlu zOeD}oK<{ti9P_!{+6r-N>mnX-ZsY-H9&2`aNrq$1C*#cS_Yrg3sZ6lvT*)i)YWl#t zG~Dly**qC^`&v*?=O8XC z=Y6mQk!5+ID&fOzhvB3cTs(|E%-J4CJbh_qwv0H0e8kYCb1c&Gqfx?}FEvoY)4>TP zEKgAkT*7RUXpJmHo;&B+U9a9^2OE1&)KfkI%?QgQVCo^$$hBKgB1qWf%u)Ql^`TB5 zUQvaz>{ttqP%&)K-g9CFd&dE3@9n?hgYG29w`Oa4<n( zC>U!35Ij){Zb73#j$Pke@Xc6e!+FcW1m_+VEBHOMV||+80mMU&?;9os??U`>ZC|2*bw)}3_beiqv6 zk2qA-;~wsI7f|gV#zyIxf|TuhEP5Wmh5O;(IYTDY)wkEqnes4y{_rlH!2;&bhDo8X zFGEYG-Mi7c6s3lqN+ z3~vS0)=<=xqXsp|L8|I?dLzT3drl{_no+3i1YRQP8n=2Hs&J#cU*0ut3V_}avZUcJ zrvk2x!ZMtvnRIxV^hHtl48lC+!un+W>h?@g|wVJ>RCuFD4Mm~<@s#iR+I`9%QcI^1*)zVCxMDOzDNjp zJH99g9mV5V=lZr5fW{xGq6LBGgYg^Gs1QHKsPHE}FGCwy(QaV0t7Wtz;LeSWH5svb zTTw*F=T}q7VgF;4-t#6-*02NH{TKOJRupkwe-gwZPpI^`!!tOqCeP1=jvdstK@OKi z^#y9bVH$XKjava{r!yanrB(?)>7VkNzvHW67yk_3DHk6b$teIu=ZEd%BJ)n9udjV% zWY$a>*^@`a=YDt5YmpS*#_2@jBb5m}V0EGNx}aLzo${=G$M#eV@}D7_eEOq<*NvfG z-npMnW0tq%aYVq|V10d&(-R^iiz0*ZYuhEzkot<=4+M|vs|bKj0l5d(z(LEcGw zW2jUL-|xvG)ot#d8ymaV%~b3lB!*Dc-TOTWAe1c%M0W7LO`FKs*d=A}dlByw#KJeC z7$3n3TF*)xyp+9@`WMDV#83}M%#jaAxG%X3X_ilHSdT}HPDLi<;}Je20KLWCIT9Y( z(P22~MkXmCK*s}ig zdlJ`^HTCbBCjFp92id~XM^dQFtw$vqZLTkXfc^T%`cVn_V#B81(?BfWvdlBx9)cESnh)x-7_gBdkT}~z!>5F|+qxUVe4gDmA{a(bg zz^O+e*syvYPe#XjJ+!r_#(}|oSD$<~LWQ$U^xT4QqOY~1A%l4TLZdGN`XK#5odLyh zt{N?1vNvWGP;21m9qjp@DG=-rKOJ$F!(8>_MT;z45b>gIh~udtW&_Js*7G*$P=F_> zDuEu&rXIymeG%0mnZj_zgvy#EsPVxw1`MRphQ8PZ+rOx5(xuYLxeARo?{)Q>kU#vf zZ^~bMQ~vr>FWwdcZr?Pu!B_)XNoz75ZDE=5WbM3>|I6s4h z95$2OKF>OM!%t~F$&$PeO7i|zlJ}RA z2|G%|drRiN;XklDl!?cPemaU7a6SyDcZHq5t%r<2&`#a{fa)KQhcM(c4bauzreW6+CmPcW1`45(>-QUBn_KkYFW1rFfIe4J9 z)Ak|SIp_7h`&UbUAj%v>**8pq@r1kEC$5;Hkcs!8+aF`5_+tf`Q@>_cE8~WFTt$8%WS!B{vH0N^2m?c*RKh zeS4)o%-?#B1Onv;CKzDb-vz@=_;)%#Lcisw&*O!# zeZNum3G@Q+sH@RCozKC?sq$y3`cn9T{2p`+Zwa2`3Ksg zq~AWDxk%dqfK_y4#F+bPyKCPmbVF18;b;Ak5@i3G_KzT9wT!YKQKIZN%G6?RoZ%18 z&*(V`!`HswE6~{l`h6eh^1yq5qbUXT>E%$B?GHo2@ZqButormyqn`s!E{ZaLd$j#M zmI*QHk-fJaWU^C@z@2@er;)HXp+>`V{NaGB&-00oIdXnV&Dxc=olbb(yV6;hfpr;~ zdYDXcANGg34RaTr9U3OH=GyMB@ty29p4c##&-S&ypVwWtVO=Jk>AS8QNptm|e>1+0 zQRIH~j70aNzEOAxEtKPav>Q_(BQx)reHg>Kvx_1Hsm0+ZO6I<}CaK6#;3}Q_WNUKA z6GqY87hC_RMf3eKnVxxf-NyG0L&J4Iv^?;>;8O_0uycuYtoH@mU#i=%7RhXX9?2*` zGRl#R?$EE@kM2P-GBWe_>~pF5=+6GU;8-u(Icoh*e@Cy;@gx%QWb1qTu8GQ@DCI-S zSa?Esc2DM=;WeIO3O1hHaH1@rck4D>NV#w9dqdYZ%5&a3w=(^R{{}q$;yVY9k91cg zmV}=vnev4D(fP6vcd0@&nfheaA6S$Tf2uFMYth^%T3rsmt7Psottm1Ods^S#wC_5a zFjqwivY6rnyPxP8&nk=6XZ~1w36n-kx%Bj)sln=Xtv;GY%d5JNOKoFWKw#?Mj{G@f z{@Pzbamh4F+JI`gAC-{@qH{Ac_oZ0?T-DZ?4`8LliLPT!qq~ohL6KVmCgsWd*UGO*&6?_cJI_~ML+iv4eyz!_^#0KR~mk@pZmUsO-(nVpL>Z8Z`JOR zI{bSYeoVWkY4=X)qN?NvH7h@Z!5031t2@$LAd|LtnsUEAMY&H;Qto9FmHWG+l)x=+O;h2=e3pEFZlm?a8=vtn%4Z>skM!bLi*># z_(Kvc^B8Cgh5`*utE(FuY6C6Rc;7u33bqF7tD9;YgRRkGSkv5keqdD~*Z$9g`@JK%OJOHO6+w3?R2+Gz_a=TBX& zBz&Xv4p=saNbi7gzF~To70#WNH*@B)(rKY!TSyhJ&cHWH|A2LNi1ZH_N2Y&SLt{hJ z+G%++r_PyHQdt}*s-!b*b+EOev3cdRw$_?yD;rldS|zQ@+t<2MR*bi;p=o7%V|8mo zXq`8^vSi_69KXTG4zF!qRgK>u2)4F1w|cXS$`<)bNKyv&b&btyysgzuD}z>W+0x>Q z1*K(YP7&qRt)YhMMsH(tTbsAJ4q{d|HPkiWw+(87-t6+yvdT&+sH!kBg{R!> zgWlF)TYF>3i=RpeH8*?PR#i7P!Y6+yA=rXIw6kEXH+#wAA}bY3n$B-(UZYbl^A%WC z9jZrWvZtKroz%u(SD4h6J!$0$-bpK`c)i)J!4SIYJOEe|Ipw!~eb3?l4g7zA|G(is zb$4Iiui-92v8~BjGkYd~q#@L>D(J1NZfI<84W5XI_;|fb8(Kr{)s1Jg2V2+4K%}h> zWjLwUyJ8*MkGDGHt*)&_y4$>yT4W>{&q{MkTd=*h8JTWuuBi?+G&k`FB0|kI&5d4^ zeH#&zYNsk`ls9<16%8Sj|AoO=`l&7YcMi1R!_a4q%}p!+W0jp!E5-je-SW-ReBXFK zelp=s{7k?dQQW8p@UsGU;U_78w?5^-<=<^V@$P1g->Ti++I>sApK90jLly66?M~J1 zeC<|gw@$n3wYy2X4{3LYc7LzkkF=X`kK&)H-Rat0pxs*SHfndhcCXa#1KNFDyH+lr z)9`EBeP6quYd7IuML9~lleK%Ic8j!Iq1|(}Tc_Pt?Ov$ejoQ6ZyEkZevv%*(?!(%B zOuIX@`+{~~)$X6P`+;^p*RGYng!`1wtKFH}^=o&zcGqh63hh3m-EQr^s@?tCO-0*^ z{rtO~sM7d%J5l8@SUb5?6a^b$Y8GN($Ee#_8LZ(LB5oDM7$sZ#qs8CFg4STLv|&YS zb?Z8@&{!A@6}GpwVn{7-ZLYx>CHx2DN@~UFG1#j5)?jrlkfNQ~((1NQG0g_7_z_;z zT-)9l^h?XvhaZq3j?8^(5ad=IFqI)#4(%-^O?Aya@q)yxYYPQeRbh>Vwdt+$?RbuGM))itaGwU|kN&rnz+$ zEfvWeX8Ma}MW_+!T+rSmi?OPDMPpFxA-1r(C4^zWuo=aQ2SNToTxoOj`Ry$hF$x?- zD)ur&8wE?6)-*JsoGKiZ!O)T>H5;fcURx7vVJ#t-s3UQnqp~p=Y!P*is$lD?hNkLJ z(5eiv%2CzWh9X#6-Pj%!s~v+f5m!32P{a+P6=3#nVPtlNSS$ho%ww9Hf@>Q>;tW75 zgCSad)vYVrLn^wzkA(jK?bf>zdB@kNI5)@*E z!n9*XpzSXHs8OK0W>sxtlUM`asRJ@j$buFz8O`$q*j#-BmE<}x4w+5X8 zzAlp{B&n}8R|Qwqw4jzAG-;_;H)7k#YzwtE2AhOM15caYRW4Q%tgJcQZI}+ET`cq&{H40nwN?dDjWdj;{Yrvj! z?Tg1_>Q>VZqfvYmk8eOX5&PqDXej}h!!;-zN)Y1n`0!A3OPlyw;o6%5m=VJMm&$>} zPUeZVSfjds0Fw)pRZ1f9t1+QiUELa}Zex}LFqP5xgeNYH`RYfo8GQeT{!cHiSX^8> zW4bj19|gJpQ~%P2)^q=C$o(e&jSVa6Q4_(Yl_+j2@hG-`fBtuuKt@KvfC*f#@%#I& zvsuK}`dh&u;TGM$-T$2>;Oj1s2`kd>rcDYi*rHq+`0ua(-$wv#}f#wZ46Y4BFlTB z_?AV2d1kG+N(RJA2yjxkq8f9@Us;iA#4DD!R_upY6%9+FG1OLznQ{PY04VVzk%#y& zBwYm+_77@nZ`MI9heG_Df*SnR1RUYTf0R#6eKjV;YehA%0SmZ@fUf#zeUwNxRP6U6 z+$2qHum-c^Kua^`wOA%tKwPjX4%Z2s5*M9}gV7ktYz(yiJ@CoO)iZ5?(A7vVhlDCr zT8_;@&$fR81XdupM9@QtdKSI{Q`aWplGUszSyBAtN`4$N-Uj`7jAJbV{8BQg4c1j- zL&rig&bMWpzswin?;w#m3t-&?GwgtD4(%;;F?kP34*i1UTEJbgAz0RsT?h+PZCL%< z6C1X=rlt`K0RbIG@i>r%BKTw>$_A8PNxilKt!=L5y--vrZw;{LTiy#9S$7?dd0prO zb@=B*8jC1uk5dgP9O5296K`jUwFDbxR;XNb2*&O(V^jhs4t}qJ?sw^=Z4I_y#U!w@P48QY`=x+3tTS*g zP&2L{3-%Bq&eAoqNk2VEu{M{RY26%_2%so=9^$~bJEfiihl zmS=5qU}aoOqXqo)hH^YH%dU2(k6~Y)Vi9`B5uitO0i3In%<>4UvlRl z`zT00m)uneR+U!TN}*md4PY-%6>q4%6{WMP8Ex+T;JScRTW<_NgU!1r0rR?4ikrJp zh_B(h690if#gfHUC5ws!<(0)tipl~N#ieD1fzp!7szCALqCh}AdkCrk!l6O%Zwik7 z$D@|IVT1&*@W=i6V9BcLmBIPdZ9(xB(F?GySYB+c_WDx$ql&SRBzlR);$eAlH1@e^ zz?HNuZf@f07}qyTn)C{=Boe2w*~GD*ziu&(Gspx^mkA79C&cOC?IQm`VO3d$%4$GN zk(i@d+Pu*?3x;_>~fW;&tCGbLP$z#?-0PWCP^RaSmGRH2u$` z88u?snP`KGG>6;vE7~!zk_`KZ1ya})eSC<38Ndpk+}}n!%5XZ`F&wmnS_2{BIQlMx zC%A@j%NASk_-b$BiXFC~L4+YXk%JB>oKXq}IM)yl4hfaUf02bbtgRkuqF?j`r{t2L zV$+}K`X$H{U7QXiBn*p_Hq12)sDV=9vgu@U5?yDbs$B*(XOf&)B)S^mPYx6>D=aRr zDk)ox4pdl%lhil5j+=nuPqCNG3%OowX1g|^qnZh-*{4%mK3!pM)fiVw`3!}*O=B`r z%4aIf?HZGrQa(#zHfxMGrF^!++@UeqDSBT*m%^P+RX({Xi`EvlwF>htjhLBI8C+S2 z4BqX$U#2Oah$0|yC4d2Lcz(3dUW`;Ki^HB}o z2e=mSRt;|foG;968r%wSt}q|dU^l=#WcwEy-bEPseq6)52_xgb)bOi>k@H_^crW3Z z!u+*{dkD`GX19j-18zWSpU^OVK)M3W64^FWmSPL2xwS%XI0*AK=SCHjsDs#ITALe% z`MQq1IVq(mxT1Zf9q@(@xGyP%dwlb;bsNH*Y zODTrm|L*>Psc;`wD|rNUW5z2TUDR#mZZt}K*6lB`~; zvxEekS;C>VMAxlo<&M&FMcDK!Kv0TWMJnBBI+g09dUGr(EnB=WP+nCb5_70qmGs#& zMdBt2mM<+UDH7%tR7#FQzpp}=*Ha@p7*Ckr=jrA|*HO?b5LyW~<~=hA_R>Ip(f2gc znIgBJ%UU=!5@tk4Pt@qTI$ELT6GRWbPMoll`89TTaXbZJ0I+~9mkVI9K5DkIJ4<59W86wFWwyM>2^`JM#_gtLEj zncsKL0*fOy8|G8a`Oq6Fe(d;T4r)FvK{kQX;L7Tnb;8^kn@T9VU9!Q*)FIiZre`z) zx)KPK?XwyI^#}w?>NgqzeFy~d@|;FM0RjPy_q;|x;{k!u;0296p4 zS2Sjmt_-SWS@?#?L|=GPD&sK=8bg&huprFsPSyrsGysL!Au&h(9cWd3*I69K2xqxv zYM5_3CqkikK0qkoaG0HLJ*1xtmDzHewuDsC26vEPqm2UGe{jQIs6n-D&GAmQogXNe74Y0e|!Gu|r zLThZyQg!4}m`hSm=zkI>8?Ve*x!7uI7`VBGCbp4uGe48Gc{c{ z?48CsVJ=HqBt_?nv2m8`LQMNAe6eP%k?3OD6J5;p$Uw;=-$I< zHq86a5-#(l^g8BSpGUGMTrcb7psOM|P?A>8EE)Y6nXjgg1s_XHnVcE=O0DwUYrc_w zG4{z&zOu`_WtDE~rBEE^AJdh|V5YuDaIXd(sdDY{ZA*6QgADzX23@KAsX_A{4W_2@ z7wybJv)HY>xN`d{C#%88oK%zfuaBS*v1bZ~-O}&U5do`Gqx}4zq zI_cS|zazL$gU6+&LA#qDXz+wo{!*a%p$4a>o=or~4d$kvL_r^GaC++34Bf8<%}gE1 z&`&g&pIX7tPc?XQD%7$tKht0VS~S4FXjVn3KPK^CHMk)435I^IiT$ZuyD|@Gur&21 zf?sH`JoWbkztmt=Dt|!U{7Qq%Qol#=YYm>uUkw-L-(npJIPxI3=RjL@KNGb7!)C#p6xN`t!1 zcr>Wn%xDejHgkjqN2%74=~k9>rfMBybf|6}M`}>Fj-zxUb?X?bLESpWX;8P0qcx~o z$9N6u*5TElZXFY}Al*8S(V%V}6E&z?N0tV4>zJfj>DDn>gSvGbt23xuN45sbRqL3d z!DXs-9H+tMs&yQ%1=Xw8ae@XLRqM!cv(uXsTP&^7uQ8yO&8hC6fo71_q6pqZD}d1h zvQc_GhM}Q`<4RDt471q1a1>ffCC>@+=%+9j=#+>Qo=>&3=t4KOJm)6;^{ZdDHFIL7 zos>8kW{JB75)xhSAT3s6qZBYOWD2YhtYrrZv6DF8S9m&>z((9IXU9v{A@JJzGj6;x+zihI+4=YVoi5M(#?r;<(TdQwkX(kJ`OvI zq#tNx29Q_uvWC03*iFV( zt4R7`qS8$TCc7@<5f#Yjn#FGeXuzVJu{*p5~h_>Q1YE(B%L=dUQPTvA#k#t`7r(UJ62 z`W6)XDk^+uiK7S>D*ssemsA#46csNhSzKHs#u1^GijG#^Gnwb{^y@Y7Ec!7Qle{Mp zSYknw3DGj+-jnE-ML)l^Y`%CxdcmpKw@5sxfwBrrJH+;wpyHxH+5FRBw}~A#O%(T( z#F3vx^|ZtkF2L{KQgUHwC04_BNk47`R$}2Ev9;7^RBS*2nWR3Mr=`+J6zjc=ugYY- zPWM?A#S6sx^|Gm;NQ*0$qI}k`S52n0w0I$Eu&+QM=8pXNltny+a018K- zeCdLvBH42bnm>RN0;AUen2?a%xetx>XzQ>;@};)Uv$me)Ve90}c7yDgFQ5<;k~f`t zBpNgKVYun4ZXeW2j1A)?2U@v0LT?e6Vj|-wU(*Lx&H$P(77SA!c5uZo%i!K@b?wTw z3vlNa6P(kW2Db?u*Fe;yGQHq*np_;3jO&WRJl)B~Lvd_PeXs_1h^w2hAy~^p_>gPJ zl|qBtu#;ObvD9Oeaf~qxhWg}|@?r}!(P)5Hp4_syN?~9-8CeExtSAoSpE1dJoEGEQ zKvnU4VPqSNt$QL>K}?y`k1)nVmLt(ck;qF4k0@|oK3H3bo#E=%AQpYGH@z}wPoM8e zFyyl7Er>A*Iy=Oh4sti;o&&&zZoaBM_OlSG=N zQD$tp4t{WW0SJV+0RL;o;cy6>b8SopjHbK-giU`K_!&>(ix=N!yaLasMDK$KpX03Z zfh0C2+#TVa86LX{=qs!NlvJP|>(E%1G+sjmJqvM@>q^S~!g#}YjX*s}h4H45jsXmY z(A_8~;xXU?PINKCG#pyuk%O2MUB<&QM_Y7Gj`I|NT8NWSYENSRH?y&&?LxFnbU<|+ z%Xoz74-h;HQ+Df8lQ4efLPa~S-hJ`RhNdF9fPY3iZsrK%=U1YiAA`P}w;p_FveyLU zofYG8c93QGpIO&{l`*V)7{4Uy4x*aSWrD!`ip}p8U}g_PbADSV^L_0azx)Jex8|IM za_k?3UYoxf___T9W^@AUb$~67#!Ld!k2JGxAdFv6ME3G80y_VEew~T0Jb~;^zY;zq z<6bz;BMRsj>$GdUu?VqFdK*E7V4S~)q$jdP{6td}uoeE-I#A`ckl9ZVHsf)8)yagM z%)VMZvVcs*X3{1a`D4)f^V9K_w-=ZhS@89MdPXihN8{MX^b;B8McGU*hEIMHU8f;; z^Njwre7~&aTwS}R+N}bYdB(v9`ixs7dATNQ)b14^KP9lTsa-cvbV>WHj3hTA7 z;K0$xSgLqrTX+_y3^-E-WJCkxz$C||Wh(3hE3Ce{El?wOU5v9-P=XcI#;zkrUgK;I z&`FM5E6CCXC=?VKw18bQ=lIaGlN>Hh>BEgSd7_BNs~XW=xThpiW%doj7id`35(wx! zXGV^PjSAS9bB)GKriw`0JgBxXDza31ydsy}K-C}Rs_(ibH?jPP9{4<4@^#COVfYkU z6bBPg7l`ERFOoEgu1ny~XSy-e2UcLQ33E1M5*I9^f^iT_p4v2~TNzSE{fs=7^1>m{ zp&GL#RgyzQ%dp>hJ!6hy_E08A=jUpA~QwPB8W0A%J zt2p%Gx`R*M8h%b{jU-3+O$F>HqR?u27H#wlu2Djz585q*(b^|+jng=R1?g6cR3%NH z&o$~WHsrA=>lTIOJ^&4GS}}z&Y%>ys0&3B1*r5(C ziF;)_fATL$r*-!E8>RDona)@RGvY3l)b;(6+JKEdQ~dE?l2n|rZfkEjtc3QSQGoQo z-h$x%=gbB@R_4&h${hWVl{p4HMy6{6jy${|&sp?4I))=`j)9JjIgEZs$DFQ2c~(N0 zN5DQ7iRy5Oxk3Wg;SjUtb!5q59|9@Wr#4m}izrBZ?C}pr?D3C8Md-MKgo*mhhT}Ox z!=gtt94=`sJM3c_j`(94F4v2QkYo(gdq#2Vc8=^3Tmg5v{)+EpBLxj4r4!zi+5DnU zr0VrZ#<*pih%lrruR4tE;G9BR3!%$B&?ygw?yW;-=bzgHO=Gt~5KW zq=^Ud9XUEABN|eO9l`n7PHhv8sX8<>O>H8b9c*ppnwD@(8^J_lzch{OVX@msj$9p- zC5EYuv;LWJW;t_rJ;t>IR@rZ!Ectn7UN0NezN6Lf6Bjv&3ktD(5kwRec zNFum+q%d4OqE9Uz(Pu9n5s-^V^u#P45wsSM=#N`GB0?`7DX&^QqTgCPqTgCBnnWPF zctj{>@ra;aJfhcLJW_zQcoYqaE)?yM)mDk!zbNi0iHl!6VqCd+Bt3fZi2eZokA})6EC{ZmQC3t>=)}3L*-fA&| z233nS44tI4=M}KH`I~#g<$DQ6kPX?W5F?@&VC3O)W4*zXYSvZY3v}!qh|TLVBgdmd z80)VA$=`q)IZJ}&cR#uJk)7o3 zC-+%N7I#0npAT?Ui^|DqjCltTP*}{A2X-HpoP==_p(MvLZILKEjJlH*e!0evj=Aq+ z95n^pF-lukXjP!J`-O4zLlA<%g6;x%U}xHQfsFAw$hv|%THg#ZCUCP9aq?|xyfTDT z9HRru?Eqdol3N_cq;)7;L=sxi5mc#}*1>Y4ko9XAkP%zB(VIm^V4I5H-BQ4GiX$jX zB?&XFY9ZwmxMO>s1;@SnHev~@V7wP9UqH7Zn=hEsmOQ|8E5{);|7zVWo zhS}qs*p&Pf;J99@oc(#1GOm*X5=HO|qe#yN?z$2mfJoRcJS zoTJYk=Lp#2907ZrBfxP^QgED89*lF!gK zPqF6I>guE6VQ)ax>@6x>@Lr!Oe*7;{PAaMO!A5K&v<<1Etb6n)Xcfhqi~ldED5vYN zl+>V#DKS$Vv;Tt6J07Mx=Jz8rxcUK*@}sfR!ova zDkl1D#YDhXOayGjM1YD(Qcy7|4-}K~Kru-YC?Za8gOdBt2R&(LaDvI7TtWfVN^HE>m*WmAm4DI2{5_e<_zR5x@0xmg5gHdK&8z^eK35Rm!;Cjk z`h%-j7Y{kQj3istCbXEmbDoTLuT?F*O4ZUwRV!VnTDnxV(uJy}OI1r(sapD!s+Blf z)e_RGR+30nOP{T33D~NZfURl?P}NEbs#@iNs#PAST1f&`s}NAN5Cvi|{sC02j2&YGDl)BV3D~MuQd+8(K&+}I9HVMuKwH%k z7prQWYOTZJ*@z~ZVkoVrAA&F@7>j|m^v!F!M}HRz^M176RJBAffi%wnmln4{Gm#|b zn(TCil{+(8#CfnSnXaDS##R<1&*ibiL>GHRih+X{e0jpimwjrlmT75|W{~nx3N1UI zaqeYwx3vYN_lGhm3RY#$O$7*z36}{OqeJ)V&m^0SL$1ynTb4%lFR1U9SIE z*`|&b^Y7T9prP<@+cZXDvOp|_Nq?NeB;wz;DGFh}r7&3{u?mxLjKYioZG}l(tip6f z4|<4f)6LgzlH?n>=C}TUo`T(%q$WO=VV?Ja1YJouK!J;efpRDoyfr`&qx*6NW+rJ( zXjUtP7kfhX>pA9a26^^Z0nb9=Jp{QaE$RS?FwzM+aA_k|CdJ5j81P)cSUO_ANpSHe zW7F7`)3LA_{~V8zLLtzVyDii9OAwn_)A?5@I2`elE4)K1xvByr0UzxqLAU-7D!KmZ z?XY(v|2g&MwCzEx34V=gN<>@J>WyAy57I|_P`a=O>CzsQF6=?NvDz#dc{*n^S;_Mk$*9+U{$g9<}?kUnJ( z(r4R)1f)GkPmFpaXsI{)W+vIpt6>_Pf%dyqiX9wZcF4-(Y&AicIdr~u0z zj0VNpgBDE`_mr&4_^CIkq&+A-+8(5T0DF+=*r~T7)Ak?%+a8pZmU<%)tKJC5sJ9r< zR&T_`s<&aMU^gVev5CKSn0U~6XJF#bJI5k$G|?0;#&=x9)|@oR2`D428O)(?zR=cJ zGKC#XEssJO>9;82{hBz%bte$1QSyE-e;Fyys|b5r3ZzO&-VY~A-tRFmBUO{XtI5R% zL^s8q{}SaVAK*LWlRy2G-{$`t%Fne517e~Pw=v>C*>jazD?xhyy)nX4u#_PEaY~Q~ ztpt_#-y0(+kys_jVu?|LF`%skiHlW&*cjpM5r=0bnrJc`rJS1zP7QH7M<0E3W++9I zxut-39e~~EL>-VD6Ch7O4$}c5E&wrHIv_&_h%k*sk%Qfqe}0My1qqvT^FY$^9`3`opFD0<3coE(>2+a3YmK4IaTu@el z7kzQ>P2?utl)4kfPb%L8u%0|fygBtf@WZSy)yH2Tk@ubC6D5g1&>^mv5bKv)5^qW6 z%c7Vrrt;aJ<|cU$HSyNeS0pww6(<03ktFdpP3BFtW8SV&S*cb0WtPOv8qOApgC;%k zj#Qq-OLG|~j)dWsUR_%&m&s02i4f_0+mv?zPF9Enkzl=Ks@gLyvLSN;{MgE>z-n9# znb$*hsYY=#*|n`(g}V*?c`eku((B|USi`Si*O0t%SFL0Yc!KxoyUAO=mI8^T7JG>R z*&>U*{tZ20EFN0GYD6l#7ChZ}b~H9y!g$6ou7$48&_|V?VH3%aC8WGOm=7wVhokIT zxq2v!-yA_0C8I8P*dPkZD$nO6$?E9=zSLqoPa(tMd4cD1MhtHj#(&>V-$-0Wz%4Oh zIB*{eEf@Bzi@8s7v3!$Uth7<~ORrc_#H&_Dg<+|X7Om71acy8f_<8VKJP4ATx|1$<%YQMy^E~e>Wsgp~YX2c2l^qQuNOo z5H)x{Sl_rAa>%lt=D+pq0%Qa7u_^G4jfjNksPldBIB3-QhsT)1Ub9Yg`Os{J8H@1k z`j{EP6F+Q7F;5)m1%?|Fk8`1tlZ&k%^eWV^ld?lV=|=!cYFl@{|lY2xvG2{}3XI_Wz) zwb9o}6~>hueVtTcGLoaOlPXMRa`bglh4CgwUnf7Mb7DRb>UC0uIFShTI;ldOOoV!!R3T0wLcLC^5Cue3;z^_XoeD8OIr=)Ol3SFl zUnf=R^#jC`?L$rlmIK5B+>bQ44B!lb*GX0Aa)9!6QU%rnl&_O2uq9c)PO8AQ$@+Ct zf_$AcS-(!I;L8E4*GUz;39x#dRKYhg98W_1T*~GXdkB}WldACh0ISzY6}$zoe4SK* zTLH?~Nfp=)P`ys7;9Z1~@5eRw-Gq_xUuyVO!pQlrG`yGaOukO4;`b1iuahcxKVbDb zse->S(rV9y%6Un3F=EOS5PA*#J(^}+h19~)(fLb zvs$l;_Pf545%cut`4~}?_0yjjoCZOsSxbHqr^1@aF;9Q8Xz;vFvh@fG+UWP33h7Li&zD4>{#4NuHQIjqQ}uJ`+5S&| zD*9BLH`@D^T2G`W^Inbh62nza#Un#vW1s$1EJoQZ&_%A+EJj-z?iw9CQz7i9KNZih z$p=6E$r6JGPsW3iaZi6Hx!6zG^JAa>9HX!fF@jY_nvo;W@P;Xvp%QkB5iGYU7K|5w z26*~&9axN#Pk&yDPMK`IbM&;6Ae%t+>Cc!{<_bLhN$E0mde-S+|>U0%PgjlV=|r{p>}Tlr}H8larYn%7GlvZHQ?RpM0`zWT18!^}3XcK;T( zYqI>^0rMI+1+(ETYG}hdmp8a6m}XP|7Y4sC*_snmlPM;8H_p6K2f%<)uX#e(>w9zN zO*(8;Oc>|0az;ZHgcYlatN_4T{$JpD6!&=PCFo!x4%bI#6onEz)P9L`urHk!E zy4+5bF18ctayyZ(+D@cTZ6`{cy`4x%ZzoC;xt&O#y`4zF-cBT7ZzmGqcA})B_5C z(&@Lh6Y00N6A47O6A8s^Clb`#iS*jri3+f`6Qe=Vt-~F%+WH?av-m}imk}ek6QxIQ zC(=K_cA|_ObG%HE>Fq=U_I9GAw6+ro#IB?hj#){M0qvD^;^J4*mn_Du+#SLWBUpukgxzD30n}y2R*Kkf?m-Bgxdt_I*F6!A;*qQURchPB(_5yUqT!w zp>5LO#BE6HIBvf*C9RW?G;OGpuXWnA`TnyzvwP0nD*=kX^w;l~^8=mT+1Z)hnc3OL z*}EGlrN4+8&t>>|o!$-SUc%$Q(x-W$%y)-&r4aMHpJAF$_wy{Ixt;$?n%dno2TK4o zST}0JIxG&VQKj|#J86veFr~#0QTAUo0|`H;gzE00f!1Lh^)$#Ro_`nR+Kv+n7Rf0f zd#D&6CrIqPkXAaXh7N686geBDjc^O*;g78vt&@c21|h#fRqHTUN2c+wMV?1dtuk6! zL3yy$wUTJzCv^9x@CF-zR(&3U&jNMP0M4}a{7UlQKc#qR@1F5je*h9+Js+sEsmuB# z$g2Kikyn=P9SH~YQrUIH4(A~-`PpPN-_1ZB)u_v#QK;kZNP#Av=Mc^Dj$zsUH(&SI zI~3w)^mKdDq{|+1!{9@Arc@DbLB%h6+2iF~=eXd_D~aR^n8~y(s~U-Gen?|S(_my( zW0Ptwkp#1YFvx#=$M(#pXt!n7#KpwAZ z=;&x3uWC?~RyFc?RYS+`LtL+F==d1odR0Tmk5IZ=)zI;AN>{5II(`gsy{e((#}QYn z8ajFcQMIa}qbCv7s~S3fisGpEQ>tdclG7AN#lOh$=P8bwe~IH~D6UpDbpEpxSF0L2 zehzWHs-fdA`7^JAE2CA7Ja<*2MJM5hQJJe6I`{bWyv$V%op5Y=UY1o2opwB$W>zJ> z#}zoCQ%&nNs~S4<$&6%^{|x8H^dw&|WCU#qG_riPkP+;?gu-eeBj|b>p3W>}Otxx~ zZ-z*@*FJT|& z1<9P*{#wjO^RR${^YwaokdaTNu_?HRAYw zj09^o*Wi~%ImJF5Gg&kfe~L%EoCS(&@s5$!4$bxw|19{R%&~kqmnx1Eeruc^S%>)# zE*f3I@=H}_FHhECh&G(9H_NQUd;{2XvaiFu36o0Yw<7B>+JAU?@pTvt&B=?b!!&7W z<;lteTGg8q8koq-SclQsr!m;9!)W=VXUJTK(fIj>Hd^T05*k>L7hi|bG>Q~7x(=hU zOAHA#>ie0*Jn_lzNa)<98erC8H1x7OcO8Z#hCU|`vwIn4V$S!@#Jd2el@7xWKI5Up zM>RC?N=RjdGdBmOo2TQHs^W!S3CZpA5)ZvuS=M1_8|*x_4l@sKB+stHP!!YQJi87P zDWzJ6A?~Vlv?xLAFd6{Y2>@jKbq2s&0su*Ug8^`l0H7|MZfrTsQT&S?mW z`y4|K2UHln4#TBkWssLv!uP%TY8{4rb8%!HhO~irWF3YeMc=|7t-dayu)v!Yxq*d7 zBD11bvYZ8AcJ_suAA>|*=0c4&K8&kk3pE;=n-^cG(NMJZhHNV}0R~8k>KX3=;k?0m|z*0GEwMpQfuYu;p1?F%rn@*_1p^$@ONL**A`7 z`V@$in&psb1Gqzj)h#D6pC67p^#Y)p@>-yp@>-yp$IL9C=6N-(E%)n=m3^O6bhC@GyuyX3P8&t8bZq< z6w=Ef6f(;p6j93|6o@Q`P*j#fC>&c3ApkFj=%8K>p|C86P}nSoP{j20S5eF;XLnt0u4v9p~atOhq%ORH}{Y6j16ff-@4u`NrimN&OG8(HW!0_NmJGLz>rY7L! zZ)*1ZE68qXy6#-!>)++eyz@P;OaUL~_F!85AZE%7(T}(9+o*5!_3xtL!sn25Ip5~% z@A)8*g8Ppj0z};a*s#&_+dc_2ziCl-?_RY%Ndx|g^3gGx@#PDJoO6G}B3#B>0}|L5 z(oT-8xD@DJeI3+Ydwz$S>D^!Jm5hNlF=g*tMx~@$nTv+iI)s#Og+Ill3*qw|@IyHLyDd}V`f(d`^qQ8D?t2Crv)oZoceZ;p?AYEcPQ@y9bTo`y!e ztr&Uac=x<4<=orePRGnnTFm2Ih)s8CxjsV0{Qu@`p|Gi@dCS&@a8v#Es%G5Chzl9_ z>_@LXP0uV^DU6=i%Xw*XU#yVm{qqdBN9mF|(SH{BvR^mxTnUC||zmkn!{P$FVJH z7Q7>WpP-=bkSRnRvU;OKrZ;uS>WvPW-qaz}TX)D5(jBsbnGTs^+#xFz)ge>JbjTDj z9Wq5shfERbkQD}X$U1-ySqIP|D-?9d8h{R20jNXP5bBUAq&s8^nGTsEszatgq(i2t zbjTErb;tzZ4p|3vhfHDVkST0BWQy1hnPQO+nWEewQ_ytCIwBpiO|qTuSCrZ^zfTA~ z+aVJ~b;v5f9WsTpbjT`qdj10J zeT3I9?gIyO71z^LunoXsToO=0k%c$}+M7+E8sO%z|I|OuS)b$gK_JAr(Mf`!b*X=b zQN;0m5~%M4w>c>MLJDAkUOJB%NosI&y0#AOZ^uH2Ur65>aKbkKsA^8qu0Uvvov@fI%&QLT;F~e`x@%41K(Ov5uBfU84N`2ZH?i%cvv~Ov+D}@4h z-lz8H_bB$Y{!i#za7wOT!OLlz1uL6o561lwYBNnO;^lOG3t_W}H_aT9y9zlbThh}9 z@G1uuEI&&0)T&$V!~aZSJ~TJ?86uOXjiF{+Gp+?J%01*$KakvndnopKxrbE@{YP?x z2K)g7l33sW0uX1^;~vdWXU@IHC(wi)*38vNr6Vo#XCUB%b7ONo4t1<+uEUjC=tcGZ zg6f(LJ2!h3x#J}X>;+D$E%LdKmh3F?!54FKT>ifs)WldC#a9Nj0=!di^%k~#LIo6 zql#-XiSh5qAYC28DaSb_Ke_z?ZnxRn+(oz2c)6b}d7Mj6WSY{wtpi>CRH#pJLMcj# zdo%h+a3DnPr%T3kt?1hf*w1K~ME<$QO8y!+)03pI8QBoM+|MWtP0Q4vmpDOZWaD?I z(t^W%>4W$Qihl`h;+_?Ud!_y*0{|BQ2SB;->x3~mg=IoL8_~I_|!xZv*-jCstX)FC4u!nzZBMw(w z3SW7W{mS3*dk%K@k6;q>>P|4N0S4wf=KC{FPKL$xw81Dn|A4;%C`HWR?fmXS7W$Sw zudBMJM}OW1D(P<=Df6JvA-XDNlmzf&4bhiqd;URUx$-jR7#>(t8L1#P{qIkkI(q&s zKKYL^=6V@>#ot42T1cO#q(DD`hF25A69CQAdcB&|S8_QDfS*lhhOZ!OsF5^S8qr`oI@0;SB2x_Z zyekEi%w+y0LFqe?A2UJ5DJChDqGlm+)IYKEmr|vs2lp|K_iw<-@%{pn!{4miLVtaI z^jBlJ#b;>p57H-DT=G6bGvmpAdB8s@$omr%8U61#R{@xQ>*c9Bb~(0RSbe+OQ>Xy0z0vg@>D$-Wf1nQ&m!d>)-Xq84cL(@-^A6B>`M$?r z`0oznqgxT|*>u@K`%h4uY5WcDN|m&|vDyo|o~2@%Gw$_f;-L31F!GXgx$6b?;knDH zzIwc%I`!PQzMr_LPCfDPzaTNPc{p*tv+s#B{g`Yz4LtJjgp0=eW7+I#I!p=v~)|6%0%gZQL$KKe_V~D;XNQ z>pHQDp?ueMqLSl$*L7kw$IV^Wi8T!2yRH*!InH-oC)RO1a@Td@28Nltt`j#hMDDsy z+@z!tz3V!$o^hggT_%^@EUs1AL?3Z8%iGpJl$^DN4LAL@{JKSyVa9HO;Je4v0v8B$TK zGsNzi$7&Cf%1FPQI|F+zpT?IM&h!pfPrglyGH zW~(nLOf2SVRdF5=%H?JuZ01rIAe|y;oWVxvDb)4KYlgzTZdr)n=Ify={a48xa-5Sk) z&uwUl@BQzj za;M}8GcJ`*)GBX4mr6@X?Um8=YoNNqd%+njB`C;EJW%*IKq<`Jub+5O z6{s+}UqA6q1v6es=F_rYKXHHIKjLG_OtoJ>@s7g(P%RiPi}vd$9xD7G7-6cVJ?6t) zVe!2YcL%;_TQ}#=Q@3^a`+!z_2px$(ZwNx09lgA@ z^vmdk=De8_7rYsSW)Hv?co&F&$q#5>0%&i-bysw@lZNiKM7LycKR(G_ z`)LF9$Curi<8f2E)!@ulF5zHIFjOyP3pI3oD$7p(W69T1M z@#h;9YeB%9OV#mOQ}}}~LHza?;r=#!m6>-R5^q3c!2<~RJ4%n@btmGbA4T9D2wd_R zN@(;h&8St)C~YYYRm~ch>Ywxbe<9zGLH)AyHvC{d{0tcTjdPSlTdC%{x@}b5g{r#k zRNa3uY^t zdYZ}Px1~IK-d={9V)1aHD%T-G`5Hiq<+F_205<*~XqJa#EPn#j2+O*mZT)@pvwVD( z#S+!Vk-H5CY&Hn%VxNf>``>}i#lD>EVoO_!GGQSQ zxgJqc7MhV& zbd{GZKmo3L3>2@VKFigb)~c19%^TqA%KK7G<#WE81Jzx?O#0=UI$!Ws_Q#-Q!+*n_;4ND9r zp}MA~A>7bdwQXZ<*nm5<>hv%BaxI3F7~-32a}zduSt8qaZoq_0Gp?@2ufj|cRide? zb`v@z1KYa&_7>dgWa14~jm>pfDsQaWj1pGW*JHiRN!(OlwK;+@!(tGN+PbaVt84I` zaeIR|GoI8GNy?8Wp&%7Fd&cl0N*n8&tFb9J25Z?^yLp3GXn{=)^>z3rx_#T`5HxC< zaAS?hfP3$&0j;jvv@5&=Ujb@xi%qsf+-YOL4UOBkZf~v$cc`-Bk{gqfSc;JZHC05PXtisxi(4D2yr%0E$+lIIaoppJl}#XXA*9cSL!C!*6E}LHmRz* zI;?4G2rIoyT;MwIQVVR_xq&e+bAju;g%-%0n#1Z%N|jo!m)rEHl~mU>z|w2B;dA^V zi_rx2O@LJv$J)8As=jXXHhfzqHX)6-w^r4Ai{n^Y%StR)#8c=)ZhcKt6BK}p=bF4F z@nr0nsII`-zhOfQ?u=V%6ZssZt#wV%16rK6_A4y{)KR~E^G<5~P^>DQca=@9X{d9O zueQlewRM}CS9r@Tge-c~rbaY!{PNn^0*Sg2`ww8lTFlpEO~Xc0{$$?dU28EmZQt1# zZrO>gA@y}z>zcjmY*N$4+M4Q}^)=pd3!@@zX!gqEkh*OfvE{+L-a;GDY#M8tcQ$To z@+vGCPAyzlv1;8~`oRt4MXqOsO>U~)-UzQ3wg3V8$9;9CmQ}R>0U!OBmp{lO23hvTd7f;azCENFIuqh-xuEM4U5&<*<3EY?!!zABilQ$`+24$f~U`Ow* zx5+U5uxj&8?`8|Chs*J9@uqi=q9y(W(b@gDTuxJBD*svA6wpO)-t3V!~b@6Q$tu{Avq1s-Pfn$;BaDO_U@pmVJ zM)nDjKxCf~g<)Kc)##kyO5w`^_}z)15P~`Nog@<7Cq(hcKA}j|>=Pnbbf1tO{N@G8 z0Jp6V^rT12uu-TL2Okz0Ku7-wrej`EW+3XeV+PMK8;x?8bzvkr^DG%Q2LJgB5%cN8 zb#(Jg@L2LCAmC$MDy?T8K!|Rm2_6@O21fAeFh0-m-ZBStf1^ved7q4Y=I#jl`gJjdAFu^8vP~u*S}-5eCi`+0nQFvuea@2)_SjVv`GQ^Q{}9tZkXKAX)O}~=QN3;NG9Gykvu%|`kNl2c;S)fGNMRUEY^NDCN1+Zv%m0~f+ut*S# z5|dj+=lXu#9D>hV4D9Pce*PSPCJ|T3rSl_^1-5ipu_Lxv2m=BYUtwQ12gP3x)(fec zn(pPC338x9F3Ob(s~ly^kmE9}k1YmQBZe!0RA8e^AX5^966Mlz|JHmZ`UJ5kQ*yg9 zVdREo7i=CT)hHEQT@HQ}vB=BHy*LHWd&O#1nQJVvrhDy7w3zEGlnfy?jl{Lwa-`D^ z%gZ&-nOEm)9M8L+vjJ2$$7ZZp=&zX5=65Qy;lND4CEY(x_&wddVp zB@nI3tq~c3R?5nqNLSf1-EXn<7RJunRx@-?y@%5i^Y#L zZ%dgQH=4F?e$%2YKQG$cvFNCq`*hs^XGmk8sT+HKyd}=E&52q@LA+%YO3NrR1|QK0 zDY2NvPd0-5v$NaV9AI(dA+3~HnNW0YBnleyZ1mQAB069DkkTlebeUD$7a%@z8Pmj1 z<;829lp0TuN_d8CSz>$elhN`}Ij344lqOz?JSjwSBC*4Bi{~fKGcKqonMmie-1CSs zDj?g*h+LD}{HDiiHbZJQbE2B%M`{M!m}Lu16)lKFky>b@+Ej|H&xd7_qGv}@F4AhG zDJ7)moKR1uQ7KP2J>K2Sn5eF3l17WDO};HEHH%r=>?2jyb)1Ts#kDX^v_Qrm>En`6 zsp;dU+tO&hGj#tnQ>2nlu5>De5dx|WVq6k0+ypI9h6podh!Bz1)a8=BtGS3uMH_+g z%|TMR7ly0u-wwQ}<`{N~0A^eT0nRkGqXr{1+~R=)IpkTYftdZ zR)1&(G=`YN5LKuWo7s*F=2`+|f%E7Wb@MG9YJsKNDi=hcz`VqmIL0`Z-ldi!<-IK4 z(J$1)j>~1du}F^?P!~O7SZsN4hkTylaHPMC%HK9$5qzF>ib?GxrW+lo_B$i40~!!V zM!@-6i_i>4&1M7)-RQw(Vbmmw3}L0*Vv|QjXNytK5u?Vy*p7SWM#sJLY?+`FJ?^D} zu^IO+h&Q84q>W!1H=4_grZRf+h0uMZkG?!^3X7~R$TG?zQ7HBz8jQG7)mT(hF(Oj3 z&$IO;`%lJYubPg`Sd8qUAfBs4Wj`xw1DLN^Q5DXQjsJ_ZG>a`~G#FDVr71msF*A}9 zQQTZn+`Opb=0_D*8rRDL(aR;QJXPz6lF&?|Dzc4<6vVSVQURKci9%4B3ewJcv2Lwb zSlmf`Wz-pJwo}ur5uK_U&i$8il0x%DbV(6ie555!bfz9Z83GUvE4E)5-g5nMKt1BsDUksW+n4jN&sT4R~oJqd%8+HrmLgspKNrFQL0+; zigfXAPoiCh9ZxF%W5<(mS281N9a)+bmkfiYNnGZ6rbv3E5O44k=>$U&@slLU>C$Lt z=tgUY-BFRLW`&mZyXXH;34B<6Rl!az?AH1ZxOE>7YD#fzzfvDb)D9~8nHK%DT7%Y9 zX4_rW-PhhT(vik8VMazt@IXOd7YX_Yy8HTu%e(MR$J>t$(49j%tbd-T!$3iT4$~z> z!$Tc9GBn(Q&p0}q9&I0BI>0A14aY^^=|TKP1~KeK!%?%XgF2y|E)mwj0~BP&Xc0MH zQA(*f_*UR=4?g$WCvV%A{K&p!S8&Iv;E(++!QEqzJo3n+!R+$j6R#^z@4fBOr#ha0 zX!m$9^JviZSny^4#pL2I)!mj{_VwV8Uza@k^oN5__+#V2ORr0|tQ`1@!QD4@<>mwr zOz(uB_?P`-2>)Z=7K9%jee9Kp{4noDMBY8R<(-IpKW|v2Jc)DHgZ-VsjPeJ+JN^?S zd`o5d)acPKJhdx%OYlp-<>yC!u`BrXYsYqf`Ke%5^2yuk-gx`S$nE>T7<|F++?-re zH&Ri1%dX`0@7~rN{Q2u%2tMx*2VZ;LJ;9UeJ)FKdSuxo4z!yFd+?m|+nTLZ-PbzjT z?+9ibOb6IA*98M(>h%$S?47|C z$?~A62;E6-`1mDD-X#cNkZ@DfweyB5N$vjH>Q*Su<;-M{gw*Y5-F#owP zeCUCoVmN5n5>#l=X)ot`KS;m$gWH0}WLYpLc{FDM>~cLcljE%+59V+AQUm_%4)#Jv3tEDY9!eg4_5I_!gPASC-KUZje|YUf!Tu+6@*vt{$b-+U z_`dMt+KaulBY58dKm2+0OB|-16X-TXGU8%thPR=QCd6cg#wvzSw``Q?W5LWP&))X963T~FrFvf0 zN_oBM!9iW_-qzu+2|RgI3QVD*0zdi1ptR+0b8eg)+?8{cALJ*?M}vXRAV247|Hx=C zIyS0G`T&q~ytm@-Z$tc%YvZXaoY?SxyTyuJ=sbC`wkv7T`s{^C%d@w%k}svMwmC%s zYD4wP@eCs&$9p$G7e?|z9FG_MWw|z)iymu4Jg#c{QtrQmU%hoKsA>srePMUfN>13^)F@X14+L21r%{|g@q7Aa|b^3c(oWoqE@8pz_-oZEbo(ZFLt zM{;&hl3b55M#rh32O_V|S?cFpG4I|V4LuTEa&&jl1ucEyZ-axw%lGyM2iJdh*Ik=- z-CV!<*5JUFpeR{>f6ir)-E<|phhJXV#m?oAi!M46hz*D*5tp2!Na6~n-xC}d4yL#4 z9r?jcH+Ka^Ijj9(#&B?;{NN+O!K1(b_~Uz@PTm~6diZe+(vn-Yzw1@~$*SE!+0mc_ zLpd_b>0@1Y{ox~Bx7WAbwK^C+dUI;ytxt^nXU;qbqXA;Lr~z8!m_AgKR4XU$1=Ia4 z$|-zX(R?lbzKl*`Z@V132ez11;5;nuL?ZKzs83c_cV`NvfWKPqzxq&jFsuAk$@<&x zsvB7z>>v9@F!985lT|4k#;)BmU67tBv~-u8i=kJbjYFKqqc^&j2(npY)v zKeG1;)!kgCEa#mdc>ap%9cvdf_43@~pR@&KpGQSnC4zSDL=~3PUV<~YZMHpmYf!W$ z7)+k}dd`xPk*;0u2u8PH^f+?INOF1aZNcm(?+gZ?-1P}Go&(Q~2gOH&z9)m!i=${t zLH7&a*uVRGw+2IKI4xffMqa#k*DHdS+8D?3=VDmLp8L+eqR{V ztrsL8nwQ2ry__LJR;7OW?cI05gf6UGkyAUs;ey;*M9?H#`SD>e&u=(T2`@g9tW0j$ ze&CK|{nonwx^egFVCg+-n)FTYu^_)Z_{gCbl8av-eBHa}j^w@dUAu$3x7--aJ{ml7 zh}`-2z4G8ahwgdg^}&z4=Yj_?yZWVyw9X%$W|eJf&3dClg3+^519S=+(~dz1MeR zE3|k09XNDn#p+dSZ@@3Pa6%cHAOEHcQo$rgOZ<9SB+!KM*W$%~`{j8=6%CdQJJL01 zB>G7hru%!_dX~4-NpiqL)Vp8RrGJ9D#FsfPrnx!0NHba+DO)y22BrROcW!=VF!Ee| zo$>)F&42rY%XPe8H=(j#UtrOoL;M-*yvP{ zQXxs-!|AtavPiE`=`>rS=Xh~Sz3(P1;n`47R98@1RZvz{u&k<}qN-rs=7Q6HagbM> zJFPg8D4v#EoF5d=Lhq}ein%Ejkhsv7c*6wgv_F)d0Z#usm#?azsH&i}I0%Y!b2g(c zlgXzHd1RW6Wo-3CAL>JpVAL=dN-$Q{*aTRNYRKFtF zs9ZX}2XT7h_R`=9o?IjTry33AJnU~R&JBu#+}h$qjz{$&dUDTqHVLs zI|e#3DE?FEjvkZ?B8BL6RPiG`x&4Z^<tXSOqAHPwVy}wNVN9oNPfB6DgYn=|8Y1R5shnRzIb?)l z{Z^5pMCGJ(a|nW=A5WKK3~1<1PgIVSUrrEMualkhqPCPuc`zfL?1}OpZ%e6^&#S*g zzhMi+2w`s3VrBS5dZBupfvb?t8K>5&Ct6NQm){y-^L8wqqyOVy_KX~Jf({>&gu~0y z-UfV5+1{y6GjdKV+DZp7nf!8oQ2bmPO^Z+LS10D!vm|tyqMhZWC!LDZK+JJET&|46 zn<5sL)9REK!JTgG<;k2jox(7gIJM~GG+7W=^jzfKh za7f6+$2D#0?n&D-p7e!rxLh@I-j6wtpHKDAa(I!dn-Z#MKtU!r`YC$W&>hbCORBIj zT3z7P9 zrJES(cv&y{Tde=_E%Lvo0_fV*lj9voN?6AHfNAF*DVhMtztThbB;2Awn0OsH$wB+t z!@&-IQ$`7YoChUJ?z{-dXs-;mc$tm|fe1Eg^xe;K>W)Q*_V1%yf=`=5HAp#s zYC)@*W{siU(9glwThP}z_;(ice>j-S5`}#haBz_Y+2ZKX3FiEiErAq9BnCp;n6|tD zdK-h}4bWE^B!vU$pBN;C1Bh-Pq(=$|&{76j;W;h&elFjaOgWoHc>iue4=}qU{Pw+O zQH(8t({6Nm@IzY;P`i<^%y+3n5j=SdK>AN)clzds(y@F9*V{$c#avrlFX+%$1(APNlj0Js%gWt8FA93)f7W8i%w1sjM z#~2swK_#D<9;wBKbt;)JkJY@$%&1htdzc7hd|K!^Z}E8$s01Zn7CV%r4yHrJlJF8P zAJ`q1q8fIR@eddRb`ty}18SC_m^rW{DAdt$8KNB6!*3zzdpjgJ#z`1SN>B&_OM;g6 zPR2K{Jsc8(zSknbH*gZfBtanvED2iLj%^4bLCx{*zw_UjXrZqI1Y?kSRd5;|D?$$` zLBj9i@iCNeMkPl7T*uZzx4_T?5lApJpj{v1pq;7glHk(@YsU{bc+P-!Nib>VWjhKv zxWIsRNwD02cC6;$tp>D9f_E6uj#dtK7|<>W_8ZU+2@V<1E(yNYfObgm4-IIS1m9{v zPJg+J1%AK^zU(rt|89Om8D$a{p+bo%k*bgbDc3%Z_} zZ#Bwj6y9$!pdD}L;J5)bN~!+Jf<&>ij2s$8i>oY1RCcEYiGHMpSzNSK@GevICRx__ zR|}G&KWqxsBs9gmv$&=#w1uV+L@ueY5WFx#?OYPcJxQ*JZCv-BjBL>PbrE&Pf?WOB zc!P)UYP=!gKV?MFs)!MYM6Tp8G;lKq4_eTBIrvQr`ac|$Z{k^0#~5Cj$+bgh4_lDX z{<;OZw4HXv$lf(3SBuboodpT)XD!I3EdwPXY2KFhE|>N$m-a4~_AWz=1GE{4o{jni zf7gC{w1&wj=ofh;F4nAf2(lOoeGYxDq1ihQpHNs&KjK~iK{k3>dI!T!ZT z=jy5EH(DN@tV7RyL#SyD2e(*|lv4_aj8Z5mNtRZ8nh8A1Z?rOX2pIAECvMGIyVj+g zSk7XA?DIo|#v$(#9>`3+o%1SMORp_{1ndrStDyD}-RV!#@H!%Ad`f5L!v2?fi{ zX|6C#_2fpBD`Dv>pxkpz)V^-!47c;!_wGE%LCFV@#gB(XdSpuyo^0_%ax;gRR#>O1 zN02bD=n$+_!Y?urROh77Gw%`(t>ZTg^F|JCvLFf88_*63zS4l2B-my^J0zGkpe70K zHJ}Cw4j9l52}^`JH7%;S$>6651hzqzz&K%v{XNCDh^)YH69J_K!Pm>)FeR}yaG#t(yv3i$2f!V%c5}ltqMKwHnbZhmwd$oZTV1V z2}ygnL7|?dn{a9G5ZeD{Xg5hv2*Ok( zXlc96o~xd%8Lr^8Y|UOICN2pz5s}q~f!;~=n8jPN71xl$D z&%2G^Sx8G#yG3~e2ZeH$T&;|9pUKt0A@dsJ(1ZM@GE125C8Q@?ndOuBqZ$RRq~Rn4dJ8LJO6IkU)8w6nv~()V z85Q<=BL{_Y7H`+UD9!vPQA_v*3v&u2`98)tQ1oXw_&EzQ<>x>rC-oYXUGLzaF!McU zq$Rn!Os<9xbMS90=={8P+|AhH%d*Hrc-a!}5NumQ#~98r;a~8Zq$uH!S~qt(vy7f( zl$BSAQG#X0r!`k{P)KF*Y4aFGHX*>NA8>Lx-b!*^V|eWxbaL&?EVbmi)Z}W|!NG$T zbT0?rX+fEUB%aM#ifhZ(&Lv;O6G~9BWpO-it{rYJN3~^~Z7IJ=wGv)#VNL^)e1bDc zftbqgUjGjy(7`m{#qTk0p&#dW5x)ocomqt6H2?RR?zOp^vTVtbO(`jc%dVYF{<1yj zmrUmhZcVZ>P{8ro{C>Ife}d`$kl(V0XNjyJW-z>1d|BrGDzE(hZ+`zhzn|gvv;59r z=l!Pn?`698@%xkf{w%-$h~JO%JCpxm){87WAK|zxE&s;&EcXZaEvwk$9M7aL!z3A= z9A|{T%tYk)#p0K6{bV3g!EqU4WU{$f{AQ*n{jjvlH#0nw{>9=8owqYRS-tzq3Fu!e zerEZ87ys{-KzS!Np`!>iL;9~@@7181ia7S{~r9;yb$nC;y-=w z(Yz4wp27d)D67T!J5IMaG?Pg(6ea9!?d}6Js)fa&Rm%$abE2c&3bopX802a|Xq%ws zRgPn>hCzcKBtT-crA(HI6xb`rg{iGNsHkR>YI)nx5Toew_>~fky=4W7roe&!x~#r< zD-{IK4EzuLxmSAXkRJsye`GZzU*XXAH_pTu<%71+#3b-Ho4nCL8rjMKn1=s>Px6h^ z0l@1Ah*BoQImp>&0Wa{&5W`)Z8P6LSht|IX|1FTS``phsv{xhW{~CW85J7#EK~$!| zC;M}|5V9tE$UXvpkBb1fd=8?L2L2)kM_SxXNH;C6Exot9ue==~^@#mcpfq?Z-uuGH(L5E;D6&I)x0g)FOX0u90c0gXYNHTxy9&p8n}tCCD=5NxEt-AKfyr6OT0m-xqmT`Nym3wx zLc0_GY;F|tNqFP_n zrs9w2YaC#$uaCzO5EFg%BW(30nMr`~ zGB|)J?F>XAN`q8mtuK+7=1vm(HY7G9W-}tOJwnH){*TIGAMx{svhtZWokAk922j@$ zlg}0wPbBtwfULx1la9svH?TjsesYPeuBcdd7+I*K+QOIO^%KrzE&RB_5ewe~h?bAY z@PxrZz*`AA-@YlkU7Hr^VA+y=-~G05$JtWHI7Xm0a_fru`2y+{{(QHUkP&^)4x z!+@xI`6-0ZXd{p4Vh>>FvtDZRC#T?DF}^1<2O_ITJF1<#`XFyjnhGS$iu&9tTdUD%y7FYV*Sk$-xgO!_khg z)oTH+8jmsLZf}o)xVHLvPQ!~I*H+2%XVi;(hcTpRT@*?7*qdzi?@&r@t1`lkp(tDJ zx=L)d7tEH$5fCF=y#{o&t^Np*jPgLNORK7?Qb-|B5cu(QfH0B)&hFj2t0%+3aaK!P z>Z{fP$BtqZ2PT<5bt47pJ<)=sjD%qsm;p zdryoGA{h^j)ywc-kJS$&m1-D&Xsk{nbUjwTjog{@kl(lj|8=`aB7}b#&!Yg5_kzNq zFABM&XdF(XZytwdP_UzmpCLuJFMAki6!|1<=Uf%H9k(cEl&f*5JQ$c|lv&!vX{1m| z13#`$;wL_Q9AVuqTpYrqmVmo6xLu49$a%3tmkwcg44U0smgzXOG8y%Uc6kltzaR_lE;5CF({Nbh?9n@I2C zGs$YSPJ6ri_8y3w=Bf#P6FM#0pmmyU#7J0YfKw(oM$0-EY49>lD)LvfdZsATbn zG*}2mT7v`eM2?XLX-1(nxD`+UG9J<(+3fi=SPDF?!N@VWOw05{N;?zCnPBd>EZ@70o@Z-0NZ^?7Ykt6S{EM%LiSO?7J$z;YWl2^;X=@f%TO$Qg^^F>B;QD} z{sq=sq2yDRbyN`IunQdj>zxrCW8pd%N%bqB7hi9=7z;m*+*+!UVnu@F$GVMF|1pwc zgCx~28>!N7fs1S+hseU;Y@|w~B>*xWvhWH>Ra^KTq-MA9L&m~oLu;H6Svbu_``mMCq*TXImKuCu4+aWE( zLx2E~@z8ke7N|&%$4WC&?Rbp#jcXZ3PNQb*i;*Gei!36Wd@e?Y?>931Bd5#+AQ}D( zhAZ0hzaBDlbw)NnK8Xx@tW`x)LDN8)T^fwDyW+e!8>@hF9y%L$7fpgg`AMhG8J(h0 zXXsRVqPGH1>&u?;P5I@SPDWZyxzFRr6b{v$EH?g#AA7lUx*Ue8+r^eRBaV?y_t%R~ zsfMoqA)T&;nsmEpLQViO9`aFi=7;Wg(2-5xqy7R!&NntLfgE-Fa@SIbE%~T2{3pxF zHYXB&Jq8@DuZ+Xdi3pxK;8Vo<%GP!WX9;iy1cw0LDnL>-tYrn+2FMwN@PZ$gma8dK z326ra7NaB2)U-_W-G{v20i$Kvbr8oREeG2~%b!8RD~lr_Mp}Ne(efx__!oJkx1wsI zLa5i1kyINbOQ7=}wIv)u0=4t_L%kI)vtvv6F~&6RL#>iztu29kLA*SagDinoi6^oI z!l5r&dtjO51p?B|%Vp8-HfF7b!#=VuU!_9VaW zA^@H2ryVKUe#ra9%R>~&eh%aRWcEY7`R$OL?#)s4j7RK;_GfAPi5+Wius@5kjH4Kn z+0WO3lfr-eV{7>?<$^RU^()nxB$(geL0iiTnijyKdO{ffQ;Y9B{Y6a zmzj9cZ;~!I^`U_4$4EX>3XLiw5K{}Eb`Q7@+2Ju5kG8{4BIZ71ho4A`9sUiN&3BN< z4(Wb7ZHKcEb4ihn(b=xrM~#98$v6IxjqL+@ZDV)2M1W2<_BgU?8~Z&#Tr{#V@*)DoZ5`T=jY}0GXO(k5 za;-BEeB+?qJ>K!HYzYeI4}r7H;1FmGkTV7+94KG2V)eS!M$5)Fba_Y@^s_Tssj-i1 z8zcdsMIP5S+!aMaC)>Ek*v5i55vE7BvEqQ(1|1$&65*tSWE+nd+xTk$q~qICF-!OsAbVnvGl1L|gZvDTqV1Y8c>%O@h+`}Uxe}1F2Emb$ zj4lt^Pa86psl4(>?57CzC#u=XEcRo{tS(>?3ieI*^ByQd`<7LRxewXTJ+Bq}`5ej{ z@6jj++0Q7PhW0IGh`FT5Hs};n){G0{sR58)U9{{cv>$SM!%;s|_#)kNDpGMn1R-69?+rU1%El4$#o@ zDa*Q&Oj!G3G#b@G{hiuZsF+|WE>DWx=oG!D3kXkK#m)Ts~!4*52_t`E~cd% zH`<91hy+PH|7EoEi@3NLkF-S)#;lg66o9qunpiTeqs?xRlK8yxO*o;a6BeqDtH zE}(hnD|y6NhUocv*zY>rPdeO>INa}cxF@H?{dtG`35WZb!+p@hQaIo^hvZQR@ZtHV7tCGIacbwBOY{g_ktw>jKv zr^Nji4)=2o_frn{PdVHhro{cM!~J=O`*DZ+Lk{rfpueFyz)@yYRca8Mz^Kj*@u_*2@_DC(jGxKqJkZd@av$kwFde*kG z1Pqit`xHV^A`j2(Ds7o%t`{jY*L^J{Hc{PWrukc}S7lP#X|^3oJY%g8El-TIwnS_r z+n2RWeO*-le%P`3GHVICgq1Hr8*y*b;rRJ3v6hSC{`(I1QiuCFhx;an`@odA*E-yf zi@sz%q4GY5dpShG(qPGtPKkTH!~KNAeazv$!Qp;*O57V9?k64YM;z|7r#N2s`=-Rb z!{L6`;eOKL{uPJ&*p#?G=y0!ftd7RUTvOc&);#4{-H|DAA9J{;9PXtK_m4T;$EU=- z$Kn2xBl**g&N+>0FUXC3Y< z9qx3`AQ~ndr8DZDiF<{^{jkHm#o>O!;a)T)?)N#| zD;&uu9bb3Yk$mZtxK}&ek2!Te?9`opqZ*fd*_62Nak!sxxSw#iKjv^>HYM)YIowAb z?hOw2aYyeJQ{sN7!~J=O`*DXm?OM`o;R%Ve!UAgkBe_Qf;$qRe)& zCO2tXL8kQzowZ9H$|;9(sYAK!0w^zYDAzia%N)v;7eM(shjN2Md6`4G_5vtZIFwr) z$`uafJr_W^(xJS^p}fwaJbD3?*Ey8C9Lgz&@>?!|@_L8zfJ3>~q5RkdP)<3NM;*!y z4&{>DL~@_T?ZaG$GB>FLHPJ*B|G&<>Qt&cSpVp;|Sb7w&IHt}*UGG@W+= zBC9JJ@&rNn>#c^I1VqNh8uA<D$w>FfeTMyDFG7Z7R78uBJUPMh-3nMFNeyO#nDEe!;`hkzrNsdIf6kg^!}Q-IL( zYit73IA;h_6ovc}kmr$TOLz%r95dW0|1v;4>=3tfHUL67EaWag)&XKmNGnENJpa<% z-wMd#8007*wQPr63qS@y$I9>|Al4F)Eb9L zXHZI;>*Iiw=!~4}D}a;%V(aynfV9LQF90G>j-P#hW)3!riZ|AhzYGxZ02;CykT~TU zK#ELF!w|oO4nxJtT0#?W=+Tg{^T1&DaN4Vg$+-(SWibto0CFlO=LZ29P`N~69|q*U z7|!Pb@w`;T4o?A66r+3wkTWsJPbpVS@;TU`dfHGX`)P;&p-0O(>`nK!4;=LL-lsCw z#XRI1jZwY^5WVIgIO}QaYfSIE34+IJ`E7ulHQXuf{eYzKw!Y;FK*nP@rvP~=W-Vs` zp>J(K;}ZT8AbOu3l}ERW)<{bMqW3G{?1|`%VF90$IbnEM$B|0%)F9Kq{4gF%SyKlI2SB_wayVA69BkW98v8+ZuLzKeSgs|2oQ`Q{ zIUuB7>o-z>oQmbz4#+?Z(n`5vy!rt-607MUKuCsG&Tj*RbY*#c0FcpGu1^DUI7a!K zfSffrVMx6NY8sIkd5OE#F9|HKv&dBqUb^LmI2^o#JS4o0ZObnLrz=*k0O_?c$b3MG z3@;G$mIAWO;Dkfnd;3~@JiRZDbV|>9;GD2Hy;{q9zo_8U0mqKDDAyf;oHe-!(h10M zQ+I+K2E>b5-CGGKmg^|tpsH5Rp93V`gFH=;n4Ev8az%ak&jA792pu|Vt~8db5Rm5$ z_pr6Ce{dM>A||ngzNVOS&bM)VgrDjj7j4jK=#Dw zJPgQ*n1z255Sg)R%HIIw43|YiUI1jwP!7ZXJ~hZT5Gvt|z^TRC+Rv{6!LUvGCiGU_ zu)_)iLBK1-Z$VDu4WV(}7X$KM0||$Q2m9K42U=nhy8$@oV)d#4gdVHYS2>VAK*nRa zejkvNsG{{_4*)`SwsbxL$cb1@{{#?vEY9B$Bu4q?fGjhXK(**e_jxCbzQU%Sbb0{V ziBS&bpf8Bkv=ERpu@YVj$l(~TDnMxTX=QjPATm4F8XN&c=5ZSGZa~(V5>kCW56BU` zHJwnY7%i@ez7B|ueG53r826t6@=~mXGfGg4SZ}oukkVML6d=#5nu^|=0XY(*(+>!# z1{v8l?g!)~$8;?|2FN%dw(eg9|?A_V(d^kQ09W zJss)M_JLufF{8{(cXan{&7c$R?Hhr;wWkM$yZif0RuNrH9#KhY*{B0i>#C|eeI(OH z3TdIoy&rus5tQ&&o9` zR;^sUZq>Sqa3w-3D%P%ES;6wG>N(JQa44)?9j^64j;vf0t~QYstHTN}+}PhY99C9_ zYdE-aby(?z+tS0;>Gqy*Wo5W35?c|jWV97)!WG_mi;}g_D=Js5u2{2T#i|>^RV!An zS-X1es#R;a&~>no?$(}g^$jRnlzi4qS;<$fSXEhBxwdl6+HhsX+Epu7R;*sJB7^*z z3X+3iwvwu>1hKk;>Z@gPgQ;xgI#kxk1XYihEh|SV8_c+8Fuk{ft+wM}9{@vPW?VOm z-h*LJdw<`4E^643ZpY{|9O%brn;H?uO>uy3blgAE2?xVnI86|ULuoV`T(<)LgXsZ` z0z$xb!%;I&n!5E59z^;;x_i~SHR0e$-*9(t8rU#g94ZMS2hiN8T;*$4uB=!`q%^yx znp#cGv>cN}=waHlMWTQL;l2bA0EKk#NI0N2>#N|X4w@qF9qMfD?im?Od*S9Lr2*Wd z(g+z0b|^@VG+BnJZ%#|8vh5?7P9F^0`}_BGlgFYT(>ZV?_YU-=d((aJKwx0VHE8(` zQ56j>RTUgfKe#!@WKIZLm;sMaV>}aG^ed!czi+^>*K#Mwz3?q;bVTe$h!H z5BH@HDqD!Bv}?f|k>mHDVLMO+JM8HmLLEdQ&Gk(*b7^bEP#nPUz?w>}D#|wqMek3W ztS&-pM~6*~9tyUcxF{RGoqRfu46W;hd*0AG1O*-H}oLFsyFg-e|~$st{podv8Y%m^TjhRCRQ08tGHgYNpy# z7PQilq4c0>wl&nuH$pt!(5DrxrX{VsPWwk#n1cy6^=JYk1HuUeRU=nEM|HZotT>;t z8%CiZBLjL87ow@Qc4Vuvl1_c=&~Od=5F=rDHrPmdkj#yG@^-8rQva^2ucsdzA%*Pk z>EFA*buerlBAtX7DvUr5o$bTA_0;$G?cLH#eLtg-DnXc;v<)3Z#d^d2>A@iyBq$Z* zGb*hE89_Fshj;dAZ`WbG$)@fe<*Z>*O?u=~htXD*6q4jHpiK-ORtc*weL$@;z#lq&E80^P*qJOZROisxO$Xok6MtahEbVfz% z=$Gpk1c_%th~le#q(GB2qnd5iF)hKK2BJ#hi5vm+0d@K((6mGb zA_dr5w{7zsVcoW-=BoPou)1c$&duT0s^;37#zrYS$(Xz=22dkCFm-ZJXvAHJr~7c# zQd$|9G-P2B6DThvC>INZ=pTw%f)b;iQ(2idDT`?akE$UUL7GCU>0}C9<=%u|qrSUs zuvInCZt|>Q8(gb3XSC+qyD{=@?b+FP0Nz$=r)Em3r&7aL_~yNR)T!B=P;hg1`@W6+ z=+q?_oLOXOk%jhT?y>wpx^-W97)~Play3Ma4%fA@)%Uk{a6QS;Xz-)jkCY2#Wyjmx zH3$c!{BD@xppXNryon8CxP7!6p^$uGE9p_>R3s-VmhhwQ4t*1Rpc;cH2h<*EZVHd> z5V*tW@IhKyZ@ftpcYj;hiN0GPynq-wQW57x#RszPOX98rmK2w`k}s7CgMko=rU4P0 z71_s*k@VofhV&quH)h7|Y1N+9Jb<*U-Kffrih?67>B8nu_~qaR{cV>)72h$IMeE4jTw{R_K{&MVSr|I zNNKxjGY;V8FIGP<>LVLFmHqAQJs4wQWZXKWhOVmS6W1#4Im1@l7f&d~4A;bVAugb= zsjL40yntvcR?iL^X;US+v{0S$8&cX#)v_s&^Vf}P0Lv_FfEfICwvP0`OY*!hHZT|( z>5q>K)OG?fTiqi?hd({=KcErQ3#}2v#%mJ}>qRKp=N7SELn?5-AuB5+(ibZx zj;d5QJ@Jr1hJv9ic#uVgc9v=@Z2HfLMq-m94WHZ>A_KK1@gv-)Myvv(KRuC?fhPQt Nn#N6NQv8Mf{{lR|7`6Za diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index 61657450a8510e5c10433f94306e48ba9a19558a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4037 zcmd^C$xg#C5Ovuplzrc1^#dT?Pq`8|(k;?&7i^Y&ggub+aWM)270J_OS94!wdr5TVVeCWDJQ+OyFyHDaUVEIPrV zdTyE}j36di5>05>l!w#JUpX>z)?h}85tc|WX|;n=^w?0_>Wc6r5@H%gxsTg{ZPs@tGNw_^+^ZA zBk^4yj{x+peo{GU9oTwU6W1c%SMzZi6C1TY4LMM~=w%6(N5^QNUwZE^KEL%|7|Uh5 zquvW_Py_rg@!Q=&rytzVcYwA=t6Ds($UE2kb6LNB(zWimkzk2MK ppf9LTLC=!~SA8Hu1@zH!>aO~-?^UYu`~vzYe#uo2-RCy#`ZpCrD6Ieh diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c deleted file mode 100644 index f90b989..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.c +++ /dev/null @@ -1,677 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v"; -static int ng1[] = {1, 0}; -static int ng2[] = {0, 0}; - - - -static void Initial_59_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 3968U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(59, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(63, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(64, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(65, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(66, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2728); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(67, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(68, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(70, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(70, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(71, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(71, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(72, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(72, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(76, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(76, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(77, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(142, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(142, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2248); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(149, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2408); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(150, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2568); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(151, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(154, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(155, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(155, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(156, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(156, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(157, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(158, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(158, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(159, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(159, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(160, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(160, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(161, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(161, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(162, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(162, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(163, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(163, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(164, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(164, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(166, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(166, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 5000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(167, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2088); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(168, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 15000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(170, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(170, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(171, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 1928); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(171, ng0); - t2 = (t0 + 3776); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: goto LAB1; - -} - - -extern void work_m_00000000001435061139_3156757336_init() -{ - static char *pe[] = {(void *)Initial_59_0}; - xsi_register_didat("work_m_00000000001435061139_3156757336", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.didat deleted file mode 100644 index 9a890f2b412200c3386d4b6d4b3c85c8f32ed53e..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4864 zcmeH~OKenC7{@;lup}5oTtI?|nkX3QojcQMDMoZULuq4CY|BH4-b_0eW^6l!&Ye<_ zm(W0fh~=eGk%tgyd_)$wA#B{Sb7j(mO&27vWI@7)Q2zg!d&=R^3mxbR`X#^nopZj| znKS3yN8in|O@E!gn4BOY*I&fNm)?mYq|ay2*E3%b>6t8Y8O$vcNq{uiIZ5OdjP;{^ z0Sth$sqg{KAPqcl6kG;Z0Od7b7keXLE8vqb{Q6%;EuRa}v!@q1{;J5I;G&PrKu(ax z{0G1-%&QL!f*at@G?7U!;kQCx0~C%a%s$6eU1|!~P6^utOHt6Awi=_x6dvg7wxBjb z3Nh4=5*0s^4{d9G!*+CZ-+C=TjgO?UOvCfnLPpj66kbCRY?DHZSGH$?I>36jhi(l) zJyXKp%-^;fuw7ii(fvfmagE;bW4U+s`|T&m^R6F@BP`!PMV@(nEa!IYDe}xm>{y9f zXKv61Shx?6xVYEuS6F=aetW$2@CtChH3ROmk%VikY^Me`PT=~^HXLdH0w_PjTsQ;D zrgFS)IB|b|Sz?YkMh?y`Rbq}ej2yg&x0RSjI;87>r zOU&`Mkz>63e5?TP14`KDxmTjbe>TR|nWjA`=A;p$*I}HhLCrqp4A95*I<)IH_9?#u zeVq3bU38lo{~HZ`+y^iu9t!C^XoF}5d6c+J?ukWf$AFl2D$~eYa)nQt; zSx<>7HGW1!#?OezSe%hX{)StF&Bb@y55Z#a5%?IyKn;k41gHf|K^1a;fCuuR z8}L15GuQ&Y0b9W~umkJ_--2CWH|Pa>z+SKq@Z0AA_zoNdhrnTQ1RMp&zz^U@@Dt$q z`vn{azk(Bh&!AJ_H24ji0cXKEa31snK0p2d-S0I?e37*x=XT|+Ey=9gW+ggX^Br#1 z%UMe^UR}P$T9xeDu%Ky))w-cQWvz}^N9~G=)eV;C<~+-D*5z8tyQQH0Yi+#Y6UoM! zq|r^LHiwGJE~>E9ENN^E6|T0_$Kp+rO*F^i2w1s1CRtjgCKhj&;Vc36(IxM2Lc%-U)Y;YMJl?fFoAENqb|+fxgvMmm@CO7)CYR|bF0R9- s)8eMf-5$4`!@mZvhQB)6s91A`NnG5`Po diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000001435061139_3156757336.nt64.obj deleted file mode 100644 index 82f0c335bc280d865fe9380d091bcd8ba7ddaeee..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 10598 zcmbW74{#J$9mh8b1ccHSfmWc_SVKjWT+$@e(4m0Aa-BJlQu!yAC4Y|ON)p1|B_N=L zQjp{3DzsE81xuAyirP+vkp`=#Q-)eQqYnK8j@E%XS__O){|zcOet-MDeY-F2-fr1R zZol{5@AJO<-tX<(-MeevI>?ai!p(+pouvjD73uiew59oSW}IOhflS}P_rr<|qs*AM zyrQ`w-QbEVgWfgXFixW_*^2Q$!$l%XS^mxD;}c&*WNS3@#q!E%CSCsNXl6tCjA*8adgtjSayQEo7w-GP`5+&pNi!sOIa*;c-s(fIF>mV%eCMhHYM?rgcatrjAtzKR_`3QReoLEUA{rK$1C0Ef6$uA9;=<fX=VM9sUG8VEmlz1 z1pB-kty(t1zbd&GjyqDznU=@0a z6VU0E=M4OqZnZ4D6(U^&C}4oqb05?Oura)qJ}m@vtUPly2Uam&Bd}ieHtAN@>Q!Kg z!)4yN<_q6!JNm70AaG5V(6}AyccphiSJ%gwnK`XvYn z=T)V{0x+fg@}nYG*^&O~*_K4TXm~1g}2hvBcNmDnV#{ma;0aT+v@$NXT5FcmmYOKY_KE!QllmE%XYMXdgfoH zrDvnNBkw0Y0y<;nnVz@t)Z<#*dcs@j=@HPe@=VVLSh+4}n{=zmcw6X>8dnGXf_9(n z=$9Yoda~IL^-Ykv_T6uX`e)||9zR_}wpEV%O75)%bcW0`J9p|K z-PNm1cq>G@mIMJEE6<6L(yg`+unK(_n$@g4??Q*_R=ELI1r|De00ne<<(aE}co=mJ z-~-{U5a}8~0RyaV(XDodx6*qhpkw8ktCxmoYwCjotP11`kun~#;|<0`qU2L2IS4Fc zemA0OUEO5?h%9hLWz!Gak$!7|YV+%Mq~B7YB6r)7{wu+uQZ41*0C7btfq+gPJZIN* z-D*#GD?Q}`23Wl|SnJiJ;jIwqn#ckMSS56;z2U9&UI`dr^&UQ5cAcL4!doHI)hhu5 ztRB*>z8T(1@0EZ7Rugrr$HH47($y;g1FU{rq7C4F5FsbK-YWqEtmf-hkB7HHq^nl~ z23Wmato7G@dL>|h)kxjyKzJ*?R{{oDJz1m;;FIC45b5fb zfR2^twdgk8>N^9h3S5iyULDk|JntKRWwouIUk?qi3Vq)opwlaDWyjKo?{rGfx4KrP z?}qn5q-*p94Di9(DqlZk|(afh=_5*KXpoF^L+ zplyj%qCJu}SEL$Ek!EAOwIaSYULnP6RwY+P+RaL}Rn=72R!*s^s;)Dut7@m*T6=4C z^^}U{1ioss8x_V3WDKKzYGgqwo=ip7HFU&RMrN&Q>S~X7q*IaEiFCB9F|w#3**W>{ z&qkU$+nOVb>+5Q(YHAk8BI$T4&BLvbhh)RR&5ePN4~#(up6v4qpT-&^t+MfW#WM?P zKZ;j;90w&IO|fhZ6h3%F*%PYldr-m;GWzg3!cw2WxrooLQ8pcl>|7|aNmaHMitH{Z z5{Fc6FBFM4q1f{8p-A*Ykr;!elOE+zB%-QpE)l1u@Ql=m!Rk|1dj+LJ_(igg(5KribRvD zO+t}a4@HmtP$X93L5jpCD7Lo)io_8p633uOya7ex0u+h=K#};!FuQC56p5KoBo?XK z6;LF$tFoO?Bo08)cNh%FmO;@if*skrp%}LWifk7Yi7XWUaE9)|j>Jz?*>NZmXP`*@ zQ`HW}M>Qm_hN4F`6p02XgreoApveAImHh&W>|deCUVfLXlmj%G#mG?t~({8;a~G9;=~9{1J-8WhfHEaWN+mfg({0MdB_f5-m_9x}iwyQDys~ zNIVZk;-soQ3q@iuE(P=$4n^YQP$Z^7(IW;$qEnT1Ly>q8io`)xdlZVqZ&cY?C=&mL zA~6aV9(vpaMdA)99#ssAL@N}DuRxK=L6LY?l^um5@hTLFcU0{qC=xedM-Taajl>iv zwtNwaY$>W(HUdUkRqc5w64#)L z9%GH$&xpp-4obNGwye?NIdC2}O_H zP$UjRk$4%3?VW}qaaolW;|C-pZiFIH14W`9ibM+(J=&p2WS~eq21Vj&C=w@C*=Z;e ze}^J5Y^+U;g(5KxiXQb)Bupq0T~PG6ABx05RrWL#iJwD}IHPL+0!3ofIJ=dxP$X)h zNJLfbA}A8;p?FlAph)b4B7wvkxa{HH85dLQ9<#`(SdnOpO9i&~`VFJSy0OMh(tvGZ z{(X^AW)u&+`9_`D8Lz0RtErSQ+yWZ7MZ>lL|C8`9REc4Bv{?1x&KQ(b;f;Ys&MCP? zN@SBZO0-|ziE&BM>*$gE-p<+Fzq+$pE<*CG7F{u}U))(O7s+wxoBP*xG6p3@&+7sC zcTKKR@iPqhF2&whvd4alJxhN0eA@l?uO(|`l}AEB3TE&u=k diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c deleted file mode 100644 index 038b6c3..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.c +++ /dev/null @@ -1,2898 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/FSB.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Always_15_0(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - -LAB0: t1 = (t0 + 5408U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(15, ng0); - t2 = (t0 + 7464); - *((int *)t2) = 1; - t3 = (t0 + 5440); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(15, ng0); - -LAB5: xsi_set_current_line(15, ng0); - t5 = (t0 + 1208U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 3528); - xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -} - -static void Cont_16_1(char *t0) -{ - char t3[8]; - char t21[8]; - char t37[8]; - char t45[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - char *t36; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - char *t73; - char *t74; - char *t75; - char *t76; - char *t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - char *t83; - unsigned int t84; - unsigned int t85; - char *t86; - -LAB0: t1 = (t0 + 5656U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1208U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - memset(t21, 0, 8); - t22 = (t3 + 4); - t23 = *((unsigned int *)t22); - t24 = (~(t23)); - t25 = *((unsigned int *)t3); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t22) != 0) - goto LAB12; - -LAB13: t29 = (t21 + 4); - t30 = *((unsigned int *)t21); - t31 = (!(t30)); - t32 = *((unsigned int *)t29); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t45, t21, 8); - -LAB16: t73 = (t0 + 7656); - t74 = (t73 + 56U); - t75 = *((char **)t74); - t76 = (t75 + 56U); - t77 = *((char **)t76); - memset(t77, 0, 8); - t78 = 1U; - t79 = t78; - t80 = (t45 + 4); - t81 = *((unsigned int *)t45); - t78 = (t78 & t81); - t82 = *((unsigned int *)t80); - t79 = (t79 & t82); - t83 = (t77 + 4); - t84 = *((unsigned int *)t77); - *((unsigned int *)t77) = (t84 | t78); - t85 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t85 | t79); - xsi_driver_vfirst_trans(t73, 0, 0); - t86 = (t0 + 7480); - *((int *)t86) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -LAB10: *((unsigned int *)t21) = 1; - goto LAB13; - -LAB12: t28 = (t21 + 4); - *((unsigned int *)t21) = 1; - *((unsigned int *)t28) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 3528); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memset(t37, 0, 8); - t38 = (t36 + 4); - t39 = *((unsigned int *)t38); - t40 = (~(t39)); - t41 = *((unsigned int *)t36); - t42 = (t41 & t40); - t43 = (t42 & 1U); - if (t43 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t38) != 0) - goto LAB19; - -LAB20: t46 = *((unsigned int *)t21); - t47 = *((unsigned int *)t37); - t48 = (t46 | t47); - *((unsigned int *)t45) = t48; - t49 = (t21 + 4); - t50 = (t37 + 4); - t51 = (t45 + 4); - t52 = *((unsigned int *)t49); - t53 = *((unsigned int *)t50); - t54 = (t52 | t53); - *((unsigned int *)t51) = t54; - t55 = *((unsigned int *)t51); - t56 = (t55 != 0); - if (t56 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t37) = 1; - goto LAB20; - -LAB19: t44 = (t37 + 4); - *((unsigned int *)t37) = 1; - *((unsigned int *)t44) = 1; - goto LAB20; - -LAB21: t57 = *((unsigned int *)t45); - t58 = *((unsigned int *)t51); - *((unsigned int *)t45) = (t57 | t58); - t59 = (t21 + 4); - t60 = (t37 + 4); - t61 = *((unsigned int *)t59); - t62 = (~(t61)); - t63 = *((unsigned int *)t21); - t64 = (t63 & t62); - t65 = *((unsigned int *)t60); - t66 = (~(t65)); - t67 = *((unsigned int *)t37); - t68 = (t67 & t66); - t69 = (~(t64)); - t70 = (~(t68)); - t71 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t71 & t69); - t72 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t72 & t70); - goto LAB23; - -} - -static void NetDecl_21_2(char *t0) -{ - char t4[8]; - char t19[8]; - char t27[8]; - char t55[8]; - char t69[8]; - char t84[8]; - char t92[8]; - char t120[8]; - char t128[8]; - char t160[8]; - char t174[8]; - char t189[8]; - char t197[8]; - char t225[8]; - char t233[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - char *t16; - char *t17; - char *t18; - char *t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - char *t26; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - char *t67; - char *t68; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - unsigned int t93; - unsigned int t94; - unsigned int t95; - char *t96; - char *t97; - char *t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - char *t127; - unsigned int t129; - unsigned int t130; - unsigned int t131; - char *t132; - char *t133; - char *t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - char *t142; - char *t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - int t152; - int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - char *t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - char *t167; - char *t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - char *t172; - char *t173; - unsigned int t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - char *t180; - char *t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - char *t186; - char *t187; - char *t188; - char *t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - char *t196; - unsigned int t198; - unsigned int t199; - unsigned int t200; - char *t201; - char *t202; - char *t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - unsigned int t210; - char *t211; - char *t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - char *t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - char *t232; - unsigned int t234; - unsigned int t235; - unsigned int t236; - char *t237; - char *t238; - char *t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - unsigned int t244; - unsigned int t245; - unsigned int t246; - char *t247; - char *t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - unsigned int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - int t257; - int t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - char *t265; - char *t266; - char *t267; - char *t268; - char *t269; - unsigned int t270; - unsigned int t271; - char *t272; - unsigned int t273; - unsigned int t274; - char *t275; - unsigned int t276; - unsigned int t277; - char *t278; - -LAB0: t1 = (t0 + 5904U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(21, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = (!(t12)); - t14 = *((unsigned int *)t11); - t15 = (t13 || t14); - if (t15 > 0) - goto LAB8; - -LAB9: memcpy(t27, t4, 8); - -LAB10: memset(t55, 0, 8); - t56 = (t27 + 4); - t57 = *((unsigned int *)t56); - t58 = (~(t57)); - t59 = *((unsigned int *)t27); - t60 = (t59 & t58); - t61 = (t60 & 1U); - if (t61 != 0) - goto LAB18; - -LAB19: if (*((unsigned int *)t56) != 0) - goto LAB20; - -LAB21: t63 = (t55 + 4); - t64 = *((unsigned int *)t55); - t65 = *((unsigned int *)t63); - t66 = (t64 || t65); - if (t66 > 0) - goto LAB22; - -LAB23: memcpy(t128, t55, 8); - -LAB24: memset(t160, 0, 8); - t161 = (t128 + 4); - t162 = *((unsigned int *)t161); - t163 = (~(t162)); - t164 = *((unsigned int *)t128); - t165 = (t164 & t163); - t166 = (t165 & 1U); - if (t166 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t161) != 0) - goto LAB48; - -LAB49: t168 = (t160 + 4); - t169 = *((unsigned int *)t160); - t170 = *((unsigned int *)t168); - t171 = (t169 || t170); - if (t171 > 0) - goto LAB50; - -LAB51: memcpy(t233, t160, 8); - -LAB52: t265 = (t0 + 7720); - t266 = (t265 + 56U); - t267 = *((char **)t266); - t268 = (t267 + 56U); - t269 = *((char **)t268); - memset(t269, 0, 8); - t270 = 1U; - t271 = t270; - t272 = (t233 + 4); - t273 = *((unsigned int *)t233); - t270 = (t270 & t273); - t274 = *((unsigned int *)t272); - t271 = (t271 & t274); - t275 = (t269 + 4); - t276 = *((unsigned int *)t269); - *((unsigned int *)t269) = (t276 | t270); - t277 = *((unsigned int *)t275); - *((unsigned int *)t275) = (t277 | t271); - xsi_driver_vfirst_trans(t265, 0, 0U); - t278 = (t0 + 7496); - *((int *)t278) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 3688); - t17 = (t16 + 56U); - t18 = *((char **)t17); - memset(t19, 0, 8); - t20 = (t18 + 4); - t21 = *((unsigned int *)t20); - t22 = (~(t21)); - t23 = *((unsigned int *)t18); - t24 = (t23 & t22); - t25 = (t24 & 1U); - if (t25 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t20) != 0) - goto LAB13; - -LAB14: t28 = *((unsigned int *)t4); - t29 = *((unsigned int *)t19); - t30 = (t28 | t29); - *((unsigned int *)t27) = t30; - t31 = (t4 + 4); - t32 = (t19 + 4); - t33 = (t27 + 4); - t34 = *((unsigned int *)t31); - t35 = *((unsigned int *)t32); - t36 = (t34 | t35); - *((unsigned int *)t33) = t36; - t37 = *((unsigned int *)t33); - t38 = (t37 != 0); - if (t38 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t19) = 1; - goto LAB14; - -LAB13: t26 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t26) = 1; - goto LAB14; - -LAB15: t39 = *((unsigned int *)t27); - t40 = *((unsigned int *)t33); - *((unsigned int *)t27) = (t39 | t40); - t41 = (t4 + 4); - t42 = (t19 + 4); - t43 = *((unsigned int *)t41); - t44 = (~(t43)); - t45 = *((unsigned int *)t4); - t46 = (t45 & t44); - t47 = *((unsigned int *)t42); - t48 = (~(t47)); - t49 = *((unsigned int *)t19); - t50 = (t49 & t48); - t51 = (~(t46)); - t52 = (~(t50)); - t53 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t53 & t51); - t54 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t54 & t52); - goto LAB17; - -LAB18: *((unsigned int *)t55) = 1; - goto LAB21; - -LAB20: t62 = (t55 + 4); - *((unsigned int *)t55) = 1; - *((unsigned int *)t62) = 1; - goto LAB21; - -LAB22: t67 = (t0 + 2008U); - t68 = *((char **)t67); - memset(t69, 0, 8); - t67 = (t68 + 4); - t70 = *((unsigned int *)t67); - t71 = (~(t70)); - t72 = *((unsigned int *)t68); - t73 = (t72 & t71); - t74 = (t73 & 1U); - if (t74 != 0) - goto LAB25; - -LAB26: if (*((unsigned int *)t67) != 0) - goto LAB27; - -LAB28: t76 = (t69 + 4); - t77 = *((unsigned int *)t69); - t78 = (!(t77)); - t79 = *((unsigned int *)t76); - t80 = (t78 || t79); - if (t80 > 0) - goto LAB29; - -LAB30: memcpy(t92, t69, 8); - -LAB31: memset(t120, 0, 8); - t121 = (t92 + 4); - t122 = *((unsigned int *)t121); - t123 = (~(t122)); - t124 = *((unsigned int *)t92); - t125 = (t124 & t123); - t126 = (t125 & 1U); - if (t126 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t121) != 0) - goto LAB41; - -LAB42: t129 = *((unsigned int *)t55); - t130 = *((unsigned int *)t120); - t131 = (t129 & t130); - *((unsigned int *)t128) = t131; - t132 = (t55 + 4); - t133 = (t120 + 4); - t134 = (t128 + 4); - t135 = *((unsigned int *)t132); - t136 = *((unsigned int *)t133); - t137 = (t135 | t136); - *((unsigned int *)t134) = t137; - t138 = *((unsigned int *)t134); - t139 = (t138 != 0); - if (t139 == 1) - goto LAB43; - -LAB44: -LAB45: goto LAB24; - -LAB25: *((unsigned int *)t69) = 1; - goto LAB28; - -LAB27: t75 = (t69 + 4); - *((unsigned int *)t69) = 1; - *((unsigned int *)t75) = 1; - goto LAB28; - -LAB29: t81 = (t0 + 3848); - t82 = (t81 + 56U); - t83 = *((char **)t82); - memset(t84, 0, 8); - t85 = (t83 + 4); - t86 = *((unsigned int *)t85); - t87 = (~(t86)); - t88 = *((unsigned int *)t83); - t89 = (t88 & t87); - t90 = (t89 & 1U); - if (t90 != 0) - goto LAB32; - -LAB33: if (*((unsigned int *)t85) != 0) - goto LAB34; - -LAB35: t93 = *((unsigned int *)t69); - t94 = *((unsigned int *)t84); - t95 = (t93 | t94); - *((unsigned int *)t92) = t95; - t96 = (t69 + 4); - t97 = (t84 + 4); - t98 = (t92 + 4); - t99 = *((unsigned int *)t96); - t100 = *((unsigned int *)t97); - t101 = (t99 | t100); - *((unsigned int *)t98) = t101; - t102 = *((unsigned int *)t98); - t103 = (t102 != 0); - if (t103 == 1) - goto LAB36; - -LAB37: -LAB38: goto LAB31; - -LAB32: *((unsigned int *)t84) = 1; - goto LAB35; - -LAB34: t91 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t91) = 1; - goto LAB35; - -LAB36: t104 = *((unsigned int *)t92); - t105 = *((unsigned int *)t98); - *((unsigned int *)t92) = (t104 | t105); - t106 = (t69 + 4); - t107 = (t84 + 4); - t108 = *((unsigned int *)t106); - t109 = (~(t108)); - t110 = *((unsigned int *)t69); - t111 = (t110 & t109); - t112 = *((unsigned int *)t107); - t113 = (~(t112)); - t114 = *((unsigned int *)t84); - t115 = (t114 & t113); - t116 = (~(t111)); - t117 = (~(t115)); - t118 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t118 & t116); - t119 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t119 & t117); - goto LAB38; - -LAB39: *((unsigned int *)t120) = 1; - goto LAB42; - -LAB41: t127 = (t120 + 4); - *((unsigned int *)t120) = 1; - *((unsigned int *)t127) = 1; - goto LAB42; - -LAB43: t140 = *((unsigned int *)t128); - t141 = *((unsigned int *)t134); - *((unsigned int *)t128) = (t140 | t141); - t142 = (t55 + 4); - t143 = (t120 + 4); - t144 = *((unsigned int *)t55); - t145 = (~(t144)); - t146 = *((unsigned int *)t142); - t147 = (~(t146)); - t148 = *((unsigned int *)t120); - t149 = (~(t148)); - t150 = *((unsigned int *)t143); - t151 = (~(t150)); - t152 = (t145 & t147); - t153 = (t149 & t151); - t154 = (~(t152)); - t155 = (~(t153)); - t156 = *((unsigned int *)t134); - *((unsigned int *)t134) = (t156 & t154); - t157 = *((unsigned int *)t134); - *((unsigned int *)t134) = (t157 & t155); - t158 = *((unsigned int *)t128); - *((unsigned int *)t128) = (t158 & t154); - t159 = *((unsigned int *)t128); - *((unsigned int *)t128) = (t159 & t155); - goto LAB45; - -LAB46: *((unsigned int *)t160) = 1; - goto LAB49; - -LAB48: t167 = (t160 + 4); - *((unsigned int *)t160) = 1; - *((unsigned int *)t167) = 1; - goto LAB49; - -LAB50: t172 = (t0 + 2168U); - t173 = *((char **)t172); - memset(t174, 0, 8); - t172 = (t173 + 4); - t175 = *((unsigned int *)t172); - t176 = (~(t175)); - t177 = *((unsigned int *)t173); - t178 = (t177 & t176); - t179 = (t178 & 1U); - if (t179 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t172) != 0) - goto LAB55; - -LAB56: t181 = (t174 + 4); - t182 = *((unsigned int *)t174); - t183 = (!(t182)); - t184 = *((unsigned int *)t181); - t185 = (t183 || t184); - if (t185 > 0) - goto LAB57; - -LAB58: memcpy(t197, t174, 8); - -LAB59: memset(t225, 0, 8); - t226 = (t197 + 4); - t227 = *((unsigned int *)t226); - t228 = (~(t227)); - t229 = *((unsigned int *)t197); - t230 = (t229 & t228); - t231 = (t230 & 1U); - if (t231 != 0) - goto LAB67; - -LAB68: if (*((unsigned int *)t226) != 0) - goto LAB69; - -LAB70: t234 = *((unsigned int *)t160); - t235 = *((unsigned int *)t225); - t236 = (t234 & t235); - *((unsigned int *)t233) = t236; - t237 = (t160 + 4); - t238 = (t225 + 4); - t239 = (t233 + 4); - t240 = *((unsigned int *)t237); - t241 = *((unsigned int *)t238); - t242 = (t240 | t241); - *((unsigned int *)t239) = t242; - t243 = *((unsigned int *)t239); - t244 = (t243 != 0); - if (t244 == 1) - goto LAB71; - -LAB72: -LAB73: goto LAB52; - -LAB53: *((unsigned int *)t174) = 1; - goto LAB56; - -LAB55: t180 = (t174 + 4); - *((unsigned int *)t174) = 1; - *((unsigned int *)t180) = 1; - goto LAB56; - -LAB57: t186 = (t0 + 4008); - t187 = (t186 + 56U); - t188 = *((char **)t187); - memset(t189, 0, 8); - t190 = (t188 + 4); - t191 = *((unsigned int *)t190); - t192 = (~(t191)); - t193 = *((unsigned int *)t188); - t194 = (t193 & t192); - t195 = (t194 & 1U); - if (t195 != 0) - goto LAB60; - -LAB61: if (*((unsigned int *)t190) != 0) - goto LAB62; - -LAB63: t198 = *((unsigned int *)t174); - t199 = *((unsigned int *)t189); - t200 = (t198 | t199); - *((unsigned int *)t197) = t200; - t201 = (t174 + 4); - t202 = (t189 + 4); - t203 = (t197 + 4); - t204 = *((unsigned int *)t201); - t205 = *((unsigned int *)t202); - t206 = (t204 | t205); - *((unsigned int *)t203) = t206; - t207 = *((unsigned int *)t203); - t208 = (t207 != 0); - if (t208 == 1) - goto LAB64; - -LAB65: -LAB66: goto LAB59; - -LAB60: *((unsigned int *)t189) = 1; - goto LAB63; - -LAB62: t196 = (t189 + 4); - *((unsigned int *)t189) = 1; - *((unsigned int *)t196) = 1; - goto LAB63; - -LAB64: t209 = *((unsigned int *)t197); - t210 = *((unsigned int *)t203); - *((unsigned int *)t197) = (t209 | t210); - t211 = (t174 + 4); - t212 = (t189 + 4); - t213 = *((unsigned int *)t211); - t214 = (~(t213)); - t215 = *((unsigned int *)t174); - t216 = (t215 & t214); - t217 = *((unsigned int *)t212); - t218 = (~(t217)); - t219 = *((unsigned int *)t189); - t220 = (t219 & t218); - t221 = (~(t216)); - t222 = (~(t220)); - t223 = *((unsigned int *)t203); - *((unsigned int *)t203) = (t223 & t221); - t224 = *((unsigned int *)t203); - *((unsigned int *)t203) = (t224 & t222); - goto LAB66; - -LAB67: *((unsigned int *)t225) = 1; - goto LAB70; - -LAB69: t232 = (t225 + 4); - *((unsigned int *)t225) = 1; - *((unsigned int *)t232) = 1; - goto LAB70; - -LAB71: t245 = *((unsigned int *)t233); - t246 = *((unsigned int *)t239); - *((unsigned int *)t233) = (t245 | t246); - t247 = (t160 + 4); - t248 = (t225 + 4); - t249 = *((unsigned int *)t160); - t250 = (~(t249)); - t251 = *((unsigned int *)t247); - t252 = (~(t251)); - t253 = *((unsigned int *)t225); - t254 = (~(t253)); - t255 = *((unsigned int *)t248); - t256 = (~(t255)); - t257 = (t250 & t252); - t258 = (t254 & t256); - t259 = (~(t257)); - t260 = (~(t258)); - t261 = *((unsigned int *)t239); - *((unsigned int *)t239) = (t261 & t259); - t262 = *((unsigned int *)t239); - *((unsigned int *)t239) = (t262 & t260); - t263 = *((unsigned int *)t233); - *((unsigned int *)t233) = (t263 & t259); - t264 = *((unsigned int *)t233); - *((unsigned int *)t233) = (t264 & t260); - goto LAB73; - -} - -static void NetDecl_24_3(char *t0) -{ - char t4[8]; - char t19[8]; - char t27[8]; - char t55[8]; - char t70[8]; - char t77[8]; - char t105[8]; - char t121[8]; - char t129[8]; - char *t1; - char *t2; - char *t3; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - char *t16; - char *t17; - char *t18; - char *t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - char *t26; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - char *t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - char *t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - char *t68; - char *t69; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - char *t76; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - char *t112; - char *t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - char *t118; - char *t119; - char *t120; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t130; - unsigned int t131; - unsigned int t132; - char *t133; - char *t134; - char *t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t157; - char *t158; - char *t159; - char *t160; - char *t161; - unsigned int t162; - unsigned int t163; - char *t164; - unsigned int t165; - unsigned int t166; - char *t167; - unsigned int t168; - unsigned int t169; - char *t170; - -LAB0: t1 = (t0 + 6152U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(24, ng0); - t2 = (t0 + 2328U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t3); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t2) != 0) - goto LAB6; - -LAB7: t11 = (t4 + 4); - t12 = *((unsigned int *)t4); - t13 = (!(t12)); - t14 = *((unsigned int *)t11); - t15 = (t13 || t14); - if (t15 > 0) - goto LAB8; - -LAB9: memcpy(t27, t4, 8); - -LAB10: memset(t55, 0, 8); - t56 = (t27 + 4); - t57 = *((unsigned int *)t56); - t58 = (~(t57)); - t59 = *((unsigned int *)t27); - t60 = (t59 & t58); - t61 = (t60 & 1U); - if (t61 != 0) - goto LAB18; - -LAB19: if (*((unsigned int *)t56) != 0) - goto LAB20; - -LAB21: t63 = (t55 + 4); - t64 = *((unsigned int *)t55); - t65 = (!(t64)); - t66 = *((unsigned int *)t63); - t67 = (t65 || t66); - if (t67 > 0) - goto LAB22; - -LAB23: memcpy(t77, t55, 8); - -LAB24: memset(t105, 0, 8); - t106 = (t77 + 4); - t107 = *((unsigned int *)t106); - t108 = (~(t107)); - t109 = *((unsigned int *)t77); - t110 = (t109 & t108); - t111 = (t110 & 1U); - if (t111 != 0) - goto LAB32; - -LAB33: if (*((unsigned int *)t106) != 0) - goto LAB34; - -LAB35: t113 = (t105 + 4); - t114 = *((unsigned int *)t105); - t115 = (!(t114)); - t116 = *((unsigned int *)t113); - t117 = (t115 || t116); - if (t117 > 0) - goto LAB36; - -LAB37: memcpy(t129, t105, 8); - -LAB38: t157 = (t0 + 7784); - t158 = (t157 + 56U); - t159 = *((char **)t158); - t160 = (t159 + 56U); - t161 = *((char **)t160); - memset(t161, 0, 8); - t162 = 1U; - t163 = t162; - t164 = (t129 + 4); - t165 = *((unsigned int *)t129); - t162 = (t162 & t165); - t166 = *((unsigned int *)t164); - t163 = (t163 & t166); - t167 = (t161 + 4); - t168 = *((unsigned int *)t161); - *((unsigned int *)t161) = (t168 | t162); - t169 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t169 | t163); - xsi_driver_vfirst_trans(t157, 0, 0U); - t170 = (t0 + 7512); - *((int *)t170) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t10 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t10) = 1; - goto LAB7; - -LAB8: t16 = (t0 + 4168); - t17 = (t16 + 56U); - t18 = *((char **)t17); - memset(t19, 0, 8); - t20 = (t18 + 4); - t21 = *((unsigned int *)t20); - t22 = (~(t21)); - t23 = *((unsigned int *)t18); - t24 = (t23 & t22); - t25 = (t24 & 1U); - if (t25 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t20) != 0) - goto LAB13; - -LAB14: t28 = *((unsigned int *)t4); - t29 = *((unsigned int *)t19); - t30 = (t28 | t29); - *((unsigned int *)t27) = t30; - t31 = (t4 + 4); - t32 = (t19 + 4); - t33 = (t27 + 4); - t34 = *((unsigned int *)t31); - t35 = *((unsigned int *)t32); - t36 = (t34 | t35); - *((unsigned int *)t33) = t36; - t37 = *((unsigned int *)t33); - t38 = (t37 != 0); - if (t38 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t19) = 1; - goto LAB14; - -LAB13: t26 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t26) = 1; - goto LAB14; - -LAB15: t39 = *((unsigned int *)t27); - t40 = *((unsigned int *)t33); - *((unsigned int *)t27) = (t39 | t40); - t41 = (t4 + 4); - t42 = (t19 + 4); - t43 = *((unsigned int *)t41); - t44 = (~(t43)); - t45 = *((unsigned int *)t4); - t46 = (t45 & t44); - t47 = *((unsigned int *)t42); - t48 = (~(t47)); - t49 = *((unsigned int *)t19); - t50 = (t49 & t48); - t51 = (~(t46)); - t52 = (~(t50)); - t53 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t53 & t51); - t54 = *((unsigned int *)t33); - *((unsigned int *)t33) = (t54 & t52); - goto LAB17; - -LAB18: *((unsigned int *)t55) = 1; - goto LAB21; - -LAB20: t62 = (t55 + 4); - *((unsigned int *)t55) = 1; - *((unsigned int *)t62) = 1; - goto LAB21; - -LAB22: t68 = (t0 + 2488U); - t69 = *((char **)t68); - memset(t70, 0, 8); - t68 = (t69 + 4); - t71 = *((unsigned int *)t68); - t72 = (~(t71)); - t73 = *((unsigned int *)t69); - t74 = (t73 & t72); - t75 = (t74 & 1U); - if (t75 != 0) - goto LAB25; - -LAB26: if (*((unsigned int *)t68) != 0) - goto LAB27; - -LAB28: t78 = *((unsigned int *)t55); - t79 = *((unsigned int *)t70); - t80 = (t78 | t79); - *((unsigned int *)t77) = t80; - t81 = (t55 + 4); - t82 = (t70 + 4); - t83 = (t77 + 4); - t84 = *((unsigned int *)t81); - t85 = *((unsigned int *)t82); - t86 = (t84 | t85); - *((unsigned int *)t83) = t86; - t87 = *((unsigned int *)t83); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB29; - -LAB30: -LAB31: goto LAB24; - -LAB25: *((unsigned int *)t70) = 1; - goto LAB28; - -LAB27: t76 = (t70 + 4); - *((unsigned int *)t70) = 1; - *((unsigned int *)t76) = 1; - goto LAB28; - -LAB29: t89 = *((unsigned int *)t77); - t90 = *((unsigned int *)t83); - *((unsigned int *)t77) = (t89 | t90); - t91 = (t55 + 4); - t92 = (t70 + 4); - t93 = *((unsigned int *)t91); - t94 = (~(t93)); - t95 = *((unsigned int *)t55); - t96 = (t95 & t94); - t97 = *((unsigned int *)t92); - t98 = (~(t97)); - t99 = *((unsigned int *)t70); - t100 = (t99 & t98); - t101 = (~(t96)); - t102 = (~(t100)); - t103 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t103 & t101); - t104 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t104 & t102); - goto LAB31; - -LAB32: *((unsigned int *)t105) = 1; - goto LAB35; - -LAB34: t112 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t112) = 1; - goto LAB35; - -LAB36: t118 = (t0 + 4328); - t119 = (t118 + 56U); - t120 = *((char **)t119); - memset(t121, 0, 8); - t122 = (t120 + 4); - t123 = *((unsigned int *)t122); - t124 = (~(t123)); - t125 = *((unsigned int *)t120); - t126 = (t125 & t124); - t127 = (t126 & 1U); - if (t127 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t122) != 0) - goto LAB41; - -LAB42: t130 = *((unsigned int *)t105); - t131 = *((unsigned int *)t121); - t132 = (t130 | t131); - *((unsigned int *)t129) = t132; - t133 = (t105 + 4); - t134 = (t121 + 4); - t135 = (t129 + 4); - t136 = *((unsigned int *)t133); - t137 = *((unsigned int *)t134); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = *((unsigned int *)t135); - t140 = (t139 != 0); - if (t140 == 1) - goto LAB43; - -LAB44: -LAB45: goto LAB38; - -LAB39: *((unsigned int *)t121) = 1; - goto LAB42; - -LAB41: t128 = (t121 + 4); - *((unsigned int *)t121) = 1; - *((unsigned int *)t128) = 1; - goto LAB42; - -LAB43: t141 = *((unsigned int *)t129); - t142 = *((unsigned int *)t135); - *((unsigned int *)t129) = (t141 | t142); - t143 = (t105 + 4); - t144 = (t121 + 4); - t145 = *((unsigned int *)t143); - t146 = (~(t145)); - t147 = *((unsigned int *)t105); - t148 = (t147 & t146); - t149 = *((unsigned int *)t144); - t150 = (~(t149)); - t151 = *((unsigned int *)t121); - t152 = (t151 & t150); - t153 = (~(t148)); - t154 = (~(t152)); - t155 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t155 & t153); - t156 = *((unsigned int *)t135); - *((unsigned int *)t135) = (t156 & t154); - goto LAB45; - -} - -static void Cont_25_4(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t36[8]; - char t43[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - char *t92; - char *t93; - char *t94; - char *t95; - char *t96; - unsigned int t97; - unsigned int t98; - char *t99; - unsigned int t100; - unsigned int t101; - char *t102; - unsigned int t103; - unsigned int t104; - char *t105; - -LAB0: t1 = (t0 + 6400U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(25, ng0); - t2 = (t0 + 1208U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t43, t22, 8); - -LAB16: memset(t3, 0, 8); - t75 = (t43 + 4); - t76 = *((unsigned int *)t75); - t77 = (~(t76)); - t78 = *((unsigned int *)t43); - t79 = (t78 & t77); - t80 = (t79 & 1U); - if (t80 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t75) == 0) - goto LAB24; - -LAB26: t81 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t81) = 1; - -LAB27: t82 = (t3 + 4); - t83 = (t43 + 4); - t84 = *((unsigned int *)t43); - t85 = (~(t84)); - *((unsigned int *)t3) = t85; - *((unsigned int *)t82) = 0; - if (*((unsigned int *)t83) != 0) - goto LAB29; - -LAB28: t90 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t90 & 1U); - t91 = *((unsigned int *)t82); - *((unsigned int *)t82) = (t91 & 1U); - t92 = (t0 + 7848); - t93 = (t92 + 56U); - t94 = *((char **)t93); - t95 = (t94 + 56U); - t96 = *((char **)t95); - memset(t96, 0, 8); - t97 = 1U; - t98 = t97; - t99 = (t3 + 4); - t100 = *((unsigned int *)t3); - t97 = (t97 & t100); - t101 = *((unsigned int *)t99); - t98 = (t98 & t101); - t102 = (t96 + 4); - t103 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t103 | t97); - t104 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t104 | t98); - xsi_driver_vfirst_trans(t92, 0, 0); - t105 = (t0 + 7528); - *((int *)t105) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 2968U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t34) != 0) - goto LAB19; - -LAB20: t44 = *((unsigned int *)t22); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t22 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB19: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB20; - -LAB21: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t22 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t22); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB23; - -LAB24: *((unsigned int *)t3) = 1; - goto LAB27; - -LAB29: t86 = *((unsigned int *)t3); - t87 = *((unsigned int *)t83); - *((unsigned int *)t3) = (t86 | t87); - t88 = *((unsigned int *)t82); - t89 = *((unsigned int *)t83); - *((unsigned int *)t82) = (t88 | t89); - goto LAB28; - -} - -static void Always_26_5(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - -LAB0: t1 = (t0 + 6648U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(26, ng0); - t2 = (t0 + 7544); - *((int *)t2) = 1; - t3 = (t0 + 6680); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(26, ng0); - -LAB5: xsi_set_current_line(27, ng0); - t5 = (t0 + 1688U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(33, ng0); - -LAB16: xsi_set_current_line(34, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB17; - -LAB18: -LAB19: xsi_set_current_line(35, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB20; - -LAB21: -LAB22: xsi_set_current_line(36, ng0); - t2 = (t0 + 2168U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB23; - -LAB24: -LAB25: xsi_set_current_line(37, ng0); - t2 = (t0 + 2328U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB26; - -LAB27: -LAB28: xsi_set_current_line(38, ng0); - t2 = (t0 + 2488U); - t3 = *((char **)t2); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 != 0); - if (t11 > 0) - goto LAB29; - -LAB30: -LAB31: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(27, ng0); - -LAB15: xsi_set_current_line(28, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 3688); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - xsi_set_current_line(29, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(30, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(31, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(32, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB14; - -LAB17: xsi_set_current_line(34, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 3688); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB19; - -LAB20: xsi_set_current_line(35, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 3848); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB22; - -LAB23: xsi_set_current_line(36, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 4008); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB25; - -LAB26: xsi_set_current_line(37, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 4168); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB28; - -LAB29: xsi_set_current_line(38, ng0); - t5 = ((char*)((ng2))); - t6 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t6, t5, 0, 0, 1, 0LL); - goto LAB31; - -} - -static void Cont_44_6(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t37[8]; - char t45[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - char *t36; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - int t69; - int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - char *t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - char *t83; - char *t84; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t94; - char *t95; - char *t96; - char *t97; - char *t98; - unsigned int t99; - unsigned int t100; - char *t101; - unsigned int t102; - unsigned int t103; - char *t104; - unsigned int t105; - unsigned int t106; - char *t107; - -LAB0: t1 = (t0 + 6896U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(44, ng0); - t2 = (t0 + 1208U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t45, t22, 8); - -LAB16: memset(t3, 0, 8); - t77 = (t45 + 4); - t78 = *((unsigned int *)t77); - t79 = (~(t78)); - t80 = *((unsigned int *)t45); - t81 = (t80 & t79); - t82 = (t81 & 1U); - if (t82 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t77) == 0) - goto LAB24; - -LAB26: t83 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t83) = 1; - -LAB27: t84 = (t3 + 4); - t85 = (t45 + 4); - t86 = *((unsigned int *)t45); - t87 = (~(t86)); - *((unsigned int *)t3) = t87; - *((unsigned int *)t84) = 0; - if (*((unsigned int *)t85) != 0) - goto LAB29; - -LAB28: t92 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t92 & 1U); - t93 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t93 & 1U); - t94 = (t0 + 7912); - t95 = (t94 + 56U); - t96 = *((char **)t95); - t97 = (t96 + 56U); - t98 = *((char **)t97); - memset(t98, 0, 8); - t99 = 1U; - t100 = t99; - t101 = (t3 + 4); - t102 = *((unsigned int *)t3); - t99 = (t99 & t102); - t103 = *((unsigned int *)t101); - t100 = (t100 & t103); - t104 = (t98 + 4); - t105 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t105 | t99); - t106 = *((unsigned int *)t104); - *((unsigned int *)t104) = (t106 | t100); - xsi_driver_vfirst_trans(t94, 0, 0); - t107 = (t0 + 7560); - *((int *)t107) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 4488); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memset(t37, 0, 8); - t38 = (t36 + 4); - t39 = *((unsigned int *)t38); - t40 = (~(t39)); - t41 = *((unsigned int *)t36); - t42 = (t41 & t40); - t43 = (t42 & 1U); - if (t43 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t38) != 0) - goto LAB19; - -LAB20: t46 = *((unsigned int *)t22); - t47 = *((unsigned int *)t37); - t48 = (t46 & t47); - *((unsigned int *)t45) = t48; - t49 = (t22 + 4); - t50 = (t37 + 4); - t51 = (t45 + 4); - t52 = *((unsigned int *)t49); - t53 = *((unsigned int *)t50); - t54 = (t52 | t53); - *((unsigned int *)t51) = t54; - t55 = *((unsigned int *)t51); - t56 = (t55 != 0); - if (t56 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t37) = 1; - goto LAB20; - -LAB19: t44 = (t37 + 4); - *((unsigned int *)t37) = 1; - *((unsigned int *)t44) = 1; - goto LAB20; - -LAB21: t57 = *((unsigned int *)t45); - t58 = *((unsigned int *)t51); - *((unsigned int *)t45) = (t57 | t58); - t59 = (t22 + 4); - t60 = (t37 + 4); - t61 = *((unsigned int *)t22); - t62 = (~(t61)); - t63 = *((unsigned int *)t59); - t64 = (~(t63)); - t65 = *((unsigned int *)t37); - t66 = (~(t65)); - t67 = *((unsigned int *)t60); - t68 = (~(t67)); - t69 = (t62 & t64); - t70 = (t66 & t68); - t71 = (~(t69)); - t72 = (~(t70)); - t73 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t73 & t71); - t74 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t74 & t72); - t75 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t75 & t71); - t76 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t76 & t72); - goto LAB23; - -LAB24: *((unsigned int *)t3) = 1; - goto LAB27; - -LAB29: t88 = *((unsigned int *)t3); - t89 = *((unsigned int *)t85); - *((unsigned int *)t3) = (t88 | t89); - t90 = *((unsigned int *)t84); - t91 = *((unsigned int *)t85); - *((unsigned int *)t84) = (t90 | t91); - goto LAB28; - -} - -static void Always_45_7(char *t0) -{ - char t4[8]; - char t31[8]; - char t35[8]; - char t42[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - char *t41; - unsigned int t43; - unsigned int t44; - unsigned int t45; - char *t46; - char *t47; - char *t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - int t66; - int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - char *t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t81; - -LAB0: t1 = (t0 + 7144U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(45, ng0); - t2 = (t0 + 7576); - *((int *)t2) = 1; - t3 = (t0 + 7176); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(45, ng0); - -LAB5: xsi_set_current_line(46, ng0); - t5 = (t0 + 1688U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(49, ng0); - t2 = (t0 + 2808U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB16; - -LAB17: if (*((unsigned int *)t2) != 0) - goto LAB18; - -LAB19: t6 = (t4 + 4); - t15 = *((unsigned int *)t4); - t16 = *((unsigned int *)t6); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB20; - -LAB21: memcpy(t42, t4, 8); - -LAB22: t74 = (t42 + 4); - t75 = *((unsigned int *)t74); - t76 = (~(t75)); - t77 = *((unsigned int *)t42); - t78 = (t77 & t76); - t79 = (t78 != 0); - if (t79 > 0) - goto LAB36; - -LAB37: -LAB38: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(46, ng0); - -LAB15: xsi_set_current_line(47, ng0); - t29 = ((char*)((ng2))); - t30 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - xsi_set_current_line(48, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB14; - -LAB16: *((unsigned int *)t4) = 1; - goto LAB19; - -LAB18: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - goto LAB19; - -LAB20: t12 = (t0 + 2968U); - t13 = *((char **)t12); - memset(t31, 0, 8); - t12 = (t13 + 4); - t18 = *((unsigned int *)t12); - t19 = (~(t18)); - t20 = *((unsigned int *)t13); - t21 = (t20 & t19); - t22 = (t21 & 1U); - if (t22 != 0) - goto LAB26; - -LAB24: if (*((unsigned int *)t12) == 0) - goto LAB23; - -LAB25: t14 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t14) = 1; - -LAB26: t23 = (t31 + 4); - t29 = (t13 + 4); - t24 = *((unsigned int *)t13); - t25 = (~(t24)); - *((unsigned int *)t31) = t25; - *((unsigned int *)t23) = 0; - if (*((unsigned int *)t29) != 0) - goto LAB28; - -LAB27: t33 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t33 & 1U); - t34 = *((unsigned int *)t23); - *((unsigned int *)t23) = (t34 & 1U); - memset(t35, 0, 8); - t30 = (t31 + 4); - t36 = *((unsigned int *)t30); - t37 = (~(t36)); - t38 = *((unsigned int *)t31); - t39 = (t38 & t37); - t40 = (t39 & 1U); - if (t40 != 0) - goto LAB29; - -LAB30: if (*((unsigned int *)t30) != 0) - goto LAB31; - -LAB32: t43 = *((unsigned int *)t4); - t44 = *((unsigned int *)t35); - t45 = (t43 & t44); - *((unsigned int *)t42) = t45; - t46 = (t4 + 4); - t47 = (t35 + 4); - t48 = (t42 + 4); - t49 = *((unsigned int *)t46); - t50 = *((unsigned int *)t47); - t51 = (t49 | t50); - *((unsigned int *)t48) = t51; - t52 = *((unsigned int *)t48); - t53 = (t52 != 0); - if (t53 == 1) - goto LAB33; - -LAB34: -LAB35: goto LAB22; - -LAB23: *((unsigned int *)t31) = 1; - goto LAB26; - -LAB28: t26 = *((unsigned int *)t31); - t27 = *((unsigned int *)t29); - *((unsigned int *)t31) = (t26 | t27); - t28 = *((unsigned int *)t23); - t32 = *((unsigned int *)t29); - *((unsigned int *)t23) = (t28 | t32); - goto LAB27; - -LAB29: *((unsigned int *)t35) = 1; - goto LAB32; - -LAB31: t41 = (t35 + 4); - *((unsigned int *)t35) = 1; - *((unsigned int *)t41) = 1; - goto LAB32; - -LAB33: t54 = *((unsigned int *)t42); - t55 = *((unsigned int *)t48); - *((unsigned int *)t42) = (t54 | t55); - t56 = (t4 + 4); - t57 = (t35 + 4); - t58 = *((unsigned int *)t4); - t59 = (~(t58)); - t60 = *((unsigned int *)t56); - t61 = (~(t60)); - t62 = *((unsigned int *)t35); - t63 = (~(t62)); - t64 = *((unsigned int *)t57); - t65 = (~(t64)); - t66 = (t59 & t61); - t67 = (t63 & t65); - t68 = (~(t66)); - t69 = (~(t67)); - t70 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t70 & t68); - t71 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t71 & t69); - t72 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t72 & t68); - t73 = *((unsigned int *)t42); - *((unsigned int *)t42) = (t73 & t69); - goto LAB35; - -LAB36: xsi_set_current_line(49, ng0); - -LAB39: xsi_set_current_line(50, ng0); - t80 = (t0 + 2648U); - t81 = *((char **)t80); - t80 = (t0 + 3368); - xsi_vlogvar_wait_assign_value(t80, t81, 0, 0, 1, 0LL); - xsi_set_current_line(51, ng0); - t2 = (t0 + 2648U); - t3 = *((char **)t2); - t2 = (t0 + 4488); - xsi_vlogvar_wait_assign_value(t2, t3, 0, 0, 1, 0LL); - goto LAB38; - -} - - -extern void work_m_00000000002613258380_2132107520_init() -{ - static char *pe[] = {(void *)Always_15_0,(void *)Cont_16_1,(void *)NetDecl_21_2,(void *)NetDecl_24_3,(void *)Cont_25_4,(void *)Always_26_5,(void *)Cont_44_6,(void *)Always_45_7}; - xsi_register_didat("work_m_00000000002613258380_2132107520", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.didat deleted file mode 100644 index b6b412a2a705bf509ac42f547a4ae1cf548f749a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5144 zcmeI0O>7%Q6vtnILV!X`0Vz;E%ZCuq*k0R71PJ2Tg(6UaID7~pt(@3Vqb5$U-G=tW zp+MwGiQ z|K{yxle^8H*kksNuqu2md@F1SKMT9=FdG$+s@mP;lwwXN;w~xQVN>>ddqbSP)9ia; zBf{uidX{iR<3BHy#Ixr%v$XW2a8|kJgsN~_K=3&v+xXpc(FnD3f(=xLK&vEyc7E4J z-_!&Q;(gei zICR~-LKxd8!XO;1yH5w?U@v^uCkOYwxUdht6#AJkr|(M_etO z4!z;MmbgxSINaHkjCboe?k7Gto227@HQQ%o+)sRbozq7w;?A&SJa+>m?x)>pobYpp zK$7vD#z}mqI*`vh8%gqzgE+ryZ^zSVzT~*PBu_vd^%DaxV7}~Gz#Q?p-*CKpY4dZB zoaA1YYg>ueouH`**m2=5gfL$8R0Qn!dm~;q`lcda$K#j>(v2^^?ueg?fF0+f{lENk zE3hxx5Zsfvld`6{3v!R+4u+rq2ksN@7akBE6dn>D7WNB|2#*Sn36BfhmAL1O2`M2h zWCTyh3df%;Tk)CHo6TCInL1gi*N&!2EAz*eYxPz$_0nSN(6PDH+m*)Zf%3uB{OVFQ z^aoSX!%`X!@DFpSI#kz2#@d{fr&1wMw=5CEv^V z-d~NJpABQYyq|NK-ngF+y}6t}?!39YKXEN(Q9--NVsmjh)$$jbb3Ud&S35FR`=B<4 ndu^q0G_~xf+v1I9vR-~NJDJv0vR)=Vk@wPL)x~OspPKe38`3gD diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000002613258380_2132107520.nt64.obj deleted file mode 100644 index d53c4b47f85b97b9a0d6c24c662bc33facd8307c..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 8718 zcmd^EeQX@X72mTD+h-G>36MBI;f$}5gBpLucANm^7S6Cqk8(6gNlReuBp2t3Sx)IMChK9S%E^HJe^G2vZObhx(H&G1Rkn#?t= zDIqBuQqsq3l+3w=QZjR*=KmZqJJ<{DZUg$k5d1#)VF1diFpRqfi z&#%zEL4}dz%#@s&k~33sW=f9tL7Lt_Lc2Yvz2YDEIlX5h!S<|&IA>)qUm%kVwzIjp z!}>fWv(uX`21#NS+F`$TC!q8~4=YZOdGzAks8;0HG~j5L4d_jAS^DErAg!IUC^njY z*Q1YO#sRdH~i)qH?kTYAv1-uAS2{2pC5#=N%{Gd#5Bd^4|E4|DUW~DMzBAtIPG02o{%_ugo9GguJp|qP>!8F$QP{ScXI%zccKUn9o-_{vfgCsAq^l z$R*bJ!@d$$Ugl?W<+8J?UX`mVsw#}jz{m0omr)wQnAH00T5^-w*oMuIFp4Wl&c8)0 zmzW%8VHh?abBss+na|5>D>Ko&iTj5J=?C|Y#hHoWE2w$E1Y_XCaQEt3h zy-8jt-*iwL_76}jauc~UER04`=`cK7r2WaS{T?g9^sJO(tTcB>EAeY@l7x`GihAc} zE+(vaOwoMW?_W9;~h;_k!{H?=V4 zEOcV#KVg02Lz9QYA!eZ*H;>m%9uAkuuo;!*=JA-x!{JmJHly;~Jam(X!|gI`MrFEr zEJXf5-q=i40+L5E7*+J!f@>AMiw-N~lAi^jX$7ZP@)}1i0MBrrD1^Y-!tQw*B^CLo zBbTsC((ifnZ0>FCvsB0U3M-NDDW)0IHO@p5q9rqD?BQ`yL}h@YFcOWCXpBTlk8DO# zNp{XQp)Aw#sjzvD;Hr%1@2`lx=%RL#+QJ%6kx|AU|g9 z?MtK%v9}48M1jPiUEiv(ueB=TG;d=P9Vtx0!&eaYUFj7C$}_}6C@Y)Z4L_j#2m|-rco1smr?v4h z@~3%V8*_v`_?c~7LOycRv2C19XvT)k@`gKOn8{vqLt*_u?vRY4U7{KLU2Tks5`w4j@t0{zy{pC?-yin*m{eAvzbIq7 zWrbCva#cZ<$9M!2QA*5}eHF$Lzz+A3=&*LX4>14V?z8VS-DmTE)qSjb_J7fR`j0_Bi3NNSTWE{hOxlp-3?D;Y74X9*;SffgWnx>!t9W>WQm z;4W~YOy7f^Pb0)+*7upL@8_&B*yj6?#rH>?FOiUaqIQ+f6X9fb1t_6N(qgK(DC})^ zX9B~;EF_X6nX%2>mt>@(5K<8di>a%FfiOb`qJ%UzebnQt2>X!G_>IYxkk(or)jvWC zM@|wNfY6fhYecf8FjrM;JVg}7c2!_8%-Bn-qD_^9mQfCpBhWjIQZjpjyUU3kr=r1(LTyYsIIFkWLB6Pm8&HmZmY;ihD;2gb-s}fz7f2+cd=6J zf=XGkOFP(4xrK=|+D4GR9VF}U!h>WLVG+xmidY^4C*nGao*K;@LqD1O z^O#56U*cTFc+Q>biC2j`)e{Fy?!--*ZKl{-C|D=alTAgYAilQ(!}<0Q38Bq1MF3Ss z&3_cyiWS<-GzdO5af9GfQ-HS6KSK7Rh$lVaPck0mfg%v@Soxlal|g<-8wh@c(bT4o zcp624JBEJxpjl+Bqo5ItNfZ(B#9+1&f4*QqR^fZO)Z7ap+> zk#hh{l`2nJwE4A9!PNK;g|65MIEZg-mas1U8OwO-_=^y~{0;=4#As@4CyK{%s)qH? zb4O(DFR7)tQiLLz@ADxMQ+k;-mNm$F!-~ufjK8R@ta3%>+upE#VVm|&YLQV!wX0xC zVvG+fnf-je`8n-s{xNL)(Kg=#DwlJk;c5?xRdz-0ZMk~6?~~l2s&eC(!j7akzL(?N zj#FfR<1i?vC`h|-@w3za9=Zim%(>klMQFz8Yshrz->=;TQMh@20Dw+_cH05&14cLi z$*VBX6g`528;jQi!-t2aH2MipIoH1fm}QMFzO@=-pYq+cbN$@H?+94fZRpj)&|}=t zs60rRldaqUD=&?pUWi7puh6vitu_{teXUQ7uPFH99Ccgvsc9|yK3UfKLR4ys?Y?0c ze?7HLKlq2ZSU|&cFRh#h?YfVW3hdE3O6;pT-}!X+Qo2Htd(8TitzUZ#Q>MR{lB>{B zLJmUAL-r|kKh34Y|3e}n--pb5DLaOYt+3NAf&Aa#9q->Ay}ye=mvoHEQ%m%MG%)- z7T@Tpoh^R)l6IrEqjrhtq&~AJ4NTSIiTIYfq`E1wUL{anAKP3T>x%A)X;{+O+`6Q-UTp-_P`|XfvA%X=96xngylIX);~Yu4YI)t7M65Sax1*ywc1vA& z>xR^pSa&i}cTGI0q}JEn(9zqocvV~7hMumCbyu&tvUZzT0Y{jGIFP(#FB3Wk5UIy2 z(I@b^9IC>TuH9a#*T(e&I*;R?w{b7qxb0AppnhgUO}KmrewP9w?%)TAR`g1Dqka)H z-T)-1jj)55Ap;WB+ict&Hm>{(i+Y(2-E2d*CZJh` zlG@!#!blaf6i0LZZqN7Sf$)=> z^EZ34K%wWcNh8GTkONEWNzxl9VLmzubEd;;VitX$v08x?#oLUDvvz}|&Mw8O@$Pt1 zQsu7g9k(Xbre<}i{nFT?Hrp=^&1$`*UbVG5sWvsKEhgC5tTsvNS7XU=Y(tmY*rYCT zyfvte=CFnqwLwzV_?8}3?MuYf-dJZmk&N}K;!K!|g2QD)Dj7>SrzK)ZbwjGR7YBga z74MEYY1_KCc5dtFRkwG)-T!S|D# -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; -static unsigned int ng1[] = {1U, 0U}; -static unsigned int ng2[] = {0U, 0U}; - - - -static void NetDecl_16_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - char *t16; - -LAB0: t1 = (t0 + 6960U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1960U); - t3 = *((char **)t2); - t2 = (t0 + 8648); - t4 = (t2 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t3 + 4); - t11 = *((unsigned int *)t3); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t2, 0, 0U); - t16 = (t0 + 8520); - *((int *)t16) = 1; - -LAB1: return; -} - -static void Cont_48_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7208U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 3640); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8712); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8536); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_49_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7456U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8776); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8552); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_50_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7704U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(50, ng0); - t2 = (t0 + 3960); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8840); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8568); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Initial_52_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 7952U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(52, ng0); - -LAB4: xsi_set_current_line(53, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3640); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 7760); - xsi_process_wait(t2, 100000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(56, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3640); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_60_5(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 8200U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(60, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3800); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = (t0 + 8008); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3800); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - goto LAB1; - -} - - -extern void work_m_00000000004134447467_2073120511_init() -{ - static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat deleted file mode 100644 index 296a166b4fb259d96d659e3dfce8868db9b12516..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5500 zcmeH~OKe+36ox0Ig+PH8C=bzsN~w4jzj7U?P(o7MI3{jw`8o;`m4>8s8>`NP#H10b z5DSFD1{H}7yQo5f4N?~oVpXwVfe=e1B34D}0@MwQumTbOf9{Mm9vMGkBtUSalXK?G zobS$z&z-rs^mG4(Pd{Ft>JviTxCMV81?by50=)!Fy{7HzO+swkD#U@?gjl;%h%cc( zLRXNxfcWYjA+A7I_6qR{^b6=FwEr$4_CY=9Dd-H8vW~uX@-4<(cg79fErih#_!qT) zzE6m+px3lsycd1Y8O(nJ`T;n5F~7H9SE0XQ9y^h{4trhCi7}&`oAHe`&!wes?~Gu3 zR2y5OERpOt$}Oh9ORI^uvKXpzXj_S4G#kS{EL+Jj>;v1JE9Jw$sK16m%Q@O@+qY6W zatASL3$jy<-rAy}&u2uTWN&V5syN(#jGR6E(Js#IIcG*(3q$=n&b8>*M@9OOhiw*V z-ER!@m}BP|-;C+SlF#|(q4b5|EIJeBaUHv%%w?vp{q1mW*SV~-$sRa&=v>b2l^!^J zC%G=x+^coY&G0%Cvp;-~$YEwh``g(c9%4Ec*&SgD*-vj4iG+$4(pm-EKAaxj*Wp0A~mE*fu%M>Hm!=fBSc#e^y8J8pN2jjo144`{L8B zL74kKv>V2K4TPZn_|4M|<9>(1x&7277{arF1 zbr`&Rj>@axm3_A>-XXg8;S$#a_FnH&ja2OA?VLa|Ic;B3I7zf)ho^TkvYtB0i zj%l@)CmjawnKv8;&*fH(ryT~rdERpvLv0vG90tF4E<22oHjHN+27kxT9L881M#y3O zolSLzU;?Z`ikSv@oNYZ^-yLH01TSdQQHIt)-(nK+B zMT%)ZZIAHBvM<{0i9%|$)Q~W7vXpLs`srNMBBB{Ukv3MikB zWbGYu!gVxU4Kf+cY-Oo38?MQ-OVg6BoG#CV$}8m%!x!ck&xdDaq%K}`!1KI>H<*yI UNMaxwi^QYR(3#4asTv>j2LO68T>t<8 diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index a35271f8c024e4f31bf4b7771f25a314927aa12a..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2810 zcmbVOO>7%g5S~rkHa!4QXlVI2)RiI-Ydf(M7nS1BAZ?L_tVW1PMS5}UjkCgW%|3wbP2Hl50xy zX?8M&h~u3I?Mb3b zP&#X^J+HUhZOz%Mxm=IS^|)M*%k?y`%yF?xcPcjLlwxx^lszxY9!6%b?A4rmgtwk^ zuCMbhG&k)L{-m|M8nEw7zaBU@QMc#4#ovv8Yh>{Xq#{#nsHx>OgFD7 z?nv{xvVVAHe>NhYu+X`0HXBb7@goS{=;<(V^zj|UN%#fC!;)CrE%8!6;xSwlw^huK zQ9xY7LxYNkc1t|hk2vw@4&vc3@mIKUK>QuzchzdGhxo&e{51#?$f`g8J0SI@KOa=2 z{*0Wc;m^LA49i%K+hWmL5DdkFzNG36U1jr`74yybvPcAyN=~Fwsj1ZDlo(G;O(e$? z>10wZ8Mqq#w8Syuo-srxo{!HN6{FgSCsXQF{N(J*VrG`7cwIM*%1SwInZh|@qaq68!}J3unx+raOK!0*?Zif#FTv_Q{9nr05g-{m3nXKa-s`JELjhuM?zQ51 zOB4-kV*yG4@kuD8E*cdbh~-wBsEk^9-?t0LvM3a#0nbP3ol(?uHJwOgSV!@MA|QO8 z{4{gaAc<<3iHQg40}J1Ao^XWc!8_R@7by}aR;sp0C&YvgQ%A*k2WCW)#H+eJqZcb8IVln(gt1%`qG1`r)XRot>!y&G z*PT{y3l>*x-3o_Ux-E*Urm2G#mzN%1tK-tD7feyGETdc%^+IK}XT4^w6m`oIX9`?Z WcPk~+z?CQJi-u|0!Zr(4i~a*l6DX_z diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c deleted file mode 100644 index 183ac1c..0000000 --- a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.c +++ /dev/null @@ -1,36 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - work_m_00000000002613258380_2132107520_init(); - work_m_00000000001435061139_3156757336_init(); - work_m_00000000004134447467_2073120511_init(); - - - xsi_register_tops("work_m_00000000001435061139_3156757336"); - xsi_register_tops("work_m_00000000004134447467_2073120511"); - - - return xsi_run_simulation(argc, argv); - -} diff --git a/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_fsb_isim_beh.exe.sim/work/t_fsb_isim_beh.exe_main.nt64.obj deleted file mode 100644 index 4bf9e438c6c775eeeade08166856af8bef3bc545..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1328 zcmZ`&ORLjB6h3JqBB=O&ER-&~xS)nSn<|3WjRX`dt`vl^kFL~joJo_Wq&<)`-}&a7nR7B{dan-w*}V$D1&LJ9gg+G$ zr*032ANYM$()cJ2HE2E2dTr58sf~UcTKifjjyDPx6R$`𝔘TbHl}%*?Qb>TkDPe zu6X8W9OeD7q|^7k-Cs>Fd0ek~iKuUR$;-N)eDRWRKWU+t{7(MP&r10A7!7Ig;m;gR z@=~{SUMllum!$dt<1JctP~2)p$Ia-t86M~Der|r+%j|hHe#!!-=VsWJqc;r0y3Q=a zX}FGSSq*@a&NeLDwq3j7GE;Xg!_*zaz&p6s9`Zrhje_6?o5q~+upeEu;UZ>r96uH6 z=ub#=1wB=%0xpD;65T|9N}}87sbm%Ipg$+kUG(QAdVv0jM32y)mZ+0+5u!7a)B1dv zUCO^Xz(C%KigQb2L7Rt*P=Oj$R=SNARFyaAsmGR9>@;jt)GX&RRP3_qCQYHkD6gt= zKU zvIEyn1UzITe70;7ajh{xm@EF diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/ISimEngine-DesignHierarchy1.dbg deleted file mode 100644 index b85bd135cefd1604adc21f875d869ae85f518184..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9079 zcmeI0Ym8iF8OIMou?PzF0)mLAEy%^Tv$L1(E*D{UX4o#B?Jj$1i$srY*~02}cC($f zP!Ui-ydW0Am>5X_kt!&lpr9xyqJAL;J}A)-Mq|7sm>4fH@}>TMv*+NMw@ybBKKOwr zeda&U^Zw5Nyyv{{oSE&uKQG;L|Ncj|{O!Pj1D}tgsBIn4F`q^Etc;?k zQPa9z!~mD3DSHIDe~IrFT;Cz;*>PTNqV5wGI)u=Ks-hGnQRy@$w0)3KA# zd>l#PIp36PdM+ccF?AOw>?1wLoz(fzNAI_hdO1HYE7X|YGc=zi_35F`u7kJHGLqXI zolbU>j%DK+YaNphF!9IJ8`hC>xm$VAy%>Qp@)}b=g~zk0Pmx;xL-}08xRKYG`guHl zoBDK8xAWNtW8^iaegTgcQ=cyCc0QklG4dKyAI9c7)(u8v_wrEJ!y1>T?ry zJ0CyZ`s6jHz7&ruQlF1fxAQp#MxVUK)I0FloBDi=x}A@oHGT3LQ(ue6&8g4FsoVK@ zj6Qkw{jGT1k@|dsx}DG4Vf4vsOno;V52QXfQ@8W+v#(EHW9oV7#up#?&w3 zab)_zyp_70PYp(&yvEc=<8fl@^J(gKK65bov!&u!H0eCA>F$!knq#N+(b z=XUCLKD%M`$!knq#^d7D=X=!IcN1?L;rEemRL71ZLyhTe#N)lG&-YU%EvcHngFU{3 z3^k@V%|UbNflsB(p?uso`s6jHu5-{e>485;nM3*b-K0-mW9sWUXkU8Z(-{^Kl+E~%J&Q5fACuWL z-1!?J`wn`t&yd-3Iy+~`u1eYG(Ck^9ew+{TU7fN&M`O~tX7?uaUBj4j_%*qll=XL( z5wZn(vcDr&kg~5PjgWOe%KnL5Ny;uIjgWP($^Ml*m6WwMLe~BC8uCT*G*Z^ukX5sL z=vp~uGyA?cn)gP?x>mA>W0-v>93x1CtZOB^1U7r_`+LL)S=UPT7}zsW=leR+2-%pP z>@uLUP}yTiBV?D;lRW{*eI)C%#0Xi}O7>(R_mS)}(g<1CN_GX1`$+cnq!F^NmFyWn z?jzYZkVeS5R?_FYfe0oZ%e-tnjrvT|kx z_KT>;`+Lm@S=Uo`81`ON_RXXbvaYA>2H5?mZ1#7Jte=r=zOJY2CfF~bvf1BDp|9&H zy9M^kDeJS!2wB%ib{6(NRA2YM5wfn4>>k+rQCauD5wgxr_D0yRptA0NBV?VM>}{}L zMP=RpM#wrh*}GsLKxJdn2wCSQ`ylLtsO)mm2wCUm+C2gL5GuQZG-TCmE!$A!TS+804B%nu8S)Wx#$a>APtAHLuWzQmwko7ub&jI=tD(kb# z2wAT~_9CEfqq3_=BV@e}*-L;PM`c%&M#y>{vR43o2bJ|%WrVDAlf4?~yQr+sDkEf_ zo9sTICs0|x^Nf&nZnF0PJ&DTtoo9rsbCZ1x=q^;&?>v^g5o)%7eXhtp1#~wm+eOM` znXyah`Rw^A&|1{Kzo`u8V4Xik_7|}J{&&p(7cp9~zl1%TaasM1R_w1}&t+V;SC9zV zCG?!b?_uAG$~qUL75f701&qt~lSV7{FR+7*%Q|nP75fj^GUKx62of2~P~*P27S7in z^%tVDUZat*+5J6=p6n8!b*Sulq|u5!8t5X%WxXFpD|Q)Bm2uhg1&LPd@jx3Gm%V^A zGS;4R_WA34Po^=7+8-c|koEa1yBuf?l^rCFkoCJk_B5c2QP~n{gltUD@53{J#!*?< z#E@09wK#(Jva5kMqOujzXvKB{y^C>K*UxCh_5!_!aoHh3q7{2C&{oD}U27v`-8;^= z4744U9VU%d>@d*#7?*Wl7_Hbbpc><{>jjCBbx%5njX(>itb534#l9P8H{-G+f<(sJ zbMG8O>U$ZDJ*a*6n-Q{d<}A>asI2?VXvNlnu3}tvOps{B?giS*xUBolXvJO!^Z~|Y z-ET%K_9mdK8JC?9BwDez0DX{g*-1g76?;3-HH^zn2@!1q z?+3qUN@o|Qc1|zsEbQGCb*mlZab z`g@Aq-J7e0`t;6vp`OglZQZeE_y1-xJUTeCc+BRR*_qmvg<|)b-oo%iB`HrRh27H& zGqZD-7j`aeD_lOibrv|O&&@BsES@t;r8Y1T)v9G)rhM5rG7!}U=62ObD^abyr~}nX z2gOXST8V~72TGH?4pzp;qf&A0NL1<@;a1ejlLMvo96!eKjltS@Wdd-#axt%-V0hFs z43BQAM9yjPWoTkN8k(GlhUkusSIg0SvTHu6@0gFqs@0@AT3SCue{^Ut8LAFeqf)85 zesuBeMUw+V$z-{yDy?rC8_riF6ZvX!@rpV=~-^!6ql*=Hc@ z6+71^#eU4Er+}tT9Jwc~4S-aRt&(zhI^fov8lfI@#cSq9S+~`Y+&C~7fPm0a+ z?cpA!6E4lw>I`>ql}$FqVlgSE6V5KX*qId58NbxxZ0VGf`Xbb z31mDRrH{6@wXODUtBfENfL%=`VWedZQGeSW{Uf4zJ@ z%-Pqq_g;Igwbx#I?VXw{m)UY{Hk$+g6A7Db1-|^}m*21c=|lFAk zjiaW`xv9ZDxBm7U>#w=hJ^Py5Zo56=zV>=|ee^c>O}Dv&lc&0Gz5TlD&nhe&!~Dt$MZS#N`iA=1NE6%vhcbpI4lCzB ztN!|1Zb!k>2oE^59f$8zhn1^D>i-k}G{f2cVxCQl+qJ~#Kl~=owjukU7Qf1qf4LU> z(2bIhprrnHP2Y#&iQ*=d-qT@^Ovnx$IH+Q=YH zw?9w%P81=#)XLqkL5olG6ounKPf0i)_P9GVJ&+&PEAvCXwt80-wJM9Wv4Nuc+%at( z&)7RQ_@`QZnaE!2+tHIftLzb{d9HMzgKf`9K?nTUhb8FwNhsar5TVlDQjs-&>DRf8XTyHa@)HcuCa{jsM~2ufJXRw|@2GLxb^v z0|T&dP-z5n67iv_wAfgCbYSc*hb@{5bONc_)4f3-I`$HW>!DP-4xDHH(*usI1jNhi ziB$0oU3N}#S3pDvN>;bDMTfM!937-G;+Hr&(p^Hn>Teokd}un%c3B~-eY-KOD3MOp zhnBZx_PD%F8|%Cgg2eTG>6FZ$H*7ecd=~R(6u-!izP&5xag)Ezf?-Z;8oilhlWNkU z|E3QcQJVB-fJ!xezxm69i9}fU=ZD8m$d8_^>3-1Zgrev$(uDpK&oUNoTFqLsI;4_m zA4v#WzBYDpJ}Fz(h-^Za`&URczd_TtYI@-h))b&BShTJvoh6~Lz83j+B0sFJLXi;4 zC+bR4rBwaPQuW=b92HRc=cV#X`{jqF{o_*btIOAh^q0|uR~7VAHh4AS^H3s@AiDgT zk`cgEt$F6P4%;&H@YU7q;n1+YKCENBZv+xkysqWjh?!b*UY)~sKhVayvDMzB>|hIO z{?hQ(3~1)7*C@CP6|d9!6gp z`}V<(0-HU0JjVGULX>xdF&9eS)#hgkJXLFSD zM?7;hy+?Qc2q2!YM@PiE?Q!Q)L^_>|@SD(#!b1<{+035b46wPDJ8wtYxP+_a2c%4+ z@P$7i>*OwmPde=>Fhxt7=BmboTu}2gS>&^o)IvV{O~enb`Mo5qPZS^gd7iDMEpjH( z9smGMM_P|cgP1K|_XjKOHI?QV)7G2wjJ?(Q=_)oJJ&E&OnkYW&ag;NP6W<$z4^qt7 zuLsyRG`E3i@zdPQF6ytTtPh^GgMy4{p6Qz2ZQinsF}*_5&$VOy0g&a==B7mP35@G+ zXgb1$D(_R_cEk_>E_2XNmbIL+=uPRCbo5ATPL<- ztNod@DfCJD|LXa%W9+H<`MjO;(}Q2m&utH25<(a|=I3>!Wz0_%x^CXPM&{?IzekT# z^D|looHajVl6ap9#9H%noQxgw6H;lMpZa9Eb5&Yuey)(lQ}goxv_KS}wJbS5@BZ?r z^KLj5UXXt422=-K)nW%t708AgOj~ z#>1X%LWkYvk_|cR!8DIQq;E2}r(&A1RLYpYS(jrY;l3)o74~T6IYLQ#S*l1CiiCBy zd2`%ubNzLf`B?{t*JsX<_%?GYzCqVtyUE-Y;BBuKU+5_XySr=j)tHO*L?b9uGf%kK zftn26cfK9XM#rTZ`Po|ODDzI8fl};pD$_2V#nzOteJ0J>9F+-!}gFR>Nxg$*0TY9OA2ThKxK03%%NyJyTu2QLbU8)dm|h1ivsN2 zaWan?*cpwI#7<4C!p>`}6m~9<_%`!ge7_1iFHt}V=mT03JJ*cuhn>dm6n2~#S$1FF zMP_r`*J9_X>r&WhzXI6V19c~)SKDIqzqGlUO~gP^(?tggdag>2xYqPwIxIYm-H2`k zRqiW=G&X9zYL9IK!ja9z5jfFhD;2&xp&lI9MCN~i`0Ab^3=4w zEToUrTC*#e>NiKIHY_u;F)@`+qioOT)3UW*?-0*SBfDu1KJFJw&ki*=2(Ld-AoGMC7zscVd^T zeL{Y$EfGCI)AO*!OVsA?icKg;L@*Y&Vy&wg_%`q#W8ax$eN zAe~7_1X9ZB79f{A!a24g=PYp8P}Gb6=dhSig%tb!d`u0-ho&Cmx|*yp1?ie@^Rd$5$3m zns_l%^vs^9Ez;t-{q~$;HgBNue-h=T8N-m8(Ue_kPCp!930ZM`PR0hJiWzB6E8xtKcX&L zq>Kcx4aC|@umeaGf9pqi5O5Q)`I?0;uCDkpY%=6qrMg#D6dLPC_iFU+8tjOA z9r!IlQFd>Aq$Gr)gne67_X6l%m2_`?`HmXj_J|{-Uol@ZCYL0NNBj^|*|H;2AjJ8K z&}*r(MnH9|0H#wWiR}LCt1s8A-5qdkZDOY9YwDQG6L%2^%x= zYxItgK2b0}DXdRQVY~!rC(_5$XmKQ@zh2|(hzw66-381i@jhM#)=}fzCQ2~JHXmb~ zh*tfNaBPVP)_Lm6Kl&t*SQaKvZox1Ei6W3@;kYMJ1{zK&DRzja7eQH0Ou=tgFM8M2 z3%cnF8$aIpYImL z^^h16#UnWYeP)Gb+*7Lg4n&UE#x~543>8V#@MGpd67@xUAkzY_@2?IU=iUm=tZsfU zGG5aSnxvB^B+Z!WPSlo!G3Q}pLXl=%j?qEKeV?=zpX-*U z`@RASrHpvIF`1u-o z+AmgwJFtU+-s}7UM_*N<#X9me-?qpwZS4H{oIiB_3XBP9(GN9WhwJ<8ph4r?m;Nr# z*1R@yjt1fg8xzsJDj;Dhkbnw1$pi5@{gE(`a1s;U(%|P0BLZ5oa8UEnVYCGO^XND@ zD%~UrMbXcmKZAaDADMm%w=?s-Z`t~r8U=)sf4cJ-6au33Es3_c^OuOghIE#3v1X3_ zA?$9Tad2k0c^05(apw{#Y}w*qPKi6CnJLM>@e9%7YOcfLVQmBsC*z-Zo&ovDegLd* zQSeNn&6rsl)?W#O@oOMzCu?AQEcOAAbbEaXeiY}2g!60ktu-QU@e6sjHu`$l_X;_` z62-ggUHBpAS3;zb^8q*H`#U(lJOPyryni=%pEMhMNX=KF1|?H=Pa6}pSo280%X%?+ zHIlvsN{5mJ7mWEWYH*b#mQsWaDj~S<6P*4!c*cD83@pWQ3)E{L!geFJsY&^+mgT}f zv`>vMa_%F@7*|`V6UY=pWQ60w!sM3 zw6Otqq}bdD^MB@0Hd0TtM2>C`8_iM~7{lU=AzHAyYN%-tpTGVd@u^70GWS`!-e>&; zgGGe?tQ~06hb7dXx|%&Z(JiWcn(l1+BS^n|wH9yo*pOSJza7&1#HO~J5`I25sYIpQ z+%m~hMCO`nRB)-sl;JtQ&7s4luRF^m6v&5#p%lSZTDJ$AfALaRjvS-uuP9irLSgOs zg;ain8n6#swn|qiN-9;TZMUPnA)GKc@*r*n$GT^Or5#u zap+&w;CN7-QjnSY!(WP4wneqJUld!k!vbaj0A&C;>HBt@)R&DiD=&CRfqeFSR`;7_ zwGLaX&kikEq6=?V_5G>?7ofD&K9x(ASd!KL-O2V@z+5_!q%$c$Q9NiFk#+TSE&daa zEOALJp8$4($oIP*#&OC6I|)`_yo;ZhiAAD2a=I2@>Uo?AAP0?0<6xO0^Fa)5nHF2T z6M6Z)L)E+)540g_zA^&krQzK$I{BLuuI6@7*UEfmzW3h{syKuJFi2JyQ#mFh-y*XHcgjG3hVUO3e;n*y!qmx$L`!5j;oZ@PTrX-9o3}{$4H=55pMBQVfxx%o? z77)kHHraeVEM&{9!Uxe7`jC^@Qq%HYWVQwld;-O!bK&??DxyQN*WxdVpyp!c!p0;Y z#ExWX%o?~tl6ebbwOhx{Z*3Ye6#UW7(kp-O<`spFr(^%*cW*?x}6k}zWMo) z5E~klZb;LiBvP#HidE$&qHYZw08NCOq$+HZz-20q0J>#069WG7Ra-Y3dA4NZEtm|l zI-$ct`vnIK)1x;DL&0>l{6jK;dbJzq*?>6EO{ku? zX@*)oYR$@s9lEU2Zo;rLX__9SZMoUg$inC~Nb^NcDL6m(`N! z-DIA+o5X;Mr^~2_psQ%Y&B79_=-DTk;y) z^10lWPq$?Bn@?IYI!L?NE*uDj^|#0DV9Rc^B4qVPGruiC-DL*Lk^)zl#cj@>0)hK8 zjuFc-s(H<==w(U-;z%_B9)h&JhqZQtuU{7G%0Ll zJ5Aw!*3U8}?J~3^$oHDR28@iV%O6aMS?N`UIgEB1wqLi2|HH*Ki>RY8> zH&XSz>|}-c=bvV_d|bNaGPE2*5fIW7_vayaONt#fntz3~(|l`SR0!!yWz7xg&7Mrz zI+`*S!Ug7x48eLHj7-ZyF||zR_Z}ns6#@s4NX_}jL$0YNzaNKwz9W+NCwot$%q{6* z+rMGTQTfH_5*fj5ap*>KxSL4EhBe>x>jG`G(1_TuV5wZwxH21^l0%O41 z4S@}8a55k{|AbWoi)zl89ad9=&=o8A7=svS8@d!4t40wiO!dD6Rjrb*wOU+NYQ7V+ zX0yWFdpD7d5oqRyWUAY2N06s>ST)H}Qw(raZ1vo1gT~?KZMt*I69qP<*moiX#s18d zMc_m8>ZNKG@^b}%GT3b%0ur$9v_YeP2t{H0=!N{CqMts|%hc{I{T?a@%B~hm|KSRL zdUKztC(y0*5(cfHY8lRA&8xdg3v!%$Fn zA)GH%BFm?}AEY+UcMr2ZS>6M*0gfeIj8#+p^EYMZlx_D3a&v#!OqXa~IJF@Y^z%g6 z;J*w@nOlq95T! zP&k4f3d6-^n%4eKZld@hDY@Exb@o2!tH;{~{IkvTIcz9@r0@^^(Qnw$MQI0xjIa!1 zt^G?tRuz_sF~nklOoLcQFZR+Mw2E26eGq4XTQx>3pU2TBqW&b{3J{*j8JV@KO>+=zq~>I zCC?LaJ_Monntl`JbdB!|%xR~&vsvbJDCCvH_i;U}DF-x|h{C-!Rkm0$Y)iTWMp>YwQQtl_wjzM4c1V?TO<5xAie%z&cM)oX$P zQwcIbNqSdY1Oi=eu3StJu-=><1HMo@3+0>(w{_HT1t_1RkIdr8p|ru!AC6z=@rU(O z0%-e7*GK^3cwg1;z<4`-AEUo(%v}Hs8dl@|;z;8S>wv;BK(rCEj=cj}m&-urY*_Mv zJ_U!7z#KWZ+f71f|HP>!x-?@#j?gSgtqz(frk-9cptm|L)|aoj#$%y{S_ctoO!AxU zU(%myJo+(7)5pwD{Fv_gs|C*PM#g#}}bBh{^F7 z0O(?TX(|JQL9zINK6TmfH<8yC2Bw)_nULhKI{mg&-(h&FeS~INU70d0w8a=S9SPm-(ETvcnr*m~Ci-(h26eh!Xq{2~1f^T2-k z30C32U^DteTO-95dRE?+(Kllpcx-Bk)i%wxXldJ>#MVTp!?LnoYEvug*d`{kVNhvp zIDQZIgKRjC@x>Pa`*{eaD1qk#j=e|$%0LNKDN3jUC8#NP^CSbu)139N{*O?6M#-f> zJBE@|V^nPm#nBu*a^rsRTV)ZxVAINykTG=y!e|dphc|E2;yGA;Kv|V2g_R}_$6YQ* zag@|&tk8UKMyf;c1}M`FTSD;#I7nE~E&RFOoL>Q*Pbn2qSR}8`*9?%T@q&;tCfN68 zbQ|@I`Q*sC)M*-t{@CienH@@>Oi6W`Nx@yUQUHuqn<#$yK5m%NKVr=AyLk?II@Chi z-(+YLF*FUGo`|7oMS)H~{DbwX=@{Ge^0rVMCzSCjj3KR)X09+t_Rou8hH-F6KCfU` z$>ND(4LuR%1uKnaOm~ykOZnra5Q8~X?DFe~4dGEtB2N<011=lRT`{a1+za8{D?R~u z7ok*3q)Z1glxrI1trU27YsN5-ni8}iQ{uIzq=HkT=?;(P3wrYDunOmEc%$}d&OU|K zQVg}N4t>(k;mf1)h!(P68|K%oDK zvU9p}aimA|e!=Dyx5wHPdbw&?l%*4m=OATKe6?Xcu-HDCXN zrl|1Dy~AwjOZ|##lyLEsbJJ zJYZ*QknSRLw)M^+HqHxU6dVml(*2o?O%1m9uhm4el_Ju%66O7So+fk)rTap?@JMTCyp=` zu}{F9ZtI<85&K0Jv7Z2w4^}FT(hj~S7^UBfbKRnblj&cN5ws)$aD4NG;wOOOt=t<` zt{VM3p?Jt^<*T4!4NMe&`z|#;i`Zvk!bA}|jNK;S<{38-+9x+8NAtL{4GqERvi? zg|eL5EH;?+XUI7`4%VxS>LH<|)@ysER%%8dp+JvGn9r$PMT?kC;i;_ox(7;MnjVu> z=qF)noWm47($OzTi(KYpnc$rzIX+PCMOa9o5Mbnxcs!%UY{j8D>CnhzkB+sJ8+eof zY^e1tg0V^wa3Z(y5TGa`Cy$n)Uo!)HxEBBi!3aB}=U8~RpnbpiIiP(smjgzy1S8D_ z=Z41SN4+owx#790P9iSJHwQy3QOURuEeVFv$NOMaSY0ZH-HwpY24cag0?iX#Ntc;A zD!%TpalvzoX=f&f!Y21)Xs`YJbU3Yv;<3m`y6A-ADU>L_k&3RYyaPgG9iHe5RA}8{ z;I)o>$mzoI=`Lib4@bAp$o`uYHGA8PNzmO7w?%G$8g%4sM7<^o2o-83M&L}5(s z{Gl-ZJW9%($VtGYKySfHU(28ARC~ZhZrYX|2jigm5M=TV%=gmiRbs$0Jcd)j*Z?+W_%Lauk3$44pG zU9H^4L_n;Q}s!NBZeef6CF)ka*Yl@ zuh;373aZ&C$~C~)%s+rndcK8P)T0RzJ8N?tT!^?R9zaLal|!-lRHHXWaj%bHpbEPM z#?6HBKFZRO7pashHe$tX)-r3IfWbZl1_S8B(t1XjGg;<0#EEZv6g!|hus#kA>fik` zq)$>90X9AXHrAK}BWg)^wbY?}z<1CUyIcXU(K{{RXg8y8o54XnAp$1>|FMD8T;K`m z_sAkj(+98=gh?!8E&XMK!Z~+@(ScY`U5i=~tR4g1465400D^s9Ko0Y_A>?XVjcmfS zrUY`vZMMMwCWsR_BJy`c2)0Xf?n+_#Iuu~$5@u4isyPCJTrJPSDo>LDxG-ZdIT%du zeHn8fSZ+5@vcS}FdmdYm0R|HVlxO4PZ&34k#}RaTqQIEuZ<+3?K7Vg?AbsDp_<-Ky zKwO+H9!Hs%qiLw>S{zHoRot=N;+cD zbSz8zYV@7l;rS+kF>*Lhv3tQ7!@(HVIoKw1y{toMdvMQ{!YkZ01h_D`7I6_mfGb!) z)^pdQN0}t~tLT)qDhkyQ0v0}84KF5&U%vy4;p-$yU^S4!zqH9Us=mgAFbLhWu!J6&qjWYWWw)f`h>_?RCYrAx0qvj?V7>IuiYN z2nzBX;_vync_1$IQWj^Zf4;5t!|)S@IoKMF(?8~;-cz}H`~L#d^E%g}KMQ8p5wnwJ z4R$V6b%Np=lm;E}QVcRhg@Q>i#eVICfhRnDMd3 z{uW%wHFgFlohv)_09aaM{cN3NiJliQ(-{k%wI9!hcOwoAzac!S?^k0dC0H0hG7ldV^RK;+1aKa+q1PI9!b5Q%YJZOR;W#m#YZP zB>uF?7RRu%!$0hhYdRQw4#>Gj4xfy>KxYRc;jl5fUhD}C^SN)cT>pN%N{)cCJaw-F zCBrI$m9U7;uTwxWklCYeV;``R^+jKR$0XW#(epTI6K*X};7gA_iNj%xacZvHK@S-x zqh46ED(K!Tc2H}*PWiVR&WmwWL0bqM!l5B_>z^iy|8NtMVhc(k(ih}&S4^+u&1M_C zDh^kr{Chg(&OAJfZh?UtR0I?Y0YMi#%)XqokJJijf``GxS3#P_pHiMVbWh)B{>vm$ zV%S|c6Vr#lx(_)7JN>n^@&|qIV?A7L?n84hdk=@1en`OgVLiMUs!EkY&OkHJQT`Ey zKq-H`Tp>Xd73SE}U@$?7pFbL#pBrWd?{Uo4fXGG*k#3M`$~p(efHzr!2V-z*ik_&^ zVLg3`1fo&J=~l^B8a(1|z?}=nr(5HTt**^7NaM8hhis0FN^FE_m1>8c2cO)w$XQ{1 z3e+U6v<8Bu1V0qP7mHx2hcIZ%zGCot2p&;r?_x-2UP=&{WcLgbLok{g!%ZTb zG8ktI@J8auvc*Sw|Byid+&?%MkWH{Ph_z!odD@NQwlT3JQ9RrVmXh+Qd8HW{A#gtT z3p#f5_D=Ds2~*3BhcF75+2R4=>$+Afn8rkyKfeY?Gj>lFIIfmYb zPdF}Zp*@KIfbXqIW5&5}srKRv zQm&?yN^T~ptFa7bT*_e9rA!ISWbYcnCR@|T5Rx94V{Uj+5SE*E2j`Bm zAHr%dQdZ^2NmS^T+ZLIMZsl{8I3FjR>GRFYuo7%EJu4x!^s8ZSom6Tb?}qi177MXF z>;s3;JOZ1+RxN%jsOeVV<5p1At?rN^>-YkI#~^8#;|7Wm}UsY;Y|PIL9^C=bYKtKPSmw+4g43UgfPW2Vv|EK>7@- z(LW67AHvUb7U!W4yy0qAYWOy-?4OW3cJqVl2FU)9JHT!@;U>lCW|5Q1-jcdcC+osAjk;!{co_>C_u5mH%M!&Asl1JqPf6B{WY)w91MYjF zRHvg1-8}c*i{Y}+@)0~Re@E`u4{193T6`v#1XuOJnwF2SK%9kG$W{F&oN@yzxFba5 zbF*|R)Fz{N6f))g3v7hCWp`u#6^Lmr2qwPG_PO;%VKTX z5DMAm6~C>+)(hZY9n~7n`{;wC9^+6eg%(?tA6Q{?U@zZs{Q&H0AI(QtGaej)PcZ(N z#PnE!BtFDMExtrzdTbbCffbDy6w(+m8vz1!f1*nBqw>ug3EQ>&(GgBSZGDU%*Yem& z__QwJFCr&Pguj}uyXAumju zACyOi8a-D{;=+6hm<{S`+=i~Opm3tAaT|{)EGV4lGVml81SRI(oE$?}Sa>7@3)c{~ zYq<>H8f36laN=4nXlNCbAR@>Rl+ePIITHQB4BW(HDsoh15iCgj#P;V$N5;R$c?-sy zia0$Mo}kLdR+r-S7JqDiZe&nwzavugJUzt%@IRP07;%`5Vtu)H=3+6(f&d{Af!~xu zH}9hQ38^3Pp?$rH&8E|JX+Irr{hlI<$lh^@}YRc7a4=_SHy$YJARyVle$Wb?cq;|Y~*p~FscJ*$L3&H=gR;P@@4 zwdr1{yaCs8|Dlj1ePC}596~&BsI}=nD=X0&NJP7n(z*O)NUY<31?!Sg>Bo6H;583= zW;?nYTLqY=y|sDhiI_1-fBNqjRP)duC*yxWImCyj;wt}9#G}iTEu^MS0&*Vij;$mW zU{T)}of%t63bfrht-KA+q|fJb&Y*pCHBs0|EO#Bb)N9VI;dCY`p7!^^3KrnS=XA12 z2+9SLaiFP>(rn(bAaoI4VC-tW&3wNOOnI2_Ko70`vz#SwSA8G=kbBrDzCA_>y)F~~ zKyFST(H2Uy2Y}a5VpY&54b}fEIWAC+aE0nCq>ywsEz`&-X&)xc##UHcscT`|QnuE$ zyr^-1V|{Mp2aSP>VfJVMjcJKCFrj1NyO>yqT5QLaM~l--?>KLRV_e0&V!Yrrt|B@l zGb7TQ{iYY%yXLFf`_56?J148XF%buPaHJQ*C~wTuL3%h2J`o+s8`tPs-d3L*Yio@4 z)kO1fcuF||N5LbC3z1jmzp<0E>JP}QU;T~hYv9b9yTSYAtHoe}C#%fw3&iMUa5TOa z7TEHa1>5a6EORZ-Z`?EzLz66z#6cp zJ%yI+4Uv#2e&sTX5az+5zJd<*_N#@4#j!D&_R&l$Ey;Djzl{H`e&dJQhpE2-jlkM} z6%3?F6!b$t^vu-Y-_II+^w{iymy9cq3?tQAy_=ovN%_kmeO*o2I*6F*}?5W<`S zMJ2vC-gZ^Dw@!6}>+Z4$#obz!mngn`Y90tS=otky?J631m65QuY9LcjX{{Q>@2RM_ z74=%H1~cj*p`m^OBcp?@GxA%j3K>4FwF;s*=sCT$%9SWSFeM9iYt>NZ0p>CMo{D-! ztyRY|>}jnU#_#CXD#&<&a~!{bbA0M{&F5DL{mwn2J>zgEB*=t)=1xK zqY7%#W^(~z+U#z=CKiI}Y_oYk9+9#PkeLUS789iJztz!5;-$?ExPLQ}nyu*}-4xwg zZYP6n$x!C!=K*T0uf)~z67);9lLLDfXs^(`Ndm6sl`4Z8iu0vgvGSI6Q9h^T2X3O@ zfifu>g7t7hhH$8=S7?9lZmLSR>kol+GVl?rJ#~`N*r!ZR~9f8#k6f zRM$dET%N(aTB5k&60{6UCS~$N;0ewyl7mRbeKc1KAC!g6a~lEkf`8wK7MJ`CDL9vr zYowdZ!5Ap>;Tz#<2_UQSz!=w}3JH|EmV5^Rb3%wH=wnXHXXqg`#-H4breKTmBmB4( z79--ljS)}aHT+ag!%x+V_zAv+pX$%?GyWy-Rh|hLNW}rf#_qz;`3v#mI|V=Emf+`t zUi@76HhwOG*~a!f3`fL%qP8Nek4#D}UUufoAybR85~1QU(T&RfJ^1;WZlFZP2>g6q zH+ptSADlfr9}pX)?)=CK%aA!1?5Qy1Dfp?n6+gkB;-~ue_!-Z%33LXja3VDJPxv{1 z0DgQ0_!;*X{9G^`KNo(0pNsG)L*D=AF~0%`U)K*5sdx-O-=H6Yc0Vwz(CS4fNJ^>E zR}1YB*R@DY_BW6?wx{EAM?#hm=b8#Sc8n|Oj$5l z-dWgWt^y&JxAi=!AUFiV4h7+FJl{78yYVp}&4kd&l(9(&jRK+f7Ffy52*N8sySCn$ zU}O6|E+)=mA}Oj@qiter<2^Q8q#XVI0StVB72T?}fvUA*2>aWatran4{Uocu$4F}w zaMP*n9DAtBtP!NHH(xqOhyZU1+RUv`#~^iT zpj6hXZ5KqF*Bs=hp9YQsQfU?Do{LyClXDPDOABm+AwjSS%SfZJNYuJD9c$F)#1wxr zfUKl@*pOnpE0(|fnV-xo*M zu$8_x5yRFmgNOEI*w^6-Me&0amKcaXQCu{MnGj~kEeaU$QUV%ZDCct4)q_hUCO*9p0L;#WjhZwLyI@j8fd_H z*VN9C+RXp*0)*1LcHSBdjQH7$RtcyU95D7R=R%iHl{ zkEF7voYz2OagFrTz&J5a_IBFdy|5LHDStUIrrn#X z8IH14%9vbll|5uQMj$0*1W#fumJE)8PRJz3$q3qmP|#h=3y?8pVlL7HW4c=>=BlEA zS%tlsV`Lg=mBU-*MAC31$;D2jked;Dp={@ zSw+{8^Py34OmjI~^C?|zLF0NL^;RG?3Z&ixq}Dj$Ha!4OD7j+AM4(^~sH-XatfuTh zP1*VyAO<*@%iZXziAKSR=s-BPoh^IqVP8kXpepFpC+3Z@V>@m*zJ{YG!Tx>W-G56T)+$wMI#2n;F&Fs7!=H(*q?8EEjGS7j$v4`h5nCC>^z|6dY zF;!#2CpxRV;S(|7j)vnnq_&KX4q&ysFhuy=P;6B`k+R4sNQ7=ee@QqyY@4gO21#@Z_0k{IANU*oat5gXCTBl;T8$l-xT zJAfA;L$Nja+TJe$de?Z@@)4&5T5I-|zg#_L=6)nrx6a&8gXk$Bv34xYYXhy-`%sVY z_Ml`R03=W@vrIS2^aaMOWpiJk%wCi^)UOQTklK-TkZrft?8yYN4%vGw5T7CQ3zYj3 z@Y>m>F{`S_thY*6w^o0Sj<#+zAS(b? z;Eh4Fl}Uyl&RLxe!(Ge6J|~KWePGK}nE@yR0_#*@90JBEl$I*uL=kYfA41mxlPh2x z8DJbJ1Hs@wlq!=WWgtLOaB@*57iA8n%Gjk0gioqW4$9=9%$F=P0+SoAI3R`W8HMa9 z1a98cz>T>tFW?Qb5O=STHOX?4ieqZmqRqf2rHXQ0KAiYT{~+$vdD&#_LS;p>n-BYN zL067|WTA}9iB#7TnzF$XC{+XvOw^vu<`X@9>XmDo&E$)&)i#ro&a#smf39-393$ry1)z0y9qWPd&ZPj61`FZS> zGM=l&7N%&W!iky&1AFHZo~_tAh z{7n30AVy&-4DV09JCL}<5AD!g0{0|^AVaqhlsw<~&*106tEnVr;pfj13rb676png?zmYgOLy%Yj59~pW7YKw}pH=8uBG6C%0Q~#|9Gjk=o5; z051M5I*4i8LgkBsl!6NhUS<=rpJ%>!h6J~D z^?geDPpqXJ_pQHk9OkX>a+$Y)nzxJb39R@X=k39>W!^NTh=VWeDjnt-7v|88(t`;q zPt@?b=MDtbG?CH0HM!kc@?B0~ui&xl*}&$*Zl2{rJGjCg;Aa1wW1!_W3_;G)Q<>BL zc0ume05o~uDwTSgN*#byL&N;6YZy$tOX1wDT5L@&HV;_w+s&fZ>Vx{Kb~C@V`cUho ziOyugxxAl_A z+Ji0sadHg%b*g{Tr`uBIrT35CqjRDY1UkFh z?pnS@y4!9nV}DXdJ|5PW^Oe@Ya=Ietm^f#}u8pTEy}3XdSKF1R(3G)MAs=Rkl>tl@ zj#6ZLnpSiA(pS`y^BI|V_>Q^zw}ZAI0o_i(&&HVP9WXD6ww zr~}D^#_Z@Ffd6;|jfi+=lwBSuOQYgxze0tKtUoG*$dmZE?&723l68;H>-m-BOu9lx7 z6uckY^i{Q@ccY`=wgP|BW1(W$*y!~E>0W?`yzj7Q^^QQJ*$6f&j~+vC^c94|LJ1re z#`YqmuOfPOIz2j?Gdy9O9O2-?H3nq44&WvC_2x%FD|j~N}v809%Plb2CMRWozyb`=A;Xk>UMQHO0 z4SQNBI&QR`IxDAuO0|zCO=2rp)v<6;S7Qps>{bS0w$*NGqa`_%+X2_5xGt&vU#rgHP3@lLZ+lHQ zF9c^{Ntir?1ID$qZgc6|WG8#%yFl9wxTI=KIIeL4+#lduG|}0(kM0lq$`vhL^H0ni zJs@&B#4j5AH7FO;&7KhiT6iLWhV=mBAEhxx$KrmB+4&ENL6dUN1{ z3?JLraGc|cO}UQB4~bjm*V%e+8?VJ!7aq(}1PP3q_i4jZbZ#GX{=M@Q+GWjHS0X zZ~Y*l1{)-tZsP9*3Kxx_^&k8?zt>3{^L#9BI{S-nj6>2NDgyWDx;QCQJ7?ii*-)5| zzlpjsT2M*P&7j{{;!8eVQTQ(&D;dR;)u^V+sP5#b?inpRg3Hu^%#&p(JzlALK&n2e zs{Tq<{U52ifK@A1)hDg0?_zI(ZNwKaLB$dWqu)WRb5+%$wSvnN@xf^`tLCYyZf1Z~ zpHNkwM^#XYA`(S?;D3E}n2#>u=;AWD96KkZ@5XjX-lgHWhL~+X6grfLPEEWjx06%w zIHtG!qs&tboNTNxW**dH2@u-ds1nlGnls+8+%#56z}0*WVPTf)cMzMK!p1oR%o`ZS zndESXHjjrS5a+*R4W@;JbyzrtxH~M6T2*?V@}{I7!YumnG6nc#nlzK?}znH<tnbSx zyY_cYy7nj4wHKvpZ?J23oFbTdo*AIZW6-0P9hxf$!?dQa>Dfxk?mHkbexP9FDatMq z%Kisod|Sad&Z6v1XJx}M1cu^{DGEk|g0WCwyiFKAqof~;EExCqgAq!yiOfrqPm*8Z z7tNhK>dST}@Mmmar$ZEN$7&bWKQNES9dfL%<$IDV?11<)vVhK(g${zX=B7Pd=xTC5 zOD}Xf-sL-lYxyfUfl2$Orhg(QmJ`5-G;+xCu1x1rPa`JBd{V9fZc``Gy!o+zD3-6> z16uAEn(xn^AEHdd5UuRsB%|Oof!zBPOT9V_YddG&df+vdaiw0pk)Paf`u81FSlc(_|zFIR6X33-J8(*>X7V*_&beNCgL$z!7($R?J_dST8Knj6z~S6~hKymu z!8W}hN0^Ja&zvsn3@%Y-*77LaYH^vxdC@eL>Yp-ka_==ffF=e?wrsc?d{~9mU=RXA4=9K6x|1E&c>BDPvP@?Remed4I&+k-!VhGQViB<*$> z--Eyv_)S!-)cDRA!bIy&fZR&qWi)#lF@d6^5(7Vk+Xj)n**ux!JCGCgr|!4N%$w(J zA;|*;>5-m#BuJA0iQ|NIccZyg5ZjqOI_|xS$KPW*>?umrQSntER z3Rm_9*nP8pKhM=x#T24ab^%3@6u2fZ}l`rIyqR^FZN|k#Xv- zL;?%aARr{_>WKpXT}wD~K#eUxp|*tci=9?{3Fo_aB=*L`(?xn+vA#rfP&$E1$1JEk zmOMGKbSAw+KKHZH@QH>{zPW?*j#pOAmsOy|T+4u=3pM5fHb_&R3H8qC8P=Kg>`79c zgPI*G(pOdc98C=l$hrB1h=k-+pJ11{Fx)b zaXr+oQp?w>Z>lW@z5##XC2?V~-NIr|z9lU7fDG!NgXFK2zWL$_muDozrt<@gZld^3j5LEBU9KN(2|AS@Hc1D?B>I^k?eN5f}Q51DeJS@ z?OKRq?Bem{RR+5if+hN~+Xt`rV>ehXj7e_uQxLcoU#!^eP2XAMv+?=yap14<*?hK* zEoJc86JYe*z7(IWTz4cs>r1T3;l7+3@TX>-N$3EJ>ua2L|~9+N!X=wz>t69gE4Jv_o$q`GoQ32?D-hvHIh1witlB zUF`Sdf8Q|Owdiq#63~+Zdp`vqJXBu{UJBq;asXnnhCCd;(Oy{X%dIbfb>DvHZ>oJ& zj{3&~#uWJGt~GxFagVth7%(bfhC@jTMBMX-!{kD2bo6}z{qHv{gN>AB9Hdp@<@ezO~L*tscn!Cn5@g+>epVySX+#b}OYj$D@ zR_h@Y$jMIp;c5LbmZ1!<)Q9~LWqzJ6V}2VYG~*F6yJlc*BJeu3%f1$qXl*5?Aq_0C z45ns^{c=xbGdrn^{mn zNrbM&FR>R?@?6VLfDRga*B(gV{xB|B8y9@%#svOsi24KP*7^%WEr_)m<_tZQRH~Nn`IwiO-&!?L2Zm7zr#u<2qpuJB&R4C%3#x#$m3fE{amf3 z4Dzp0`I%Ios=h%kNMp8wt_d&|wwLtuo|@$!4kp0UF6p{i<>4W7IxqI0!j%ge^6l7{ z<2oa}7I1YngzKs}UvxC_K6y{lc@ED41l;j}Cke5$>0_cX8Qsv?^dX|wAL~Ik@h3=9 zdF(Y-!p^3TSOnL%>(b*$hEn->?c+%K>OPwKy8zQ+znFGm%6{P$qx^2D8klSGWZkWm znQC>WJNGkKregbWC8H04#=o)?n3M5v?!JyMnQ^NP$)&lPX>-B(Obg74_>=M|vp%fv zuQBQd;Ql-?67=l9*ckRL^0wQH|B`e7?2O1LiF}FBDH1w}(5VtSgpfx<34}%?Iuc*LCTJ4zK!)QJrvzmzj$jI{eqqWmG~6RS`xzMp*^KZ3hEHKrjD5-2scf9FFBtPMw1=V548hBs z-9`xZUv!%xytEyp)m?SfwdhW$n>3eN2LQ7-27DhkctT|dgJoZ^dw4%H<p=5Z z(sPVYB>+AJk(ZW+U%H_d!M1Mw?StgKN{SZj>0zpqMTIA0{3{fJ%dxy_#z`Bm#S@OS zP(6YPz|?_Vnq!S%?$)MSkP1@#_H@!ER#HwnX^NFZL1a~&Y9%?+Nz<$(n9-A^F13B@WMQ5pK!iut^$mNL@3tyw6(32&~V<+T750mI@ z6@_wY%b@>2d}WXs@s%1rYSci76ArWDKYjT4+1l5RUtm)HC&%x__$yXYhQRV3a#e4v z)Pn=h35;zD16;I(u`>f_H?bbWUWD19!1FjwvAqDZ8@qTWQ{pSV_-HVc8t2}IcUg^_ z9o5F&35(thT+7hk(zjqz-jM|ih-vc=DoO{MmrB71=4*Y9 z-l4AtI;>@oP!?xHNdQXMS3~K}F{%!IO%aDtb?|G701bkriT z4*Z3jRSr5iYO#;7Xf!}ip-8L1gz*j!KI8C7QP`<)-+_R5>gq%S`0Nya9Bn;E_@i6f}jh0#0UX^)Myn~>I!;XYPnh-z#ae-AbGs3;1BC>fJJv%{7lY; zxj!GCFi72Pr3wSLHhpSE$-v0vy=a1xUbQxTZbe0Kv^ITVMMZG5Htn&ZA~;%`_A;uZ z9X^yI8Tc>iC-2y~B>wUD*jMSBk1XyIU2t>_!D}*>@Yk=XY1!jy=Bmp+QtBmTZlHAl zlPK`gN#m(GiLlE^%V8QNUPf9j)7asRG#rsC*_V;#WEurvMw+xsDVUL#M{N{_Y#d;w zcaE6~7}q!G6u4E!mos4zq0?+14CnBiPP)zpd}{-)$^0A8!8qQG?+ed{W#rx~MSj9P zd8858GH&2J$Nk;C#~Fdg#yRIX8{2=^JIDz94dL9z_O;;eAH{as%UAipr#aC9m8}IO zy{^hOPl*HZ%KUEQ;XoY9e1tz(4pCd-UH({S{KxUNR=n2aUc61(J%V^_BHM z+mc!fHS?qLl$r^h<|+B}=(I3y14Zz{G2YVva2%jvo^}QGcM`?#7EvA)-Xd+jf%jby z4`WC43~LD91ApV<7}Bl#iix!W-)7)_bNNT&*s&%&dn?d>wT4G0y(xNzYj8oyH<*BS zH34O-qK;NqFW#ldYuo7<1iikibpoC-Y6~RVYkaE~KEp0?Ba|eC1FG#bDM*)8S1x5& zD*h8aX#3yv!2O@-LH9T8!7Sn+<9^To?Y?Mw?%!zVwGQoZ_=CSG4iSb6ozpxOSZyQ$ z%#a(oiWU^m84#*;LT9* z7E0QA7CfNri14nJQbqmXO_S991v1{@3f`HL_Ag1pJq7sl5?`lC>K3ME$fIGB`aDzd zjQ_|+Ogr!k|M7}oL22|P7$EU>(!u=tUO-UeegI_yiE3MK5t6n_^#ziIJsu8+xvyD& zEUoN6@jMP-*xzI<1M>IX`iZ-Y^C>P0CEVpeIN`EZa$WfJY5mh`93=1E3Q46U)`n-p zTz>Dh$^GUxTn|>I#E~=5IEAqaI=al zL(+tSMF}R6LsYin_O8G%KZY5M2MX{XI0>AI>kY21K&h4NMzSZ1-n#txDi5{%Q0>|Z zurs`?r>m(CfSA)Invx1p0aBq6sZePubWSQneN2@Lr$W&PA~-S zKR5*Gt1{Q5dxK}~sITBdg-G?ytrDmxA)QKJm#Au1I^iLmYF$?o`)#GeSAyv!lFm_B z>28(ok#vs9N~fNR^4y$siAHFpQyoM)wahNr?RagHz+DH@HAzp79tpK7om*O%xVoBB z=!lCRg%WkhN-q3de{mjhi>D;H^~T!I75L^eQ!B8aPYCP$o6)5s&^EW3{9>DTFX#eK z$e%tH27m71`Qzb_mp|uJ>uaEYRO?sHt%k=ht|zYVx3PMdTcUuzr8#(lJD{(jW$*)7 z2H&P-&;?Q7yp#p`{wl-o2zCPOoQoP-XF{v9+k73CWrn=w28O8c{Hv=fU(HNe zFpe#yz6NKN-2dAX-LbwA4JW`NjsAiWo29Qbjy4Ai+_-nx_TG`uf=sW%2`mJ_5z1qe zhTaSz48dH!N_n^gF3&qw&H=%g-XRclXdXT#-4Jx8J#XXqS8V>72L#r8kNS31=|G{X z1jBl_`3x$A_3mCgcDh#QlVY!gjL?|@JWi=s6@<#RB2=JP;khVI03ts20nJoqzC_>}5+KRMQpU6*N(2R_$>gZkouK77862fmEH1Fu!uN3q}G z2QgX@5aS0i+P)CuN004dMDf2Kll_pA0(y+H3OW4fG1)Mw-JBk?0W;P_^q8h1{OGZ;itwYy zC~yFPA3ZirMflNU(^Z5YJ$99f@T14-RD>TrcAbjwLz6N#3rXvs^?qn=`3@l`$f`N` zUuXVmup%q?G()qgP-A_dQ2!Z->wEa;hoi%0?1MV6`GvMyQ+4@oN@U8`fa6ds9aJ{}X-F}`8_h2^M zpYhB-J#U%#9c}?3+|x4vmRn(t{vw3YUM)VrwRIC-*vD;FCA*x(8h^2Blhi`0T9P%U zAgVxMiinacST;??*IHHGruoT{sQ3dbpP=tWIDsBxS30`gJlZiZElJ-rd(49Ig41>_%DB?vi+ za28|_`;0$3frUReNjQlj?4lk*$wcwF37lC&t_E?AHK)=ThV6FYACVK}9~CNLCbqf! zYa*hb9@)R}l6T-=D0_G8q`c;Zd0GC2Zw|l`ab*9(M(MbH&nkPwY2NBUIdux2_99I0 z+LG^AJ14RdpSrW^oom(0TCI5AntiH_C%D69?V0;;zD^uy4d(N4&fTz;CN*)@CN%G! z4+f{ybDrPc@6RyPN&J{}9f=RkjAysszd!H{E72083-@K6TBpa`IMx;!+-To}P9hln zB6$v-EMevIZ&n;J-!F63c-JvN)^1lb|0YA@T}8OA@TK^LtA&3|*WbF}81w&7_vP_X6df7*TLRK%u|qId$*t z+gZ@}{l0%bf0Dj+Z!M=zopb8csZ*!Un3u?S+`|pCSD!@iZ^ZllxiT|Ey_YgAkszbq zk^0-?_P0OibixgU8v@CLbYx^=)cfcCU>k_OGrsK3%Kpg$h*N@}z>@QzzN#m2tO7eQ zPvMP(gjus}EPHzH0Xmo=zT%5N$k1kymIp??PceygB-|k&!tf7!`dD8EmL_1wa%sYm zSmB!u1Kx~!hyO^_&7!+JE|K)q!&w^x4knBAZ7Bf|Fw36^fG&|9fP~hAzX91erWty; zcs*`@Qzy(NI&_$Ipd>Sa;CnYqA#~GV+Cs@-{p}I^+vz%elT--T;u5&^qlj0EAaDox*Q?nh>{ z^pU!Fr(m^)xy=pBE+xHS5egFn@HH0t-WRwGwKW%90PpUd!QMj0I1T~|{+$62P!RD% ztUcX)3S7dS1v3!$Zg}|AKduAn!}`P83qf#`b-`g;dRdH;Cya8MTw7#w=>Z;*b93p! z?}3C4xfG`54!Dy1l!n8kHUo(Ki21Wi$Q6AOmV8bArYjSc&`p6O(D^rmhpV;NBotz+ z1hq1dH;4n%FsPzbUU22znS1*ic6=Y#k#AtQlDMwI$wxV!O!xMsKGOAZ2U9T;Td2WQ zu-hY=`~b=iq2mWMtC0mG1rwnBVq}gP&a)>NkTt50K#A+qpChRf$~|<;2ao(qUf@tT zebmAy&;c3)h0y{CKw11LVZo0zMliALqs~z-0|PsSzE5g~99!(Jng!UH_<32<&9($m z6MjKwR(BOxU2=3aNfy0yB&$6%T7FW#6FV@X#a*K6Gt41R{{lruPAx~g+^@nQ;KE_Z zuc-HZe3J7*7?w?Z#(hOPN7UQEVhmRm^%m z`;ATu)C|r}2=)P!=i-%wKL$r$sk7(U+0T-6#v0Tf_1;DigE36Ec=Q&ep?=8Rc`bJm zg4uqGAcJJ;RB(@59z$Yxgb2j_c4?hF7Va(>Jjb~h>F2wodnC9a|Pg+N; zVBvQ(woXA`a2tbU6i;{fm}lXP3A9O)9lk*djK&^}xGlo>y_=d8p}cKY3-+U3kW9>; zAYMlQhu3Us_zS{zFqtNAHg?Y_jggd`dnS**yIy;vXf2<6Fv zZfc%Y+bGnA<1yWCO-xWb@CTU8A0I>I|Hb&6YxjR|+y1wK7n{Et-sylBU?S8d04A($ zMtrnY<3CU%V7{;(Fz0EQ4L8jHyYXLakN+XyrKJ=Y%4sRz?+3tP zJ2?z76dnedF^sA}@bLV!U`A7s4^F9E_rU%&4+k^sXQwhekl#=Y_Ik=2pBzc|@v^rG zUr7|at>xhp!&jx1hc5@$T%LsqA)QeWx(2{2iLKbgTsbe`gQs(Kqy*Cjlnx$bkVO>F z4K+fO_-}A`oXC8AZIlkEy4DYQFs6Yvv2RL&taxT zo7qY}nBAh@Es~3Ego}jL{bYQD{emD6Rosyyb|2Y4bPdkvv99T><-;cyhc9UY;B47*)Y_3=R0g*m+3^dhD5>10g}zJdh|?)LJn>?dGPo4yw)9Y>c8gA$-%R+d0$hQ5NahnO)!`?7}ydw-x7TBrgmzJ zeOkI8VwI(*P^|@LjbGteoD3ny`%PY36ZJkO^@Wz;?cm;_(TG(WJgjDBbS!*zy0(_0 zm8Pz}A@xB1G#kU@dD&kGm~;^amL40{RUJ5&<2AAS+2= zRm9w6i@+vk=ZWMFywa1@w0bp#x6obLPdgyQ0q`1?xtl^92S9cWXz;uQ^|9z1%A}2D z<~g_>fm3RQ+^P4|X7%|lW<`wDO@^t|1z5DBC)oPjpzZ*f;fo3jxvf`o@Ed44*T;w% z+_mAo6l9egPu*hxCC=aE_2z)*^_9`a!Zoumn-r*B*;8OHH@_+Ky#5Bl;J2A{ssMxl zJE?HRO`xFzSde;NYR1`i?GIy^DM#@pNduvMak=2$&^J85wd*^U!eZizo#>tC^=2+I z!YLa7^rYwtH5Usitb6M|Ao~GUPyH1@Rk7#wR>1Z~AaY@1;pW*B1CjFM5-sQsqLQ`k zpP~0`QSzDo`yXV+y1PcsM*Qvm}fIh-Qzd_rD-fKwAbc zeAQd`29fxQ_H*Gm2-GsP4~WTPxXF4o1OltnoQMUiP|XIlLq>d~dLO@(5pUzy8m!hA z+Yz8`ukj)8))1l{i}-{RLL*l<%$GW?MgxTu%O7&%Rx}h{m0llHo?`K3mxuMKc1Bi0 zD5Rxou!UTYNf7Lb1JCA%`B}k}o%01T1y5W!zcnu?Fu%EHq{JHP6&MxIX4m0 zwL0$`_T?yJ0;Jv=MimH`qDT&kl$+zBTA<>gO)1ABk8oV6OaiW`0C0hSB!G)rY*|m=L(ue3@T)u@eghPYxh{IAe05FBaA z;GlM30`coW41QPS7H+`4h_k3%_(!}S4U(m4)O+@qM3TacO|f+wr$o3h!MHV9eYqkz zVS#^fB0Wg(J^@HO9Xq&jpY8LCO+a3fw4bKf`k!tn$6Un%X z3ZcAs=!Eaj(eD@N_o4B1+dX$9PyzFv{zx*iuq&Sq)LpUK{p`Q&O6V_u)$h5xNdt56 zVLSITR&Ml-7<|NQs|rK_j{|1d!oRpB3|$D(Tdo8BRaw6As?&*WO#5`wfd?M5fY z>P{GuF%_>fpx%I;_WUC^u|qG{`=Qv=3g^OW2tpA~K)lTUxdH4x4}lTi(D+#~Bvd6Z zpEthc0Zh{_tcd)KH@2b3zNPcfrh4@&h&@cvey|ixcx%+FVoI0eo4A|}_j;t6r9Hz% zJ zONqiN{1T0rWlD+4YWz;eub@;q#jbQnFmb^E(9iJs>BaK`u?;L-7R$qrEia&JE;Klg zSlYv3snLgH(g3z)J%Dt+@j;i*Y6*5y9uhL{{AJS|q9V4R7Cygc9Nd;ZYTK_U&#jeb zdE|27Ww(renfd;Jo!0tS#vg;x;rN>$6pTxsc088Nmb_6fBYFkYLTJyJwDuN`OP}&} z!JpHo4L3iK`pV4oY&rtq7F#Sd)1hwRDThxcZk|D?l+k$zV#NhIVh3U&Vdd~gSAphu zY_Rap=~I?j^Iqp>ItPc#6XNmNasPP)k84oG3kYUciOmuR7HIb^frE4Ao(w+}Z*)cB)+tXy$zlKf zdKv?#Vc2Nh$AqSR+FCXvyM+Pkenq+6Y|huD4eYqyob32Ig7mkVWj$$ZG+qtKuF3DJB6Np_;q{#Fg~l(VErK7bEaOBK+)t%Dq_nnA4%CYyEXQgU10g8!Oxt$V=wPx;tAz zn;m^K{4ZMUylZOLG6ahy2{skpkUr%xQr@Y+Fzh80181HPII}cGD3T|g^UpJn%O`z7 zOKsApv}<8i13}WhK+JgRPZol_mPg_y5~kdKWIN&dk`-Vm!_N#y->}X1yG*B)1m)HX_xBK9ruDI}H z(k&X`9+0{QwFjariwmzzpYkAI?7$0@hr$e+?aPD}-%Y%G+fpp|1e_piTI(n!1yD*+ z>ktpiQrxAuKw>px2Ow_x)b?nZE84~X+KrfRF8`c=02FupFGAL_e@^vjqCl$Z*P_u_ zT&|TLvtMFN6eEvbtjPQ&yH2=A#N4Nj1E_meB=S0$jp`05BHM?SS42#o%mMt2cMTFKq6q-Rooa(Jp<|3 z7@4WZxB1=!=|+`=^MbKlu?o%9Zw|!Q_aV}_1EbUYueHhll;ltDBtQEf^)B9yUV=N& zLpUR4ZjCu3#l|!0z2C~kvxjx^j2+R>wy-FyR9n>k7)98_8|#Sm1ciL}8C_!tL33xK zDkonD`gaAEux78;UoZl=#pa^O$V@fxBhua$hbzuPwf{!7Fv>?prYSE!gJYZ^=l9ZD zV!{%Tfwo$cxrGfQJs&p8|3=bkJnJVpsp{!3Y9__3ym5B`HH zV&#`JQy4Li<0_EykLmK6hb(`KF3(IZ0Hpktx;$ZXpDkbyV(kyr<=b>X#xvepffdfR zRHC5CLZcJsi54^UsGqx&W9qf(C*y~e_)kI}2T{0Yn($;iQvDqP2{Ul_$Q&O{eDlSI7yu139!K%1#~DBgPX z>eOufa&_IBZ`FGgKj4b1OOCffQBGFNH^R;k@xBK@b)NHIOeW;(PzZMw>l#+c*NhGLQFGP`0nZ@UT=`f#nM^$j?Nn)s|( zQ@ry8bxxgxNF^XwiBXylVuU`7 z6%xXfl5-1cXudAIx=_q8FS!!SdweZ*0aMgm*Ayu6(V`o8|GKbJw ziT6VEy9=gbvDTX5bZE{qBnS(bAR1~3P~_NeM&XScFGGR&+Kq`0cvjubcL-WA)`mWx z6tBTxJZy!V=hk2jG&mF#OjtxF^t{9T7);?ZF=A$*lVqCZsXfYY@N0Qa?p zcTyVwnhp|xP;Cn%>r18B|9zXXP>C$$CXYs(-Q#S6+z|Xd-$FIts0Of&z$?gzQ;82- z)E>jo4{QN$vN)~wKFKk#XC+Js#BaVbq;*dnof@4!6yc>)`MZK&7X}}}SHU35r&;AU zqivR#LFfazks%RY7{uBvWPSoV*2p|6o3hF((0{gzqEn{|N=G_hi~r&x=EvyHX?OhW zwy$x-?Evqb~o2Eb}rHZo`DMyY@YYWqj%ppbI(gmU>7YF90bv%Sh>3 z4f=fDQVHsEXaBry_7{-BVwoB?-VXuP4u8|U(;Y8|&;qtaH$#a1Eh9xYn$st+} z5DPJ`Vb?6VA|Sn{^LM~CIqC>$-BZW;!f7A_FG-nF`65BF<{GK)I()UbCP%%wUt{o1 zv>7{}z?uk!`Yd7*nw0zznH_AVov7JH^8I|HW*bSIaej<#E4&|C5_-d}NzrxfXSxeA0|#8w|jNjhb!xNOWMh7=k-|svh}bl>6R3wk@*# z4Ll0lfCH#cwB;G-lQ=p`6k}?ctf!WR*_krnsiogSkspBAzIEBVfHSPsR_($Ys+FhE zfJ5m9Kj;lz*jj3sM2J;F1^w@!dx;UF2#ZGO+)3 z4qplB31W}zk&v*!-krm5Ho63P zoh`dOzBkm`%>=r3NF{3uVeoQ+7+X73Ldam zBmPCry0UA-Akf+&=>k>V^(40fq<{H_b@!uAiXA#{7l91>eNk_BRt`9-)%6HBhaIZZ z@U1-Ds~IIU+()xYv)3}~MUG0t3lM;e$&NRK801;uS(bA1HTl3^a}&myB3uY;&Pozq zyoL*XC+?s=FzYBhoFyQ|>2M4;rDFKkswYz^!4=2FPYJ$LQ@*8?{c{iaK<(opK{#0b z2W<~GKUdvk@w!WQ=6wyjt^2H3t$Sm9D^u&TpM;sLS#%bvq!6{W-Q%P|*Ua6D42VKZ z4wiX(7}EZ_sHg64ppQvFlLcEXE5f`a_C22?d)_{lR7S1mmT5$@=s(wp)G2Ywc5MU1 zeQjZ$)CP#A9|efe95KCd_B{@4!tHqS^6^6RLuR1qrM&d%`$s+X*9m{e`uVnC!PU=) z(1i3xdU*vJaHA!)Ekti4gBvXaHAJ4eE_#Bq?XONBC$L7~2dF`~OjPb|ImSlKl_487 zL#`Io7*4UTe~1TUR$I7-wE^TmD1h+^-RjJ8z|O(74tDD7SAXXm7^Ty>h?W#!V)%hoFv^4)pNaCBB*xZelETW zGQno*tM4rW+lc04Ba%GVnh}JEy{s<|n=c+dRF$QRgb?aDE=+66cT;2%2T56&oVdrkG_2I!PG%)$ zrf$C5>&YnNJ?uFxz;WKTk>lw-$*cLvr{+E^In=Xuj>X8qeIo(?D*bhx^YwmRes@p1 ze5uayxRYa=lz&^7KNVkjLy=T=91;ek8uZgiwp1e$2BIVGZm$%i*z@?k`0DQVo?X)I z`W_&xmai$kSY_0EZWCvmU6gA2&L1IE8K?`0sfOU0q}@EKrhjA$!n0smBGb_ta&*=% ze$V0$v}wU+*E}^#w_GRwKT`3Hc;i%>5eN21_++Vkd1|OtvO=US`&`4493^W?SoBZO z2}Y{w8IFVXGPgknYxZR`DDnfa?k5LJ>7Xe0wrMJ^WAlsI^Wk% zyV`sS`MAGh!*C_?$Ou|>UyQV2T8U*7WgN?#-1}_WvT9O7a=o`y{vL`|7Ee$whCq^>YpE!9k=j$pCu4VnQqzbIu z(9*-z@Rr^;9IA@A-dF#yBs zqoLDK>l4g@!7!BPcPS1D_|&tu+GwaI*d|~*K$}-snSI#75ihl1Mx-K14{NpfqT$NH zYk5xQ+U$Jon6_ES*}F`Bs4op(nVPVG87lavl7FiBXEOg(^Uw6+dH>C>0ngLnuosSJVfu>SQJ_x5Y#FZtI2^IG-C>5&fPY=Awb4YN+_64y?PV>wwYpzk{HLw|npCc!2Wj76fX za8>XG+n=@eTM)CAH%AT8pF;}qOmUpMT4Lrx`zeWi;+jLKpx<6aIsd zyb00zM;VQuCJWiW4(_daMc_@~<+(Bh8`PG+Cnvy}qxCe9_b-gO3GyypqR9)7Tk#oR zl8(?{)*%VGLdRj)>rXXT?D900rqwn?L%ry0i&KUbX?Qh0y>C`>>j+ z1R*4-K{vrjjszM&_ux*nQ6;fkrflZI4Zz;`GE5vAg_m+TNsA`#AIa(SNb1of_%Ibo zxik1`cygg!n++u@eJE|8N3iaT+5p_Ozb~qiH2{*cms>m8*ko>2rz6Gf2xvaCIh}__ zVu$Uee%YV4psHon@F#-1&Q=?=jju6u#cJ8LZdThHSz!ltQLM6mydDg$VeqK}_l(MP zQB&^bP6;aNy?%KI2tX~Ky61sq!U)ck4VE#$$hEW~A?Eu&(zzkFQ-1aHi=nP~gq#L$ zphHe26NOE|W8wd-U)I6}iJ8?9{V4>oj$IzTe}i+t18}Q`8*X#Gk^9aeKwsRbG2hTY z)DSch0OKqG5%KXF%sb`@&CDUkgDg1sEx&^vq$ee7|1gqztgr{;c^KwU^cOT_4#ixA zV;AO7K#y=^Lvir}U`7?|z#}sGeEtdm3Bw0fwuoH&miCkf3rZtdlGT>wv|J40us_Lf z2j>sb1WtOD<*3tyl4R*HER9wVzgj;MbMm3ubM`~!eBrsj1|IMgh~ogH)7+Cf(lKXE zK#a5<3R2)V13q_ldp|ak+RXU75+CJ%g3o(iw(yDlbXLGaZgGyqz~}YyLF2P4cq0y< z&Ug;{U$yUzwSQh`?eF%)#&a$RFs^-Y4H*CY9N3>i1F+lq3uClKycsML$v7TAfhFI9 z64hP*rLN~ajvvf5px^wKXO>an3S{xlta1@zur>j~;?oE7AmWd4_~U$Tg#QtL>wTK% z&I0r_8KU0z|E*1hd61fUX>4%Os{j=+3`N$}sd@Oz|4>VFTp ztomoQtKVSpCPftN-Iy0Dm-f zCaaHR%;JxR{a;I6u(M?yUj>v>O5~FUd9XPV+65-?KxTQkEQ^dB$vBIZnEPSBKowTU z9(qC}t^$aw5X4=n8&1*yZt%b#kBPzp!DrERQ@4{W4FD0GYb{>2_k2I`OB= zV=wfCq?(W|=2KU_)KN7opE}~VllHGi91U0d8|<3&eV%{ny!~emi?x5QT?+Fl)@@7v z_wA3cYtrrS#3**we^>iW$mZ&QyY?;mjHJ$FA<7arh2G8xrjcG!O4`%Tqihjl7^W zxpqyO9ivL*J}T3|3ARmJ@(#|Ik@KrK_fWENXs2FJb-kT{G6SbW z)iMKljP)8A2=QzN@)6_J3=F2q74U}x$C&|!XEp;+!m`Z(M0ifDRh$p04D4x038M7r z1%b3+%5!vYc@yv8-hwg(f$R|KFE0q>1XFNQDye@1PR@0Ke?-#vD`NH7h-&+$Z906u zKW+j8gmG!R|L0ebSaAP98aLT4dWO>Zf-1bYMqZTQg?T}xlO7~#m}4?#%J-8beLB*n zq`gQNBhamR0T!JZ_sP3N{SNh($ z3bwwjmy%vR&`HaF=OT_F2UDA9tV&WSCSw&1%-TE z!b214jd$48D+FAaDT?KX_W1L7bTHCug~-vdI6cWTND=Pn^b5;`S_{}o(QN{=L%hGwP>V<^>ZdNxl!xyBP6)E8h(#?vr z@CBJ>MSA#xo~DtBfE=dLGd%1#)1QU&W&yM4xG>@kBlK{%2xJJ%A}FDSJN~q(pXalz z`cIeo^Q8WPQh&bGKUnJbOZ~;VenZz^-f{hNzK$Oc(%Ic&T~V_UL}>xm*Je@W<0-=B z#o+PBlXwNunluj7e94IYtj9qpn3j3V?9CXlg{iI)yI7A{HQr&wHg}N`+a^C8vHR)b z5$ScfOd}XE_^m!h@@&9}taNhqMoe4Ru-(Owv6xIaZ1*j)hpqebmObVf43_%#8XjhZ ze>yuDhgJu};?F6&5I#+etP#ugY97<$<<5mOGCg&?m&Q7uhmpqW4E{)*Y%$Vl;gP4C zMoM^O9`+x?BL|vBdU&La#7G&5kunmcdL&BqNR*bF7gs`FLdcY!UQh}l^DG6)S_)4b zwKEDzDPu~@3rb@$W^E`1=JP89W-_i?#(1WLao)}>N(+}};wL>^+7mySIJbNLf?W?McjZ&+i`+MX@~g4k*EE}HPOjNGH=Va5cnNutN;HHcxeoQ4VfJyaQao8 znux6ScoO>*&pglhdI5-vQn1pNf;40XXobdc?#2pr2gYGR_%aCf;Z^DdCaj@buNFg9 z(zqKg#5Y`sZ@3WOa3Q|oLVUx8_)0YKm1yECDJKn;Xc{WfG*qH#s6^9HiKd|vO+zJ` zhDtOIm4JpWguJ6U*#~!FN(YLIHSCPM{pxkRHO$znJoOWNngw_tv*f8S|H?Uhixed_ z$jdjM7HmS|ZsH5SYV=4&NTL5JQ>FiWfAnPQF-P^VAAKs>e#}!@|B&Y93sCB-E3NE? zivC?{6el*Z8qZnDL2w;cw(MVJ6)=2Nn1Npq1_GB#e3ep|6zW+X@u#S|r&Bp8brn&X zvqA!IxR;<}f6=xs&Q-Qd@$bLS zLf?{5<5rlCXp{%h*TudFD?}!BkR_`|e7%zY8@X5p|MZm{9)*!Pm4PxFTVP~fhf7C( zY8!at%l}dpzrcs^RM=DDc`>7KIS(DLQ+EQVhRHYKnLfcVPcM|4m*SqxVVS`b-RGwa z#L=m3oLt7!so|o%%n)kTvPo7LZN-w-KhMu>3Y5^o19`qK*hAflqeH9)snB=UQ0jiW z@+10TG2qf=y!Lt9OFJ!U;S2HPh%Y}`+Zq1~&M8%LGTMj*6^M>Rsk>_ZY4E%f z$%vcPU&7qNU3^oMNk0%oS~S$hCJ2i_;K*qai2t_Ltr`52#y`pUqb;G3)m5Mrw-dYW zAB~Z`g~&*2@&hlxiPOJps19|A8r?s!566{9Fh<}BbsP@*QiZ=#9(Jg3MNG#PdZo=5 zrcuN<6iPGC_lsRP=n%t0v0(EGsGje7HFO#lH!D70}5(|hbc&_yMxgX&6e z3yfhNPRqiSkF6lM68cdi&QpE=sI2?yUqcgoo0wlz?5T&@9-q^{iF&vHT}(q+lKEt0 z)=* z!?n)Gj}$+S=3C1PbvWN(pRb1SK>xp&ilp`J^~YrifIj>MB-QG}w(=%qqYV#PoA1$C z`AXf5tZxix;GPvn1$$OF>3j|RV5W*64E>}nrQX?}ikA=2tM(Zt_eB_qv~YZ85M$A- z#(``Xv|@v47SE;dQ!Qwid0MwE&1B2_(Xw@NtdgSS#Byw5MZE7og2;wKPjW*fb-3Uo zdBED%R0?329e^yXjPlD0w*-$Gj)F&&nL5UO88*Q|>-dh-sMyn6%UvWV7=bww>iJ6` z>jkmdhfqXzmAe4M`3o&F~KvT3F zg3iTyioTtHx7PM6RIkT?cIHj2nO&R^8r*a)h5T$lKMpM2%sH=z`WsxGv_RX4fMs|k z0%4-Or9i+O*mQ0&%AvJKAF_jeRgw{_$cXX1<9yI^7N&Kplaf9PbZV!8*fcBNb+3`D zIR9q&@x$#FF!x*P8hH2_g)4$si(}8H@U0-s^DD}8mj?kgyboSj2wa%GyewJU3VP^u zm^^m2;1+t4sHL&_h6}jVYb40G%z5etz`KBRYTMC-7SU!|g!AQ?A`F0VCyskj6)o(w z08a@2429(|Ba8#Py>8$q%)o%|T6tV);M5FU4K9*0aDAouHFsS(^tfumH5oDpa&;f# z8OfBi4@ax{h+~yG7{HsEJA$K#UxXCXMSKqTD&1cirODHU%gF5H(dm_tVJLnJlIK$gPLv?N>1 z49tFsCM{;Jw8UuL0zHhCs^TG=ndu4;gaAVyQ0NHV8^m20+W|vr`p0^TyUUKoC1Pa; z<$gF3S{(jSFbsLRQ6uC!p1Ey6dq4=#>Y(IlO<{Q`=tfBuNFd0fouH%&C>bqPcYu;Y zeqcYoLgj5bKld+o=P!6r$QNTyK>@kg>qsdF&xDLbJgI@HhZGw(-H*PSy*_L}V_Iyy z#YeXLCMN0yMq~sC<2`vaM~GAhBim9Ph#m(;bbIhbt!W~<0>~k@6u|_b(=ZX3BYf%; zppI3hfvEGwkGr&G4}_Yg>`ul5yZotJ_qpgeGOSXagHDr7syG5z39M1yNYL(GB*yP8 zVuZd`xVtk-yg`;xN5+vMK!grV-qpalt!Y|+a_LT%1+o%6RSgwns~m_l=BW$evyO^p zW|l)6Xn-J*?Q(Ge!*Tvn`T-TL4qa!Mmgmo)A#}nEjx!itw6G{Ec$xucA{OMYI3uBt zJ*a&6+0j7|X$y?nqRh#yr+`0l5X>B_1wk+Ih6v`unHpn(v~Smy5yUSJ0Y;)%CiM34;s||IFFzUOb`Q>KhCHb1fZQi4(Hc4 z+|K%BX$2Jut1HACOA80m%%SPr0~nggJ%FJ-xd$*5`?PSoIF5S&;(TEa&E_7!&>ZXm zm_xC1aJo4ZI|q5@Q0yEGG>2m6Am1E{orA&VQ0yG|&7s&iC^m;0;Q$tJ*f}UitVr}1 z)|$`Qd)P_Cr7+mgGC#6PZ4`{e^?_8~$^O?JU&QZ!EwXl!to<(}JK2BQ@}n@8`uGEP zJc}RxPWiTiV$<4tBNf==jhO`e4l0F!)=ZCRA>f)A(|5DenVikUL;zg@BaazToP*qI zVXeInufK1$vYf8{hwR0NVfznZO<}K_jBDP++&l=931owK6u^(Q-%gDfKx{J#HwSxh zCIW-n5=-LtbGamoBqI!`GA9)yc08414P)Y})3s1XWHE!wP7zo$!6--yUT+i(O$$!K z-^}2p_?s21z=fz7Q6E~n`2*e+mkTiW!m6zjgW2-;2w1oiLw&3o`Y}|f_1s54r?G1V zhXvtb*Z4h-H4n|=pG^Kq!(gj7*OoDskb;mZ zVE2z+`cU46UDnJtw!mZ$xUjCTvA)O1TKJb)B0a zWArWCT~Y7M`?@4J0cHGuBhQM=vq>9vm9h@y-F|Bs=f?~I`{)Ih@KyUqL2g>hL_e1| z9}#MULKz-yj=mW$kFnN61VJ6)ommXBYRu9HFH%_W8>1k&)tcb;+Wx5Z(b?JTR1W1y zy1w2;T?G5|0wcQ7hQnxmk3eA5>^Oz?tjrivSr@d?-(+&w%~~sd6%Hu4v!Ml3F?9j( zjvRijZNlPBFPOpE8!;rZVN8|9Zod7WSoOY-xX4{qZbNpCo*kpeSG8?8itS0k} z$aE#~yCbE~P^SV_K*#;2f>dE{RG;Gk5hN!Y5kzY&ToJgbiQG|1Eq!fQ>pLv3C6S)?F@s+jMVR zek(WMFT;MVbq11*S54JSY;0xWOS2GBuiShSCzIe*msXzJ&3M_F+{_ge%yGCwRcU3B zqMR})al_4Pa>@$dG3+((V5llwB`%rv$5Z%!l2N#K+918y#rk&p&G7V(3|oTpy$fWa z_7!YnTNY{# z*caFS^sQZWu)ur+@!l3uI-|cn{KiyK1_OJOu_4uPQP8(gsa=E|WjJLYI>k|SA$Zdz z+}#>AT8>c*^DUIDt!ECuX6NMcWYw}^l)7y^+%YdLvXHUO&Wi?KoNECyZ zJh1f-ki^Rg7H;(1R$U3>hq1bd(nMwhk(m{sq4U-T?+n&74M_ zF)+e&ws5b=`@-EEH60fWev~gF>7G8czCg0{LD2j}s~*&(xH`;jxJOKC!QwB32M7F5 z?`j8r%>GazI3^5anaPxY)ncCetH1{nf>AImD})(9EF;NAVWOv=$_7^JQSayADs0@r zHVhLnNKJ>(j|8IS$;+u!df#A%Nb2AJlY|RO3T~I95eO&7l^=u>7EJ|3Qh76%ZrzQ- zwBS)N?^d#d5${OpCu+G}YLP8NsY2Z(maT|^@dxV9DM1sLrW@Ut+}j65lLk zc5o?JPw~DYedoZX@Oh#dWcRtxy25pyyBlnFvh~~8`G?)L8$ssl>v2)dc5@TmMGnV? z$xUAqA@8aW?!iTCM?b<*LLS~ss@5c%gt>(+*F%Y~M3WTu}s&nrF}BubiWPl>vrz%yf?>j$Pz zFLT_K#Ds{ZYaw_o01v@wK%CFJx0Yi%_E_NhEj_hGlVhWMlh$RskvQWW&o`!6!Y ztlKrj=*rRVuD6wMNGG=WzR%rthdyG$^AmjUSa<>l9pqnnsQlVqk?|sr-(m)jdRKss zFe`-ya#Ep8Q1?Yh6k9OzxK|4SKVToXa)VS5M~MZ93giVD#G_l&7eeHUPk;{$2A~K?IGge-H!!vxfF(E%vDmPO+V=~$+R)ko)tciN>A)k@pno=+`c0hD7eHU#- zy&q7{VnS{XW7}?vmZKTCCk#)B$no?DimjzcNSy`w?PaR0KdPu3Sf}(E$Hv@D-Z#|E z2n@DB%=>6VR8RcDVr*8n59jg%U_8*%be;Dh?Rzsedph>((PB7X=ICNPLbd~@{&)gR z#|lg*AyJ#3U|oYSU7b`c%Ud>j_KgV%w+XsATUWJ_|I&XdFM@FB%Ho*05BtzyiDiq0 z%r~05qTbv&^euQ5Z?QgF4OvT6EEc{YG!Nqm&_ssw^?gWaXb_I+hJLtsQ5<3l@4j#(%!M2I^qM`xks-8>t> zT5~C*24tM^d6xur$L*L)d+E8fr_80uIu==h?=Azj^ZHBNG@>t>n66d~buheTdgmC9 z$FGm83UfE~%v#RpKu9<%>a9jXkLfr(0gI*O#Nzo#M7_iCS0ANj)-=Ck%Iq2bu% z;D|1DKcR$21VmSgZuxNBlc!t0hAo4co`Oo&f(EJOM!~4MC9TD%78WDyYuFT%u2FC8<5iWj^fye|3NU?f82f_uOdw zsRK4s5LFfDIHYs&-Uj610Quoo9`&99q*xO%=3t*}?%~R#HzzGX{KypCh=d#Nj^cfF zw!X()a%0^r)?;Qo`51uU+``-IqTX?ks#e$RU-N`&uGJ90;R%XH)y9ERXB>^3c zD|n~(Qc1)Ye2E(@n@iY^a(Pr@sPf{|7dkd30kGAMJ(_1l!|=zp+o#?}+;f!{C( zz557<0xeyJDhMbjBgq0VcCxkU764jo_Iif7u{Fau7wjl(mVM{{3sFPrx6eMi+Kqio?C~1lrN>0Ep2aXt+~_#cdZsar7iyVCI;J zv8P7)6?{~XPXNkD5LolWHZ^Vq(OQz`6iS+>(QS4#Eo_hyAG9)R;e&g$k$rVh8r<)+ zq{TTEbvwMMz$Hg4J(*&@syOz^QoLZZxlv|@pf~ERz!OAKfh*e*62PST;_Q=ANd_x9 z*7a3{QU~W@I*>;{T=m=KQPy3KJo>;bj~WUbdGrpZhYsZt_yeAoR3oXeX%<x-^9+abzPMz)jvY-C?u zDtNzbMN2ALQb&CwXg^$|y=5q2ZD|Geh;``I(DPDmv}ed|5P-n?3Y}{eaxF>lYownO z1Vu=csT}ptMbdfi^V10WFx^lc$*%AJk=vY zOUeed80cjQbd0~#`Kx#31&}Whg3H_jd)E{mn_7b@6Z^+>1KqQgq=xb*S&-(b?Z0Q0 zO(HX6+hC5&kolJPZB)ojirgde$hbtk&)y&;bumR@74#n@LDw@EX}UJ^qu#k7I=Aj} z*=%cmgI(UzUCOQOQSTp-IXn~Oj@lRm$R!F!quxGF#xvX0+O>VHd;V!NS2{97N>P*; zI90(*cfD{$j!?axs-SxzX1`p&B=aP z1#CHGVP-NuqX(B;KoK*40vWqyZP87{jN7I#z{)K)g>#YJ#*L`8ju338kJ;-TL+zgU zt0Zm3O9s&x>WJHgs$o}{pIrlVsl#FWw-zjtes-Wk-c1Pe*r7NSeOA2oF~q*U8@L(JS~5mj2{=;43NyO0r3Tn{p!6N+tS)M1H4#6!;pSABH*kjq63dcM7eaJpvY}?RV+=w@ zMl$|B5r&W@GvKaRGo;U??zn{F=%&V@qqOIVf;A#e;NttkE{Qc(*IW{u>aGg z|0hZNziUc8jt@M%+pPK?vT=+-8+v!l4u-m=>QLk^XZzgZ=Akh30uXyz)ceezC>q4_ zWD)zhc@D8(I;k^JBNQR)lNdd4x-zJv%OVBqK^)@Y8NvE9Ss^Z=Gb?_9`Ci_gIFd8p zW%##VzGI<=Sg;0e{_E{od?fZ8LaF|<_XjgPi}&jSd4EO$9Nj7WaLR|2YJQfSdA0?r zUoGgz3dJXa06>c1d`6pdd2R6Lm`;nw9}0W%rqjvuL{d=Y=!JNUBBPneMEjzD8S11f zI>A~S`js7O%RcsOx!_3bMiuHINdZxnY7Kmeh=B_A8lLpJRz7&3rZ~w8m4GDkRjNr4 zUAn|rKFLb6w(%E6w5fnw+%IM#4p1I04pl|df8mo1Bkj}}@!7d>n1iJmFSOA0;9^Hm{db z1R{9!-3?fiT_7CjeV-qJkZLsr(>auCn&=!&bGh^N;alKCj1?&^-GiQK8oxx>)(pl@ zS~_;4q<9~krKd%s3}Srlz4mN#Pc^F77L8MA(YPkqX5NM0S4O3i{m;m5tz}v-qWl^D ziL7MO^-AW1-$TpCWyo?45->--Lqt??A#fy~u#EX-5V9QWtYFRvrR!zm4!%#u^?w@L zA9Lvvy^66$t8f|%{?OOJA9^ZIWwr5%?u^gxyzViN%q)d0fc z&-%+d<4>+9YoO0_ipxQ1IgWNeUzn+-e*vELdXlO?jD`KTt8G|R76Z*V zL;BNtJ>WjIxrXfN{mOaa1B(;6d1O!@?mwG^tnLNfQFtK$`_v1k$$~Bk)M?I_g$EZ} z=S=1BF3cAA=By;#_Cm`&Uw5$H8hy!c$LI7~2cL24b01)`@Hq&RPlpOA{I^PQ%O_9R zTPH#Usc_wbh669g-S+;Q6=@XLT$*dK?FQ9{jw=Us{Lv_1O)iUE;Dh&8R-kYVHsv;cWBa9nVKRh_cf;mUU zZs@iptNxAI|E!x^=6<4PgK0D&fv?{qYszB1b*^ zkv_kpx+5|$#8Dci+Lp6f7@u)p20zP20{7?es!C0{i@|Bp^GcoWeV>(ve-OXlDQQ^3 zk*ZXq?@fWOzL$x~YBdrPa;V<^{Zr&m%Phy zok|AliO3{>NE4gUAfNKom8f6FgvlzE76-|?dt8tVu^_3RMoyM$PLskJCfZa7ztpoM znD1q1hOj^$5%m^K7Tond6K6d7M$qT?yfe9$5qQ6_RKGdzoAC1ku@;$B}AALiREnsIXvmj@eF00ldEloa3@p zkW@hd&nK!XIMtE{R%segzW3Q1(89OSGU-kJxX{8?y17wkj=<-r`*AJ-%GgE~#R&(S z7I@JyKTlUP_}QX`>3mhKCf(s4`)c(&jR}iZs@1KM*0eBL8FxD);8QOnA++!y5W)K% zNFb9q24)V1J`I8G5g^{ChBNVM-)jBJq6XYJw_cTOXV=!Nosh^7wrRM-%+grgG%{H| z2|(CHo+?7Sq!FL)!44$R=S{l(M?u>bwffZ27KHUx7L80+U8HcvR6wG(eXeO_x@y>F zJw?5dKgwWY9_$Vojlulk49s`k09Go<1vCRiRxo$1<|5*CceYJ4b5W&5Gwu?TmqqqT+nE%ge*Fe5uMGK!O_26zTbb7Bi|zsD~>+v2W$eSp)SO9 z(V=|rtogHYIk zz>dgVdFQQjK5e)*IiWZ*A_?E7%1ev>rmK~hE6J-g6-IG13{8+ag}-vt7gwWMG?oWt zFB|jGKxW8uGE}Qo%s_!tjX>u~p}d+I&;?KPyoNw-4+^v6FiymqYypD&MVi*CTj3yT zm6~>1O~KgENhmrtbVBXHq?@qYLgpUR+d?z$2f||u7KRBb1z|P7XxJ}b*mF zDO9MTX+F_`rU8%6WkG#b68{c9gQp5U>z{!@XvIL+p%M)5pK?WL>#AyERfX)w>FR1c zT2pTaY}JOIJ&R-Zyc5s=Rg!iuu!r7=?4HFjd(!zfZCi`%PkdHS4YlW@PRRQWng89Z z5AG9M7lDiZ2|K8#?p5G3|7cI$iyXS}J0jJs-H1z1W7RD?@Ws+lt5vDq=p9nDf@?xrkXFwuxjDDB4-XU?c3&D@jo<;~^I@cRAUgKz3(ChSIXb zjZ{mmYBRpE_5a9U9bCu%U^4u1gc+x+%iQaWOmxPQmnU7=$$-Z6Id?3YYiW(8(bGEd zn%eg*pa6mZSnRuY9uPy};GaiF0meo6P)|SZeck3!_P*|YS2+8+=8!rT#`VdOi@}RH zk=78LViZ*RrgX#b7^Xk76}PVYv+y1vy9+l4FEk3r_@-bNYD`XfWMo!(q%;%f*TJ+b z*+WMIrMBxO(?>`8%O4dA@P&J%Mva8JTbQ&eqIx@i`)19&-pffZkXu8&qNk>0Lv@ zJr3WXj)p-0*bDE16gG=Ml6laukj2$={V4LnW#6>@m0tKvbZFX-#(?N8oykz?=#zBh zQ1&u+eOuLEaijLrJM{A+#CM1E;QP| zLk=C#78|wJcPF-kL-u`C|qP^ zHdKU}X;G3_@JC`jG;5;E*CXDr-TfEW$GQ*qom(#;@6nWr{(rE9%CqBf$ePrTN6Apl z*N4Nt)G5;XFT>fO0J`52OnQZHBAv5*4nILfMU=kO{n+-$V}AnkiqqM`Zes$@uotg_F9%>V z=V#}!J{T519|x6d&dMrQi}QGwIf5~!k81y3i05i&Qizr~l=-WZLLWMO(%E3Tc#)~Q z5cvz#g(xT+)miRYVwu9nB6g1^cy25J)e0|;?E$e%*iO&+SLg6?S%&x>aGiM z2As}vgK<9Xa$2*(UFB8>_0?F^ibIe!Yy}x`tB-fdS`H@a|ELm&;F1lTvxlD%9}b|6 zWwUkF&#)vzGg?*aC_z7<2%-cHdP6|6%RGuQOJUzhP&c9!B9XGGKVV2jMZ%vvKIQX| z-%`#GD+_lI%u6l{Uz0W*EQ5bpc=mY~qq)GmqMX*`a`OY+b6SL|!+i%4s7UJZH+GlX zmt*|FfJ|KH@xtob20wn`TQd1${8RY13;*^S(Po@)j%57!0{zX8ywIM7cshNJ8YV`p zkQW(NN`~)5lu8dIGT3R7A(+a|j;`2gUWU;i>W^si{@>R7{aQ*N#pCBkAY;_)znBA( z8omjGmxZOnmh%w6=b`Nh2}_Rz%A($RXz>mD;6Q*^V-~A$$sO$fz`{U@6SyeQZYK!7 z$veu!RSS4xxBC78JJH=m@Wk|>C4@UfyKkCz@6{Ha(2OAK~c;pUbXg=D9#! z7l}fMIVZ2>eUb?R+fnSITtZpy5AYY#k-BtfXCtD&+A*PxS_JR%6ya1S=wfi<@WQ>G zxi6t4ZW{~sJ1>%Q0MF>XJ|ApEbif+uL6?AlOx)`DO7(bg~xcLF%R}kW|aB^8>YFb(D*GA)kWJ;RDagEhZHiWPdQSV-`&9KO| z2Y9HZcu8!fwQ~xQZhbCf24gacRQK?jm!muBpn`L9-NJ1{k^75 z1D|?MC?>ewquvwFC&Ig{rDIYPqKLz^BCT-91XM8V6Er(YY6AS>K_mGHzpBGrfnCgu zQ~5SL=(G+$4%8o0@uMz5^{QD;r1whadvRYELzA(2*V6g<6hD5ZPeHXG?(3}AUsRB8$@O)O3lOW?oK7&$eEE(}W zP(%vSJf$WACI&97NYqgr2VSgYFQjN%7{gqd#;A1VrgspkFEf{yndiaDe{JyS1x5kZ z%UDb_Vn}5%U53T<+K?(kFx@UjVWn^CAWbSTD(0hQ09Pk;XPqt83DG;subI; z*kbcjSf+@DG@avMLt83*ZKiO&*JWN z7#Yvv%{BYRdWz+qRaCixJQZEh`my9!FCeSvo%pl~pB8A7toFXGhVX%n7n_5Uc!w0Mm_Rv*M-NH?_6iM83Dbp*!OWWcv7zLq zgnn>7M>@1imJ6?eA<;2RdhqIqdPkzMB@lnnmM77HfcbvyXGy^vYC;F#UxJKKM>eVx z5%2^^$+QX`gF?V>>rEwfJA*xIe@+T{x(~WZuA9PwWY%zWm$ehJDx;uSfI`~x2u8%% zGm(W}s%7}a4itB$&f%*xb;|Dm1^ez9@MdvjXc8yUx5}-(Xu$hVa1=eX#Gw;5TxyKZ zkA~tAS(sge9_Vf>Ciy6o1ZR#S|4Wngb3szdt+|?Xtp>#wWyfh0?D4)B~?*dEd*@mLH$QLDl zoNSkbcdua;ghGeqjAiZ;S0u!p9p|Xhf)vrGUG@N(-ypv#IJZ>d^a~~}ECgG4-&j{% ztO!?mfVfWW=$?cX*X-YG*y={<4jJrFCRd7pX?HeZ((yFS_t>MSVLm~`pw{y#1%`G_ zf;x=*T(L8~UiF077MzDt1~jzh4Opvs_oQxg`Y=ps(QrO-hov+2x|>y6SyDplVeELb znnk}h-AzBOdKDeJT{#5M(fR?s+`@B!>3Zsa zK(#Ip>7%vR%Qv{f!FF@z<^udwsfG?AratX0!+{a}=(Ko4yUYW@r85JV!PgC_iaqTX;ZPeVjQLn9T* zbPNPmPZo~f%dxGyT!v|l>aQIwz>WBx!tvdxX7>}5>ztLWa6GJL;s0hY!XqK}b6bZB zN_qI<>>B(6uSLLXM27{EEI3DkR|9qiUO^)eVb~PFP9%k-zr;i|w2joZF-2o%iRCvA z<+$t;pQ64DWJ$WihB>KlOys3H1XEJjiM^5f5_KggY1Eaf zIjci|%;JUD(3MiJvUH`o>}qDwm(Ehgtig~$$b0H=*EpD6AKQ79_bl;zTz!eKdsl2- zD}3kZjlwI@S_Y8Ff!$r8iKzE^FqgdU&*O-Aor!j$-i3TkR!Cs8SVJ;5X-m=tcW2WU z0=32Z0L=wA5Mce#UVWLL?X#+2&8$Mws3OO%LO!61BPK0qS003QCc&yeW}N#Iu%I?* zaOx~@>TGcIhnhmFiZex3oGGee?Fy)hb|p(7lc5+5(%Ry9Xp5&oTSPd3y6h^nVk?YZ zDvX&_80~^jqo7t7PkUWd#}km$Y9mfz*}1~V1+``6G{6@}wL0BFh2; z-OwnhvrtlJqeOq`v>UpT-4Lv?Zj5nv!{13abU}rJx*OTpaBdW}ceQ8nrWkxpl-X7n zKCoY_MJI9mgzR-k)CTA@A|lEC76KHPM7SOsQzmMJoQis{gakx)%c;BGg{tLwI?fxh znMkT}xdfG>NV7spjj{Y0ycz#y4lonqm$0BG2NtPGo|{E`<{xNfK8gzVtQ=%ofnNv4 z`ymO`**@V;=r_IPVS~zfNpHYF^%@Qh2hiB#vd0kM?2-J^PG+K3_+i;e%{gn4#7?;u ze|8No*m(#@)cc5?)HU2|Cv^>Tk?h~lT88QIhpY937xgBAPu-K_7DG>t&dJVA$iB+S zz8$!YZM%SBtBC<9*rUoU1siE7*uDO7$TgWm_lak4^oIGfyTJWQbK5 zF0^&dTA?yFtJwm_;{kibzW(}$uwzl{cyloHq_<%IG51EjtB?r1#z_?RvU(35Ce=12 zO{zWEW%l|32(7nXeGdm1KEW`!MSXz;4kmc&Kj&NomI3x*S?K2{HG@~gs&pb!>2Y&kArp%pS1|S4>2U`)w|aiPZ%cx+FPpu!+xl`YAGpj0Mx-`tNe*B^bkGuQj@#h&Xi#jhh3anf(ZgE|^+ zn{*9(fp5(X1EQ*{ya{GdPurlbqB+yt8`I*kUO*I6EmJ&oD@kVDz1a|mR3xbft9F?&1&KSp(jj+Am-RHrC0Yez#Lwoy7CDPYW+T)eUM}i zB98P1^;gu$D(=89JY6a6y5IztUZ3|QOSqN-Uln1K85&T2cslk?Gd{pkCuX!anRou7 zjkFU?LE23$*3ynR?OfVf`d-KMbv=B+Fzgn6OC4`VcGja}1fZ@c{?+C>(qG)qa)pA%E_hL)LR86mg5?QD-6$2*cPm) zjx>El*gIU(E5Sg!)f51-8?YpTyb>^g-*RnliMN3n+WHdpj>gneZua`|9Pa(#y!S|; z>w+u@EKJ#IJTMK;Nxk_$9LcVRS(}B|MtH9Ln6*BglAp+3IZQ?V!k?)36;ueG6*~*# zb>vx_$v`(9KB6C66cZbN4H3Kt5Fito!iY2CxD5qr5rqDCcs&4G9pVVXwblJCDU`_% zAT;;eKv_wHaJ1_hFZDBL~|hvgh8G$AP^v~g9deb z(p;j`oTpuLpABi-+*{-AnE<-k7Z_#BB4n`)r;84ngWlAw{O*7I!5Fb7P zCjE|HP~cN&MHn#{F6N;XszO%}hI`$fCW~FiBBgh#I^tQZ=l>6F-vS?1as8jyVt|AV z!eUg=Rij4H8pTIKM0X*9yRy;v#`;R7Ka2HIlwFMq8cb4Iu3M>M)oNSnt6HsZ5qvcP zBw&E>s1L;YeoTy7!7AW`{eQn_=H9)#31IvC{rRxDubDGv&YU@O=FFKh&hoFb4a4jT z;IGHwyRGBzMsW7-NJxk;{VivZixH*2^=9edy%T{I zue{Ra)}eu$(4&8z`KA%l;N*-~(UEPD<}G>HkE09LSuuCcUs)B~KO;aBpyA zWZT%L=_RRi;zKf!Xgapyu-I9>Ud4+|`3mgTvq#2v|NNmC+<@i^e2Y|nedQij)wP~z z$xy^UB-mPi&Bs=1Y4?p{8EBtn z$Z-I0IM_-aE~i#G9wOZ_5wNEneWWo80Ea7fhyzSsIOPNSi=*UV9Y9VRsBqS=&jcA) zsehmZx>Oh!WR!7>!2OPHAyAAcr)0UALc=-i{y(JWmtX=9J=fgdi=GcuvbA?aPx6PU zO44`p15CbEl!ZI%rQ^yOFVMVpe#Q&cv!w67`v5`@S{UI{fil6Mixt-L7z$1h@0|A3 z9{wAQRaSC5 z2KMoia}}lBAVvxQc{J(Ua6i8W*6_lm!zq$lSY?%ZSX*eUJ|l!5hm@iUgKtLT9>8X4 zU`-CNJ@Gn%HO-O;)-+K#rrtdTjD_!%e!9*u(aG@X(ZmL&Bc(cYN2RwRrBnI~xJT&& zG_X7e*dO@1>SEDm_Czn?_8N3KHb`8soYF9nr?5$KU$Wn)O7S-H^BVN zqd2q)yE>YvSAKCmp{|9mA)ISIyjLq&cC*IjM!DF{!nKJTHS@YB<7UEiaN$+U6L1a{ zWFC))^Dv+W9?bgv2&P9OBX|r@BcGDKTY;b%(38I3-Ghh3Ozr)c5Vst7V`!-hY8eOL zsTgtH{15-x+U zCvKb~9B?B=H}H87usUvR!~=vLSOs2hzDESd8+DC&l$x1VSTno%uKQ{HA<3YL7Q}qr zwKP~IeSh3rYmA~UCh}mHf!QH8w)gpz(85DFHY)@_iBZxCCI(Z11#-(C{3z}?7pxcs zhN9QGD`Abk*?e^n3ASSYi00|b(dysCz~SUs`wWQiE;Sf^4+q;hA{?t4T>|#2ED=t^ zT#s>c0=Q>F5cj3&>h55^fPrJZ`WtK|XpLcL>}UcVRI_nHGqtVRIH8#u1~+rgGZe)B z*31slQ4XI>`i`DQ-e}g;#))?cJCn!E$lfgNCWCu3+m7RVb0cm*`VRyIRw;ZNy})GX z_e?lE{W0l#j4AE)TAfJx9{&@u*glu5o8bbPo_F4QID31RZsCmY?qLUNbmsV;%?oc* z@2H0z0+kR0|E9x<1zd>`E+oj4X>rc=z(}HyJ6R$KO~{!Iq zX?$Pw`qCZ;-Z>6D*c4G92?Cv3cC&R_2(_CEj6IA5nGm!R=jJS}EzIpX3;H7Hgx8`L zR9V4k$63{znsyjdN17W;b7GxH?@n_)Mb>~;=P+Lt^1)}lo6}J2VvQeylhhGn zC^u3S3i_K5l^%@wa7KaqF=GxhCVtL!?EQ$PU5DQ=tNP?%*ni6snloyws(Q)~A_B7n zv3HT~ZUyFjQ9;*FwV@rm??9SV;PZp~(K_Ov+m65S$*da+iU78jvuA)n^cO~1Zs0YxAcvhxWq zWoxe<(p!J|JsOU~zwXg4enFZ4Ainzko)fm3%6*`-cLJ0#KMn~M(ZM0%8G#f%WNL(+ z<4Y_dvuYrjUWJHY?{p$JVvj|I!st4%EDb=DQi|u@hJgkHgrtYvCz5C_6+T9~L~9VY zkz}%*Z{RA^B;4?ea-H>8ztdSG9jz7;@E>kD*W$m04fvxTk}8Nyf~$O6>_`WUxNwco zkR}GjoQSxa<~hXYeNurR4n#>Jkg)WfOtKVp5Gow8<(@}5@9s?Gxz=!W6bgV1=io<> z(MiTf|XJ+LaoznTi~PX`{RgBJybjOZ1qz#q`d zFgBex2A)1`FvJ9-IA5X;{d@wQcD^M1WMJNn9ID{QkqV4JdU5s%in9+6)soJ&m<7RN zOz%3bm!h;~YIpRIa%2}Trge%;>bD!o!BRkDxG%Ypv}E40C_kkS=OHMtdvB6S}XLXc;-LW7+O zal^zMIHR#l^xy%o(8qkwzX=Z*X=J--!>MH_6liHb$1zw0v!mB*{}{_ z{{X5X^))pVcR)f_V^D9j)BI{w3Q19^cic+7K_JP%bIrO^Rw{7SetlL-S_#4Sqlnye zEVxQqX&P&1&o!j)RQFO*M`Z-HnM;T=D>FM>fWPT~w1?Syc6#_LEyXu>-F3fqZ@r)yL{I!c*>ySf#|NOC7;lZ)=iwb9j3+%C5U~4K>w>> zJ~Fa1n0w$lw-``ohdeAqdf;7CBS^X{bVaF$wyXa67SIzD!mY!0LQmvpy7&4@w*&ZL z1OLvx^11kG{0M($U+L2Nie>L^f7^5|>Vfvq%SPVznD$%U9@YQoyFJFCgE)nHt{L#d z_A>ihRRsuA&IqTRq0px3Sw52K1|Aua%aq$UdZD;LY?(F7u=+ce+8 zJ_&ILb8om1ilvY#U53>Gg-Y{M`lVB1-{lQ8 zibem*s5Hwj<@pcj3-CA*hX(r!Y;C^0FgB5kDwQ;}qh{k#GaJqiXjY7JmvFIVLSZVt zyG3_`u2g*?o{J>zjebV2Kq4+LRnm@T$j%v9(7Q#=j1y#u0m8+xWPMAH* z;_Tr2rjYv00JoytoK7yD+#uno;fA@`FfmX>AklyKt-S;6|W=ra)$Gy(J zte>lI==CwmY-!qcZxQCHC34REQvCqS_DQ8`p?(PB!CT@zc-^~1(_YEINE;Rv5^lXJ zU!TVfS+Tv~;j{5?k z?6*8B#v)g#$Jgf3aYv?-?00pDkKljFkMk)9bGJ_HKzX{P{UO1O4-N ztop=9+rGh`8(}XQ>&2^cH{!L0lNSdsa4PURO_zL9i8{gDm8y`r3#y~dUAa1hcfbo4 zoa=xWqDphIBzaPyy5LO@!=0`lG{af?K^RU|^Ffmugrku@gVegeQgi?D9rnQio(_BQ z=MHO0i)HnXlL2@q9rj=V@O0SkhV{{5U;9_D4*S(-8RZ&wapyyH!G6<`UutQFP0dNm zt0N!(f2H5?`XCUjm#!Aaq>B%jRYHnzij5vVhlT?2B=1rmf&z2I*q{upe! z@=n!3V=FnQHdYim3rf7#2JWtYZI$%w1}V;rbrdY;sOjK0o}U%}3C7r|_{E&DkZ4U+ zhd@|6Z*&gwuf2t-lM_r=kKud} zB|*jlDblMT=1U(Q$$|g(**VDx4YTlU z3`Iv4a&v8N?3Z%9y$bIta!Vh9ji#n^+2KVHZ0I$NZzTRnW|&JY$4HkSFPN3z1MA?a zd0~bp5TnH>J$cwt$;Q+HOQ)!h&^yRNe;Eq+Xo4vez>kC6#9A{Mu|2Mn$(Ht9xiA+C zUh1jAt`wc3&zh%I@XtUw6P2dvyKg%uR=Big_tZJF3ZR0?9)zIkQqr_~dxZ*T6^HMy z@H@~dAzDIfM27dYF2~nsS)o3^%p>(}F7G#>emUx5l;KoD#>c?nc^r2-RW61r+2S9Y zmX6=#AUHZ~pL{A!RR=ZGossgHE@$fFc8If@sb-%p8&^m<&@OWu+`vlS&|sqBhTfh81&E5pbrqxGUq_N zc2Y$XA7{?qb49YbGJS=Nd`uLjbK0{M{G?f>iPpBPXqPA?sW*qrZlzqBz{8$IVcg;{rVz7xM=FA`&iyXQNdlYPEZJvlV{ ze5bos8+=3R@s|l?$LBjqa2Z)xGUsKmKLOuKRNxyp3{HXjYlI8m81mu&g5?vgxj*fY zC8+vCtzffa7SW|{LPtlCH%>3YHCQPw2NN7ZQlpW~+QPzJopxHKKJPNvR;WB;i!{=j zK{ccwqsBvp(B&P8UQ3|k`T{B#UEqRUseYFR`|y$surDAc0nSW#Xa)rlx-^Kq5OyRS zI~0!!Xz=eL2G-o?<2`Z$dWGg+ilQ79zO>G2=H)PcOF~^_Kr**_dUY+?23I4rKxi;DaYoDE;S*S zjmq((4$Uz~^Ngh`@Y=aA!#ya+8d}V<#E`D)LjBJ<&k-c7$L};I#E{mdY)DmaMq6i$ zOPnlAMHfLrQ=XToC*B1?GA2V13t9E2f(fweDJvVlPjxkp7amuqqrb!DqY~T~0tukx zaipmsmxK(?ovH~FUPr(^4dE$GX{qJyPD5lDj`C@S>b?p?r4doGssf`MRw2XR`)|v# zwlF>BZZO8}cY-5PTki6P(<9 zU9TQ`$MeP>Y)u|Z5#yJgRk1>ppuk*0uX=SZ5TMWtQQq}s&w;lWnr3Vvk-?@^`-Bp< zPaFoT6H4U{o6JuT7K`UUo(mhBBPdP(3~{b4gK`6B+j>xj6sVxdCy1@SkQWl13QE|) z1wN>^&o}fZM|G-NJYqPrUVQkk`d_4ZMGA^;;mp*hph5gvEd0;I4ZJzT$qK_ZBZu%6 zJ)Pif!`GDa6>51tL64Dq<7}PCg3s*c_h_bU@9{zHzzpz?emwPk!vb23Bbz^n_(KS) zv`jsZ+`uF`{OtA*3c9aGK|rHuW-1>8tG~4${=)uLHKy&rfjRMWk|$NDe*<+Bg?B9Y z3snWv!BWUSP)B6C3(mD{9fh|Vp{PAkc&`om=eLy20S-7ekmiO6Re~7 zy8{O1sE_v{;n1md>?t)&)H^3f`3q2VH6{{Gw4(Z3|4lJaMbrAsJW^|wn&`u|8PQeY z!s41J9D5QLE7p^z{J(w-DEVK)dg*##%K%z`l$r%jh#LcMr<#DFnR>x6*j;wz03!z8 zjp*xqdy&;VrUqE&#QiEsFNEeXNAVF`5jj@{CA3ZiWeJBJ^Ik|BN6vQ1`k~PCqwv_6KnX+b+3zZkK<5AT-L?DNM z)AVvd=`)}NJ1qRc3(C-9qvL}aNeto$6f+*h2qAIV{+LXo()+2W>CI#N&NVM~l@n|- zlVd0bN8xlz1S-)U+R%F~9(M4Hy4KIJ+>kuATn{7M33V$*SyJzCNV9VP{AocxkDqpBPagm+jgEL05)De8aWD*Mm&}`xSN2^D-e4+aM0$8f+!cfXM1n zKcgy(Xoqlc(=Y1rf+01!)EQ79ToWNM+KGKV=%{%(A{s26Ua&E1HH}d5q{v7AjUtnQ zQ8@SA4A>}aJxzkiz%_VD#S3q)!U&zs&)C2DqJE2I`rYiY-!s9ksbx*amW+m8D3g)H zHnT=uWRdf`pQK+IOy&Bi0CqYr9i4m8mySW-G%uX~mub)awa}y2;u!K|wujmJOh)6- ziT1z@il$R%fKqp(C_X?Y0@YP&g=|DJYWVdCiKi95Rce$LLY#ZO@vI&NF_f%QcQJ!! z{UhY`3TKDDQ!{S`Z4 zx0Px=Z+Tz@X08~qIa~$luNR_gAM=qimW$YI2{>bdbwG_#>!IvMxe^0g5Uh9GwH{3@ zRo89Qvklyill9ZnXr=B{ui;=q_LXFy=2wt24h@diJ;dL_Z9^C;TK|r#9uJ%(Z(;U} zp5t%551)|#j?0*zD!?i0r0NDyd3F73P^=e{RY5OE1^{9G)G<&x#ka1JRmC6X-xroa zgyOvvs#znZrZ~6&gqhA6jijn~b3X*>2c8mz4n$b3-oQX*^sUdI){KQLrmXM2CW~n8 zkK?T%@BngjzXnuXFv-B$OQBxZVHp-h*W-6uI@f8?`ko>jU|Uc64Uw-xF=+;Wy8Gg$D=Z?)+)T2`r+I;leHd3|fB^*85(rS5S)FkqXSi>IC= zT_=UFVeoQrP%8aUsy3t_^!g?{=Tzz`y?iezVJv$IJykq0AnHAym^uao3`&S6@TH7Q z-H4%IBM0BTqUL~NI#Op%;y!6uD|tFNBCn=u%J;ED84Qe+uVDP$0K`fm5#Hfb$&fG=yJ? z^>UKEf*JO747C-io`s!-RH?v=o1ia$iD|Z$k2TO{D$wQ9XCqch%F!ty$7+@yRFACX6K*TjOevAoB*0rU06qg2_LMe0 zM z%IDmct8X6zsS&SawQwKeYO%zSX)z| z{5I^Nwc)|)0SRs8J4wHYO*U$8?5A@=E#JgPr`1iVV#`iu$1yFbebH|X| zfi%S_yh4pZ;Y}~dv=IT~neaOu5}FHo9X!sxeuv>w`jDTZ?C6~bI9CBNbV|Wn?}{1; z;mH^}K`U8*hdSjQAqSYCHhEcYP1V%z{Vl(jL7n~S$j3k+JqDGlPrfGdf#IYIXjZP4 zuF5m#UmURkI+Lgpt|k6X$j=)44eHm|f-Cl_fhrqAn4y~lA%0% zHKuAO2?w-nhzG-|&eSX6repJ=enHpj8Gs+2(06<&&3aX-L`EWno*Ri2)(;fs|9v&1) zPAmmCsNch4$`u9Z_~&Dlm7~g3>ZqIEBo$zGV|8F6Xn+n@k-goiul0tE_L3MQoR7L* z0<#|y?tqs-lesHZSDL#Lb&0uye;DqF$2ngXn6tBv+qj*^?)a+)S)yJvcctnna~D(( znY(iJC*EZ>_MQ^tRg52fHFh8NcXZl%bsyIwb>FU6$9$sc1}5!PuVCyG?ypyWdJykH zHIIXX(N{CAuH1kG@ibqFin<>06);leEDb%FyEHT}$La{tP)gAO!5gFwt$dh;qj!o~ zUhKTFgV=LJM4)@U>A9G~h&{1#xsZp`$YNrovx!mIlkNTNY`N)dulKBHQ$s;SbEy~>z-Uk~;Eu8nkVZp*(RMR;rK;H6m8g7kSE#l= zz{+*1uRiAy^bXNJkhhHFl4&4y1eLkE_6?bKNJDbX4L#^hEMH^PY576q0Ucesri0*XA8-ki39nLILgs*8}q z0Mc!o2DI(tLK2m(vU@ZgZ(NW&)ma}Bp2Qp-ggj6;gdM4FbgG+h z7OLw%WM*nO6T_vF^qsi8TjB_z{HCw>KU#InzU2Y1pRrL`}v!fIU8CYg$6f;0u;kFN9yyTORy1v0Q5hk z2|s=qWCk9-e#Nxn6m`vg=C)k@%DD}ypX1hyK{PqL`@LPldihVgOL`~1;6TWeE!y}8 zzsX0+nn)&CWA8#e(R=tvjtkAYgiV5}8~>n5E|FCqId&##7z@-3z-|wDvzltcLyro= z#&-4myjq!d*(u(oHEgnQ|I%cGDQq z1?v!?qXk^3oaK6Xi3J<^>pe3teR9?mZQ`3$2-l1n`d92i8_yQue+7*N|**z!;-&>U9*Bp{e2IiP*jSsVg-b z=<+R6bE<{iFO-`9Mm;FJO4O(3u2j8i?t@t_|j6ZBNdz>YO5~({>ovPhxuEZP*L*n zp|F&9Ps0N`w-Hw>RV9tBdTb1-J-Hl=y~aP)T`8nqL057zNgkrr6D)?r|0QUm!!59AB9Do3WhDzp4ao>bG* z<)4gHQmv30&s$+74t$MldhpPO*l{xOmFt8U`1Ue)rD~|TD^UZ?U7^as9Yy1}ACnV1 zIOXk-c_a4;w}IZ+-ra=D4vt@x{Ujyg(=6)@-z>_Djj6H2Ti}w={%R`qTnWK|=PT4t z5R*pM*82m=Eea+zwBI<0D12lsD$!lxxvXX*TRQsdd4ij0p(a6w_|W>Nj1BJELOr`J zhky!}4K`><1y+8njR_cPrr;dZAcr0qe=1@_{PX>@3MFI_H#STpdAKHwu%L|Xq%~ky z29wlK$*2^G9#IsS`}RsY6Y?sR0l$pI|?|JjsH&KO##oG(S-e#|L#8GO~E)M@uuz}r6t~! zj(VGpH+2q&^{jYPCo$gCPiE_IQ{l>-=}x$*!$b#o!`gnhsbU8l4MTl~n_BlF$uR*f zY{E@V!kCo`e2CYmOcB^DIAe?(Zt5(O7dCMzBSIesbsp%*2hGmB&9$X0V z;M(HKs$L%(Lk9D!G!CHI1&s( zl%v;F4)sT{SBQo$X&2wgv;u1ZZV6lT)XeP=D`Zild;Y{+v-<7b>&^PfR!VFOiDA2X zUMwH}N$^tQ2lJ2LcjfnDelI*?Il|k`ZCm_AuD&|S+^aR&ms>Bm2#gftQiEcGQMCdr__3 zNsms)UKg2+*jzTDRGU^(Y4n|nH{yUrf1hTArI?K~s8LEyqPSELFKV)V18^5k)XXld zopsLaqHsse>=Jka=7g8l%r3~SO$?vSoL;iJ1VGVS-d88JJUL6~ui_mAP=CL&Z~zBGqlvd$93m zvAxL6<069_loOV60v7-X(Xde&6jg*-ovu|zzAXC;&%(-4fp=Wh8ipsHkEP=aTXbIQ*BjrR$+Wd zxM}*Vg53B(@W-s8+;HNwSta3)0*0|a(s^>DwP_QJ0(+5lb`3+*^AICX5sMVZ!|6?;mXry6~z1VQWW;rtgTH9 zo#o+;|7-am{1UW%Dm4J(&3bz^4CuZMc)+@lPdzF!t}j0uhaO^|hCVc=SpZoKD^#fv^+;yKzQQrlPwxcFeX?BX;U4F(N*cUKA;WrBv?X(h%imONAy zp>Cg#z%iqd(FLCg|4&G3z!#;#=k@~s@|&!s-I*AZ%!d9Jcs>oC;~6z(%N{XKQUdWO zkpg{=^!rOkHvKL(keB-QLwbbnK-2=@{A(Agw1;PO1dS(w-aq^R%E%ZnW{E*0go)b8ND#xzXpDSNYL{E5Iv2>A}2%wUkGLo44f0ig>C84$ee|at!`*Npp;(-_4{S z#`MUP7b`M~fTT9cKvqr-!>!M{?@WEh0wGhMV_w$vNq3a*Ue}zw)b|w9=faN?snPDw zV7yxhD~?;OL)K(e%SD*@BK`dvz;u*%XyVIRGMZR)0%tsJ8gnvU^YbbE48{vGO;B=Q-?${ZIgTazra5M>HqD{FMM0^+w`h7CI^sdEWH~Q4!R0-Zb z!?zv2Cz+JB%u3MVo8x=|?*u)RmiD;{S}okYZqse((t~s!C`(k>#_;>iw zx;%aq2J}5o>W9Yp11m|cq(4AFT;8geCyFmaI=wZdd@)SciQ;qd0z;znt~UW774|_I zSAoFuU_4{NG+Xo5c_m7k=NqP>89=kY1770Kry!j}3!zUKFLp>D-Tt<(lt?17BFK9_Jy%$ebqSVSA@h}oX6)Kcey=ml>e+QGf z(@`3@7H2Ej5UNYmZ9bILEx zDE|Q*5RUq1mH*{8p8DfHv;L-hQ-3^}`Xk|wsXvpY>o4Wsu_CMfZuz?Y4hp*dYx}6b z0Cnn*1Wv8fSMYCtq;eYu&s)C+D*uQ5;~@>tEh1ew?oeKW-?!I4oc6hdi5&g(d^|uu zJtKJntd203sv}W1S_C06U{=B0W`D3QB-aCoc?%tHH>8*NzDTP#5L1GQp|!*J&A*Kp zgQh8x41!@h%u0Mkp8gYga=E>z_yyec7IgPGFf(K=EAG_iKITie_X*^;2Vco-@98YX z^tY+F&)~Q48c%6Zi;Qy#;KT3j7VMYzFyLUd$fkhppxylRIL| zSHua%!FR}bu$)#Pf-a2U0J~j+aHRH_er;c;0HGkkS)Ijo5mu~gd1l4#MH;Et;11vI zxM#(BCQ>OIkD0_p0%4l�SECD1X*-}XZG2zgc)kw56DYRQGv`wH@%RO&Fhp!pZA zdVcr*OxOFL(;h=5yCeU2PBQv`7TTX}O1H==tpS`1LB?9#eE4#7ph`@7tqVfH>{(A~bx&n_ZUK9z&4EX^&lSpV=PkHxhME zdo%zY(;hy9e0qkre5G!Whb0%<;}&u?Mv1KUxQ*#(4`zH2^eT_TknDr7s9LBWZj{w) z30bAuRus??ZpgIV=EXw3 zI2RQ1lLn%epZ5+E|+SIJJa1|LB6nkpM2z2HwSN; z#=QC&bP0d!WZu#OC##ungg*v2F8~GyQ4O!s*K~6u%v`g z1np_aL1~Nf%Z=6L=4bSfQLfHj1}Vz4xlLmx>B3(@H5TR(L9Vl_+dB%F9VJBJfs>Ry zD|RV}xa-0JARAYNK%G`l8>IXVE-C@n0(6zA-3hzt9b=8TUF{2E$^u7Fz1{@h-Dj~F za%^6_@WOUgcx+zm40Rw%(xn`jF6EI0Eag63%JI4si>2)6E#*zOloyt`rCg3u<_HN+ zTEcU4;f4hlt6hxozl-zqo61Ocw&8~$1efW9e4e?4E!LO0a7X@`MtCSzgKATa?yBd4 zJP*`>JdhqQ{Q)Q`C00?t8oRV0Co!QAzRK~VEqia!^rdH6+csU>^%S1T;zhWqqnwZ`QS=qC1yBeaasRi>(=KG_iG11$p3>woDy^VFIAr=sctCrxui;J~t#f@*pj| zzP(NhuYbP`$WWa!y9D|_wsmC411zzXx-aB=D46wJk@Z~ZKKEHah}L=$Q5zRh4N#|p z(B39Fit=0n!@)t}uh*kZ?1?3blS=RoPQwV%c?P!RgI7LBz|zJnjcX=SB+SE`NzLi+1nKt{j& zZ9jcisBWB*m&4u1GnZhzkQz@W@zJdH9KHrw_UXcYu#lT}?dNqU!!UHocHEKSj}6@_ zXxWD|?Cx1ndpvaFGeDLTA!caw#+;#2T?L%*3CVEsUGl_I^=njtY1HSyQQlzDoHvDP z!*sI8D)s7Owh3}`>=fg>k;s}3b=ytk-H=wF6-%@{>7}i~EWU*66;ax5axy-`x zOP}omVd(m|VBn_jqlSC17p~JEUN6dkDTBS~58VS%9~WDrkM>!{R@KHOUiB$9pjozG z)hu#*f3@!YZBKc6{|1OO^!_EdsAHb+^!{7;=2b)(glu!%i#W<_goIupYXMbo{M(yn z*H*8-@@P=#EPxmJ8WziGDiC~8#*)D_WF`Z9Jf;VQpq#Lz!axtFC$2?w?~QPjsmH|knx2W|MehVZKYN@tudwNQ8xZmR;7_$Dt)ey)BXm-v}6<> z*u(>cK+6V$*M-n}zPS#}$U}<2ZAWU%YSWm32{FH^vkxhY*sl_^yFkydUF$(C(!9jw zlLlbf^RVF)!79r?Wjp=BqKlvI6l_{HFwSE{3-5zEZ?WuA9A2b7pTAPK=QA(r_5=f= zDSI_w3$%IC2*R6yK`5@k^;S^?ACJ$EiH9hi#YUXik$YI}-@!##LZWu5&s9D~vNoCQ zty0Is`)H2qV?`gHsZky`cqXPheswv0j}qf?&$zTEq~P}JFFMIaPt^ll@7LAuR7>Kh zwiY#mOl{9dv+M65sZDJO##2$!wUmq*l%PY#cM@!Xh%Rw#fyA-pm#|00Kq>G$0=tnJ zDxAJn85#KoWgcnJy5YJ#t&y9soQ^4Nm)aHTvz3DJx1$iBu2&JjKwaOls<*K-b*jNc z*>rOwAz4fWDgn~T$S#L;hD^a}dHLhp=nTgrQ%*U19|xV0 zG8owx30mid&pA&>0vdrMK~0M!K^?$UE?xEkU5val)G6cEung*4s)_RhSlYwRZ*Asi zze;`loFfzeNtuXZ*q)(CVusKPyGoa&@o`5dnIA_IrBo$wi9jxyn4$Mo~+TiV&?< zS01Hx0*tkz9v%Swa^iwiAom#=gEztcMwOr*AHR7(55)CqU({y>!|aScAzARbs0SFC zpcq1f#ret4A&>oT6!ol#w11wjOGJW792IHnAWJ<1Ms0}NACMg@qlx><=26AKLy$qO z+VD^ZlGuaP0MVDW&?dknk>+i=@dNq9G?ZRN5j|^pZ<-8hVAsS8lCe3w3d;4`}Y za^vSzy#slg#f_imhtEq74&a;Z${*Q3<(^LP%oU)OJ+4F!mo<}~pP~QEaVI^C^&H%6 z^0;^i4V~iJN`$kSO!-7c_u5q>tV$QA9Psp$|pgIA-$ ziXX-*!HOTT5vd6}thnC-icXxg_j9u1XJAE^NLF+iaTi9@r@S(J3sjEfXy z&4UP6U?q;T66Y0yBAso`CvD=;aZ+t}t3vXG0cL|* z!myjKfVpl?q#4a!*mDRBUFEsby1Awm=E=21@7uOl>nZjuVSPxU2k})Md>JR#bx?th zg%3*W#hAHd&(3cb`~+$lBak!GNOb4@o@wIKNA|-EsrV1LsFHipz%;zkNOUpZJc?j*vYQF~ zpraZ@FzN&&)tG(6qLv9LC?c5Z;Sn0Q0|Yk+PSBSE7M4db3pIffH8|t628_imQk$*B zD3Uh#BS?$WIBCatQ8X%N!q9aIb-gaN{HX$vxE8*=$Myq>m%+<~M?)6#Pa$~*UojSF zW&{h@yJGLWYz4k<@-nzeURMfDU*H2tgM8ibkIK^S`zfVtu1R~ z`!sz!AU3?|+v3=6v(L=6u)A$-{4-99IaPsLcK+g~<8xwPaOQ^52cqkwTy^x5>^qRZ z9In7SSWo;LMH#XzEx^Vtv@+UKEGHgICG(3KQ$kGiej3=+Mtu%#COSD(ASk^VuDYbK zC|-^FhAKzKMnPyGJ_uy2|D}OA;vLmq+yl3;0o?!kPV<)Hc!8BXA9lMz*5s25sxCRA zCq`enI#P5W`o?f1?&rew8+UJAvX1#b4skTC=`>J7#*$wSE@GjUG?wX1Zjf43aObv__wvR z#lg-i)nqWHWrNsXq%q%%mP{;40sTB+ckF;z(Xqv`!j?9F>i`JMsI7^>Ezu;3ESVWP zLA4U%!U%u<6M#H(390V}(DXKr;UBQJGwIQhCue>pl(&7qTaj)swgs$ul=SM;M*%o_ zXx9<_au9?^w;S|RHXUdstrtH&nmqI|Bw$ZOk3dbV$JitMx<_>Ml$ajz1A7F--wz~$ z({}6;o+q5fy+Un5siWGuR{;eK1}$uUA)J$kA(2tcnn&SQ`Xxx#FVwWHm{SwHT!NQ9 zYcYS{IlufCNr~Ea`K1vF$S+)@&g7Sf{XMvYMSx#$f>@egu*j{R{u{+I>=i09a>)+) z<%jL@3&}7Fy(MaYWadvED#c55{UO#uv(p3^C~p_zZOj>w9!Y z1i0i;sR|5&peh(wccd`dvIj3OoRe0#Yx1_#oaZEZR&uNy0TWU+Cu~cpz5lcg z6jGS>nMJRasrt%RoTdRq0pN-^{{&DmS7{_FcJUVTI2DgeTjcF6O;49yjmO7vy@s!G zy%yK&aGj3p#kkJE^)6hm!*wRE*W>yj@)OKvJYSA$4A(1gorvo+T;IVphU<5@#&LZV z*DG;-AJ?mJo!>1{acy5raMH+tIC1mnLGd7DA&Pt9N)-F!N)#91N)%V(N)%_|N)%7U zl_;KNP&@zC5peql_=hcD^V=Ql_*-c5=C_XS}fK{jR;&QFaBWPOWsF3) z6!$^=%JHkfuM)oyeinWxzSjmhXT5Yi&Usb4Kg^IWeuPIA^wT*B-En;zbW`l#jnvy%q0Kx zQ8g(lqkdHyokCTiZ%WjG`leLvrEh{Npl`}mk-n)=`TC|(eJkxfF{C!?8%rsDQ?K69 zHGN=YIbCoS6ZG`5Nm@UrK^Ot3GSpwpUoz(p zw4NHfBWk#D;T)8pe19pxZq08vv_L(zq6x(Cm|BQm34W#c1@S{jkEwhQX8 z9=}QWHQ+Y|zp3~&MiY-W0V-#dUmzILE9G!)NglcZ7b`iU<{*sX9(`H#kyW+!HZ>N* zKkW+hkk_*NL4auT9}e;#%V8hdG^RDp_h$_ILq&;0Vh;PeU}Qaq<-n*fO^3WOOf=I^ zam?XXsZYM5L8X?~#&|8Gw*8OYP zJutYQ`aAeV2JZhec!rRO@DFaGUWC`)*C)cFbrRvlEZl=)Cc^&KVN%{Ib%aJ5#(NMc zS6=o5d2eNQRF))|o<*xO`!3q0)uf#iJq0u%y`J49^eT~}PnDM}x;(q+eNHc+UYluJ=pA2dmU*T{!n^`&%B;%z?reGrPCmVX+US8Re%tDZhD#<;P(pS*2cL zoX$jTCChFFFMyGYuh}03Cj%S6$Y7Swa0xGfvty3>*>9=c!D^HYe2lj}laRPhCq7Om zK1mXP!o)}F#3e`^Vd9ZU9Mp;Ll%7)jS6spi-euxlbYd)f2ObgjUZ(E*1FUZh9}Uyg z$947>wa`Xde+A`*X#WKy<8+7=E0TAo%>z_Zgf6d56=ufG3_|^Bo0^@HzU07~0R)_s9BXD0+xr@JLC~gqRyJdN7_e#HO zUs;T4EgB;JQTM-rA@2MwJ-DH1=n+KJ1w|VKx7YMnh=nn%y1m%nvKX&+1n^!5;O`B< zvo*je0y?z0nsI6y{Md5iFBms$w( zDXQEk06)M>4e*x^z}0xQqbk$RD>VFz0XRhiq%F_ia*GSF$^m%23vgIpjnZ=gpvBH* zYwV>VS%4IaYP1GwD6uhmey0)Z8xDe?VKWxty!M$?v7Q*Ut^iMfn@`!79VB2=NFC?KyzUL2cGd-RU#cN??MVfJrmymh$tS{OhG zB01tlR*_sg1+TpH>boTC^=EbMQ2SARv7YaD808(`Ph9>_w50-#YwK0#KDB*EpcQz~MNp2eJ#amQcGn*;r7@OF9`EjN$>VFh>S*N|B?KL@w`_Ve zg6;KQOI8GH_;|)qx%u$?aUdk41M<~tzvm1K6i&1@A-mVU)kc%3MT_W{mi;2X>PhOR zNBz(G#s|U60Cizv0ytE@(zS?4k6MoC16lUc?CfXunH}e`G5du(&;KjrXVKkds5E5T zo+CuIJwl0?EPfG}@PhNe4mF8qNE!7VNUv9fp1`-B%aKJ5mn{8tmOUg(RA*s3sb%;J zAv>7q$8ZvU`Q0=Gu7u{GF2pTB+^<1gDT1~5MqI)R@&N*xg-Yn`H{d0B3_P<;9dMhY zeOPcA0GqB<>m;Q4O11J%_^isT;xTCuMUjiS0;djz_?6)IznW680*~J%6;Kc2YT3hZ zL>T626__X>z}txTP!}kOr^w~d$+(DebPM%o&XmLp&pjd$6G(UUX)zMUHn>&FF;)8Z z4wkmGXG!+t8_#|EpJMu1kI9uB!2wCP+}}bA-@WP3|bC7SZh zJ=l16pz+Y=D|Lgujf-mkHD1x4vI>6uZ{dv?5!Fx8mU9Rg=6M5_UowAMS~de8z$oNLJ}ar5)2Y@L$h|INN>R#|2vpREZa8; zsX;>Hw*1-$ra8>D8`e z+tSnZ33?&83aY!1R^}}@m6mpz1`3Ze{zd+MgItaAXv|}P6iqfYv3&5&6!BSuzVvs& zE(gitW8t+2Mr)QdTqayZ<_gksg7_JO+01yO=uK%sOk%tS6?5;~^>b9GtZkdZVW7=FTHZHi$Wjs-04+zUjSZy+l z|8ijU4^Be;pjRIt*1~Q7&Q&$kFshD;^a?X>6A%py5=M z3Cqz%0EZd`5sG#m@GvAlwTUFxCn~Dpw`!_Sh5PzV+Fiu1qxVK&IT3$@3j+(J4$IUn zuphz#&He-Oe3*VhPNq>$JeQM$1=E%#s5O*5vtH_BiH5j^*KuE>|T z)G7wTJ>yZ0cLTZ_grzoL%q&@O7RgHkCy)i_W$(vERD*;zwOS9nGir6m0#B_5>RPpq zqJ(sa{Qw#SgfWFFq{kB}l+?F|-2M}Yr$|K8hMyxN7rhy81xCNiS_b5({!i*93`n6; zygP;OzJ9`a=Wp2)L$6FxcI6lhR~wWRQse$8-gq=O%kwBqBZ0(e@N<^uW;_drokq`0 z<_I%|xQDIgiwc#4`JUiiul^?@+`=!I|Y@j(809Z>q_pGx5$Q@(IQ@o66B z38JaO&C5{j5|@EK`z07?92n?NLp%&L|03Nmpq=5ur;*NW(AR;d7s!p0+_d&+e$1%W z9Y8#TfzCvaa=XPVcwlJaBMbwPNa`8(IhRyS-xJWNqj?QV;VGs~D%8>m<~FFFcWy&! z^$dhHKx}o?I2p+I)~_G3GV;CK^C+ta52ma>AI*s5AMPq*_G?_!mg(fHWe@>_07MJw zxi(DGTw^uT4Y_!GA1?f-M+@Yz1Wvl?rByyT5z(02Vi&-Fh-c)@Lk6-3zqxhJznN9C z4QG4}*U2*0|4Q=z(doa znSGZ4?p)dAmJJSUeXh?q{!>=+iBj+#X1U4VDBzO{RNsUO*uGpCB%5)HX93(xqHC}X zr9D>fj<^i9sjfzbGEsQP{6)y zPdE%MusX2wk0Z>xzs*kt{)asxaXvIlc-wm$TIM^R`3s!! zz}xkN&+(x?6?k8=g5RUbP+=W-6^?%cBMB6OJq8J!$J)V)Qj_OSOBU+Aw@C50^-kJ=;cNT3-BpA_7bK>^>*|)0I7-S(-PAW+SjzX_N-$&I!E`%HPl=stg zc?j76bSJRmNB*7OJt_{g>*9AX?{;bbA7mJ@G33b;Mqy zE)~6Dpat?`=c0XL33lUbMZ0zv@`UC=@CQre(TSgXSpH2stkigERB%`k5M#I+Y`w9> z|1y#&!mcj8uf$pA6?$gxA7s@!!kR3iVKldcrfY5_O*_VylUyC+E2yO`{5kGZfkCs- zhE#9sq4=JNv>%bkV4Mb~B_B3mBZ2wWEpTL$R7JKp7JdP#;m>Cdegd7lL1#FKzYCYu zRA4f4N9-fZ5I8lOoP_15RW+5vV!Ju?L;pS*{ky#;f35D{bucA_VKCkNm1Pe%9o$~b zxXshFKe_4O+;Db8)PC(h>)!{s{X1C)R``c{ft!wOr@>OSi1-XOJ-vU8Q%LO2IzM|8H2HBm=KHN*M!Qxg0!R4_ac}KE4$k7fGI;OE(@U7IT;oQm8x? zI7B{SCt}1NUWQKYZ_%S?tgM{k*_Dq`#Ab=u>!3*1R_4bBVN2~I|4RdE^4np|{VHtJ zt7!Ar*oZHBGWNW+#U?}{@+s|)tApIHirAfXBiFg`K$QMm-yB>s@)erg&H5(9Js`#g zf*tB)1dlJoc=Cl+-BLCSNXLsH6QJkuzNZpZ_yVU5AV4tsZ*w;WoLmZqt=7nGMkK%) z$g&4xqc$Ppc30fSzpkr(H|7VEr2@ybu)m?M5O)RJ2v0_>A(RKDuuJfX@&G9u`m}C> zDB)@AmaUJSi6n+%+p)%vJc*Pb`CHBGYF3%>GCYKPsg6d%@FBe5gA zeM`R8ta6!RwR~RlmH)g&{=?q50=p5zNR4} ziulI?shmu(#5e_vQ9Aas`wwfsa@be?bJ}q;t=L)!!th@c+@Fx`kY!dr3@JIFo6u>s z`hnsAJ=wJpYI~x#=B;XG*Ac5#P%JQihaVzz&d^)PpBT~qFPZT%zka}DQggIGZ7(hU zC%$ptf;Z}Dhi`E@hhr^;8Sf#yb+Xf}cPI1yAI9g4Xn1ZBj_F17gY7$r-?tZ^Z&9ZC zbw&2Gm0P?O`(0e%)XYu>?>ZjWu`ZyCg@dppX zLq`0;r)QEgwon{lGzUxF506!F#sP1VGsgVuz8%CLT!oMPN1-g72j0wJ!AyK5{@~w` zN5>zWi@V;8bd3YEIos^+)$c}}$;^;roVVD(80gcYTyd$M5v9Wb|NDAclyk@IB^jWc zIZ7U^c2B}dH;rNj{LS~K;g3wiUwuh7{J8@EpfN8=hD`XUWWs0t$9#SToU#0^H;`<} z;--NNYWGVxZ`@ zo*SCD=vPQ3 zYKwPz;hnE&I-`?{@Q9SCYrs{Q<&<)J=(Q#4V*Q8$uPs&Q>4$PW1l7rWNL-5o9KLpi zg{OX~6<{Nt29_)UeYk&czVfBGoOJ!fFk!&=IvgA!1jaWXu9aFxZenTdJ~tHnR>PiDdw%vK!vlNjRO zXFO8uTXpewPuC+R6jJ(E@E}Uxrb3pOUz3;&+N?|kPJuJMCJoe?{q;ks z)iEw8La}3wsRtnhyQ)* z@J2LSChL>_j(uvYY2P<7@rQK)?DH8jfPHWp(=K&3+&389Mw59LO~%FU#IcXT0Qd<# zVN@DfXd#Y8R%Q9!V_))?Un%8ZV#)`f`O&yh8vzD{Vbd(N6F%E9{G$KQ@E^HK({E1) z|1fCyA5~z-_(%Wu@S#81Fsl?#`@JZR@gjB69@;+&s-pCkCFNGCAxLJ!KmU9t9&Zx+ zx{pO-rb^A5m6w*9IxYVS?A_et#cuLBeI^IL5dOz^YGi!fZ|&~Dr$ZjXqUpGr>ALTVv=qzdcHr?)2N$uvPhptjXWp?^SZu$j% zr4Q-!!cMoFq`vMXk_x*vSYCL=ag(B0U5pgXSfR_TV7*SQ`3Lluo1hfF^K+TNAheDKG<6>HacQH6S4YElnSlaac)GX(>?WMI64!YSC;ybkkO z%KV^im;KNF1=KaW_((V7!Pyx(oBZfv%vjR=GS$W3%%?F&DBgIRuJj=FNdO07A=hIj z7Yfx=q=rT}qq&jxeb(gkb^i@HrG4i$fe_h=@r-g3ZtCmZ)U*0dE$Pp4)1Ty~rzNuw z=q`WkZ}JqWJ&`Bfxi!(|v6Xaov9C!GxF~$GKvaq+ikA=OI^ALYP%no;JTY)tC}OWo z&1nN1;Zzrw3{aIC)EzFU-#DNu*?9>EySGqm9qh9ddjPpWgLERYrV%>DglSjVQ-~oQg7V3_vO~WbL%-F%=m${5 zcUKowp%)aERt&xxOOR7Q5z8!CBmnDQqw9Z*u0PhMmRSFfwrhcps<`@j!U72!mDQ*q zt413^G#b=kM0d#s?#iO^jrBoVEDgSjvQhXz4Q^<&URTlLt50gRYJJu!54D#4md_zvPpyG^=k zqo7WpMo*;M{8jLO>Vki^z;7;VLTMAdc;4li|~Kyf`9fd!{^;3J@qap{&_C=U*kyXUBka_7vbM~vy(sb1-@JU zE&bgit0rbv<&b|D{Ew%BG!_zuhk1lC6A8&*eeyIdsHx6Kxf4hb_N%>(Y|`|R6GA9JOAQd z@{h9fA8qGv?InLdJAV?cO*LET>?Qwtw3nI;uD0{9=_UU|cK$!w`PcQ5f2N&Z?H?z9 zHuRD|Z0A44hHvzkU&({dEK_6*K$(p8-E0?E^1}(x#$Q|J#UFFl~Ykn69ML)~`)Gm)3)*bcR+7Wt@F0%3-${%8v$2Jp3`SkiL zGRlW!0=#jqO@Co#`JlemtiLL~ygA>x*Dj9@b*g?&-FlmE1pvyW?M2_4@Xoa1X}!S% zd+hm}mI+V%9nSbnwWwm;_!D@FF{26469Xqrr*SN##`d;ziN<~Lg()@GdXL=K0yp^p zXbM*p2_kq;tQWU`Du~4)aaQ^DOZ=F17~dhQS|2<}mRQU+LImi`GGV^GSfur^DCqQ8 z@6T8QgdO4ZJwa^eX!b)mi9+C%tgZ~H9~0lZC7aU2Oo zV2;I4oSVKcS?WbC2rrJ{m~Hn0qQ+3GI`TMu6{DB^ovhEimr0dCviH?Xd=s1 z;L;#1j!l{~u;9yhb%#XZ5nRX~u(zgj8_#g)X4wk(b!{1Z@ZH+EMT* zUxo67mJPO-$jzJ&W)&svC=+v%)|-m)h{s< z!_|V*H18*bgccmg zWSr}SJo5fNzw5=1t89y<=t@Z&@>`YGOrEglYwCTzRrJr2tvITZualy;O4^WmmA02v z^ltTjzE!kAvK5a~$z!DG*^)NoFqQTP!>sipNq$Q(_>j_)@Lek3I?<02PpYyf*O>GS zR8T(nvE%C^qRwu#^MA&XVEtE_CB)%)M9Y^{>5_%@aN8zd)vk4V~(>r~o8 z3(u$3`!!b4xst8;T$MaYie9DC#;COMl6H|wJ4&UUAZcNhc7RIz3Xz!D7a(b~%ly(+ z+6&*k4uNmz&E=MhFN?koa9k*57Z~zmN~9kkU4@#{|!(BNEnh8qO}CX@ITjmNfi+w z0H3@c=ewOH@q%Ng0=b0m^jcLhwl{NS=Ni_|O)Uo_diV|CCZ*~)+|}W{UWx~_-!9&l z1c(^iQ%6ff@ol5#g-%#yJQiaQJj=uFxx@G2MJm{RVw3}M+}ndx1O|bEFB|t$yHq3? z2i={!7O-G52?vWnAFYb$?MC!`s+)p?*luPHoPwSiM+SXRidIQ96y|i`oN)Zt9xO$( zA0oZ`1N^|#I20qz8gs#R&kIL0!3d}4e#qf`&;)xj_!-n3_qWT ztj4#P_{`(M%Y5UBN#H4VWv!NzO3geA-(w4RQZ|9CuRn%A#@25h$dx9IGh!$6tj4U;+wOnH1DdaZrG0 zdy4{vq8+*|O{nQFW@*8RuzwoR4W^+^*mS6D!~g=to)T=U)fO1Fs$T5xLcOm@z1ZU- zEVC;_wL^JVD+oGHVe8Gr)+&WfR~DMM^^F~Y?Cs#m!{jRtEycdL%W&-UbU#iWPO%yI zYuKd4JsCXL9Gv7f9VLkRw#s*SxZ#pGYFMe=-ZRO6s6TwkKN(b%3*zJ}i<=t|qM4@K!bCQr1Um zy7o3puLB;&HBjF3oHZYZBNFEEz5)W*^a?QiHK%>u-K85V4;Q)wc!sStzg?4qGn{rx6e#ZaQ zdn^^{`b~W=aiv=?sqdv$lIu71-S0}bUQ*x7tt8iP>bn_m;`*xQ_bN}Qtif7{6)yof z><=c-q%H37|B^pKZy*hldQH`*?c}>1QsT;&DxZoWab`$;uXiTf&#CVXcAE16Ef3i; zcMb7^DYaJ4HPt4xF=(z3H#?~>=hHNc57WSh%q33?9t*Q>hkKrD!!8iFOC~oIt9*;Q z{4U@#W4G|hPSovEtrH^)pLBY9C@*919+a2Ce-FwpAlvq!{DXT~{)s&-zjzPJx9)0r zE*)jaV`qA4(Ff8$n)qpF^1Nmb%X4gWwcc*lzhMu{8+%xO^B$Jpx`*X+XgoNjAN;fQ z^8yb(IyIkqPJJ)g$@kKoeE09*RZOd&#(eg0jp0v)*BsJs(zfnI?7_&%RN1S=G@F zyDZI*-=qD9DS4gk%^LleV84DkP~LCkB<=&SP%OxN+u1`GaaDfnMirsKb8tibmR{N~+(Kh1*wZ5{K^dYTWSS=n0A2w;+S3M&l0h=Oc5HMs$$Kt=`5PBsyIoi z_(^Y7IOS(a6UP%1{wkC*Su`yJ{zwJ?NP(Zb%kbwp;9r)4Uy=d;^^mX#rZiaer(iEE za^Wx5po#y06#OTSN#{>g!LJhd!Ci*Gz=8k6C}r}eE(87`1s{ts!2iR*F5>Ty{}k}A za9JAX=PCWLs0PddWNS;Gcm!i`7h(%+BkFQ!2aM-V{gaY^WV7Ty1O9n7eDNPDkE03S z*DL&#{HNeoX29Pv#=^fG{Di<+NALjur%&kxf4BUns#tS$I*aDpRh*Pj#hI=uocwXi zKeAc!p84%4r&658N_=9ZtBQxNy9o-Xu9P*z6{?&+dfHCeFW$aI!oqTo}XyWk(*JA9`+|273bkO99y z!KXfV!Edkb6@RBZSEt}_7@m&*eJ2ZlsLx&Sr}PfrDbMd9tI40H4EP}hpZeSdzfTtU zXP4oKZk!Ki?Sv@jIV*vr@7NPy>N-~1RI|YshSS>M{xjWg+`p74e)HABoUVgkP9|M# z%NMntJta1Z9Wi>)Jny0bIN#uS!M$WktQvPI;X>d%JmoZgM1vHH+v&llZ}M_EkA{m@ zXb>!`&Bj531((6Kofv%uDqeyW7(n0r)nB+0Rnb^UV9^mT_>rvYJ6-B)ERo7jRWJEd z;?;J|uyO%Z>Q1|0mi1#B_hFBWDnx96z073O3I7qd(O>Zz&u!QQ(3lHgYi=gv%o134 z?5coMJ$cwLK(xWw4~q{4){bh}Kd@Ml^*l2i?-ta%g5HH&^|zD0PpS%WF6ckOh(L2P zS{D1RAUY`aU18K4`>v0QFnR(f3U!Z`WvAaGbN8{#)1P??k*D!cD|TUrihsaSN4Yq% zEH?YJ9sL{oObNv=Ic*1z5}g>tIiA=o_FW$DB7LRS8+s|}I~xG;f;BE9AHqkeLu2t0 zSy>&V&w93ar*TM@gFJKpU~w&aOVT$9f5D(q{y_4;qzQPmdBcaBf&pjoZ1EiW%A{{Q zg_j%Guvp$Df~>+aa4~9Ab(wj^fOqcwphLxfe5bA~NFe0n?xxwL$ujR;v0f+RWXAEB zTD=Lkd(yX~l7icKRnzRDxhFPW>U};kG!NHr4$VvYJ{l=9y_fg-)8QRQ-nEj@_&NF@ z&VMj*K{MszuJ}x(>y9mDcq_13v+Kgx8k0;qY zZdWlEGJUYOvWTfxvnJ>`=M2lNRUvfVijck<_ZZ;NvS%3A6oD#36it-t4QEXwpV3|F z*^Zb|&V~yf;Y#XwuC9eAVx(~V_XFrz|GJx={d=V6=-sCWr~2(WF6b=N z__?0iXA)X+ciV@7iMv74zG)==fc6>nn!9~wKHC#Lw}SHBr3YTE-Jxe}mAi?406kOJ zy6Ji7nV#r*0hI49Jq5c*&ow9PF+KOZ>ZWHsf_bv*gU>-Z>3I@|D`CdFOZotDjl0u6 ze>r}S=~=PHO;5ib=^6S1=s{%b?$Gn*aeGY9w$*NWMlJ5CeNIP9?ykJi)Y%Pv&?h@R zmi;C-eFo3r0E8205w$fVqIW@9g8CO>-7u~MDI92;P^lg%!~AWH@iv-kf_@Idv~h)x z2o-~MB4yJi=ojIXDdyrZL+cnBtRaRbBQ_Xc;u>P=Xpv3iI8z_dFTr5Lapt0~Ge@S{ z!0hk4i0|{SWa2w)ckxC1`EDS4e`N~U)cCQB_zuj1@Be}4yT@M+5xax(m&c}1-aY07azPql^sDgI~-y4s1^B1On zD&AEVwY&=vr;16|ox^M=O7#KhPMYMA0E29Smg!Kqb{MPaS$_72w3(?g(%HY=^+DgR zx9U4QRo|-p)9br6RUekR((4ch90wzwtd+B+; zuc=&-^fewX^Gsv)h=QE%E5q~OeS`r_dtJmBW-u>K8>z`o^7DR?;+Us0ysJ2ZrgJ7U`lyv=QZ zjHg0x^CI=s$J_iUp5SS^7R*cfJ_FyvdePV3MJ2e!8}Z|Zf{$p!eVJ(d>Qmf-xoASp z6r5o<*Mo9k=+_$VtH$k(i{J&5`zJj(cRCN}4Sa?;<>rsTnM6%8RJ~c#N%f zhJq!-(6^rKkJqH{0TH-W#!N^pB;2?Pzg-#H?&h+W?e2nB2B7 zM5XF`OK5+b(2e>YM+bsj8U4)qa`D^!<5Y<(G?iwh=}uJSr0HA$HfeedLdiPr9|nvk z^Ky}7dobCqRe$BZxdU;nbR5*vzN-_I2BBmGN&X0|y>gm-6JhODP{^I`Rki-$(vJYL zo`DFU>)OL?^*Gp3SqqTmn(VfeR2Bs?d`=IoS zt~D!auL-~r$C^wPT$QDg?C>8$PSaU_RtEh2Qt-iH2mEyqdKdC|K|D4NQRU(IK~hmq zojXzKTn3dRpgcYU$18Q!a)yXo83OAdb^xpH(SbL)@gQ{K6-(HO%h_qo?J1QjjM(9j z8eh_Pusiv3Bv-bEaYh;X6Rx8$g(-0c-^04)tWB=Se^nB;+tMZp+!n9^C}gHSXs0GZ z{SQG$TTI`Sw&laHH_(b+W<(ET_t^}O?C}TSyk*}E>2cV^aA8@1st&HL**KP+#yjRL z^w?o=fxxMYm}s}M3f_pNzheA)6J>O@@p}NBM?s!1(Ay&kxV{kn?nmf5CNQ(0{6A6` zbY}5pzVs3nAO-f=TlY=aSzugKVW#^h+LmPZa+WeAnVn zl{FzdDVSj#iHnLg3=IYWB#INvFt;_}y`w$Q@>E$XQjL4Mi23|7wj_4pAlJ3X6#-+s zwJSLgH3XW!Y4jSm@giZQBBj22VA3~JIX_aEWILAdV1$ z*iWTs@kiA4Z`h829XB`@cZZ*nVi0s)H}0S;E|mP69LW=q8CwXD`BkPO=S1x1NECmx zn4|A$uau;1&jZPyQ%WmBsW?@2Swir z=`p3_)kC3~U)8p3w4~&;Lm?%J;u}zp3`J+6stMe8xkjV?yw$kpW7YEA(%>LyRr2;0 zj4TlV9)5>&8X5aEhaDV6fFV zz^wjqNA<0C^|(SXCs3tYI{MQPWOf6a8M09k(O=fz;*{DR)r}q4p#UOl=l-)18;tWw z%Dj0ttfw#$084YRu7D@&``z~U`RaQgluh`SKMj82p7e#-r?Kg8B022iV1;h8A?ad+ z$riJttNt9qRmfg$TiF||!n%Up%R~7q!jo5|_vm{MF34$mmc5c~6`jJ8mB8W^V3G9Q zeNY;z(1RimOOc;BidgOakeT~C2u9a`P*v=?vQAdncm?aL zLg8zqaJdv-ooX#I8uf`?XZ+Lz^Ay26MvN21r%AcZLx^Xpoa85-N1H|Fph)-itSo>+ zM@XS(QAnB*VM*61YXMrsrQS~i1^(`ek6YLHNYz+~qH){f z3?Nhj4Oym2s7MoNs4HU_Za9M=Q@!Z<$$3xMz(2-t08|Tc>tVuIrT~A2AEOF@QL=k5 zz7~iufBd9WyP97QP+!x8ndZqvmpIcZl&igEn1bMjb&>a!eD@^qgLhrv|8|f|;Guez z03HVh!A?A|2Z8_B1|H%1;fGyiZ&!4Ln@X_r8dYX_a96&g`5p18}@8*^BWo9Rn3|^91bL18lIF z5Jd?WP#(zKKo(wr2>~St+o@RwL|yg{|G2*eQW7CSpLJ zBfkT8mhc<8S42AB}Zx(eQmCQsOK^^Ll0?`Xi}J>f`y**F9*FtUvU$~%E_-_FIHR7N5cX>Ha z{x&bC{vP#@@9DNI-?hY?Cjf|BeRUk$4a=l#)EWNSn6$PbbiSm!0Dntqwsu9TTcdji ztLyv`Zv^+IwMGkr?SZnK^mvIqgqNEJFZOL=^wik5eWJAy1sUU97$@{|vU@o0uN+ke zWMxVi5M%>DwzaV1uRbUn*XM96u?gk{+XC~Jpd17WVI`epRhcskn)gc+- z@9D`Jl1q$l4@4ip%^UdV(Goi4jPonCN3rWkh<>I-n)_YNTPDP%W$wD3h^bYBpEJfp z;b~8(cEL?12zkLBdA!%KA4DVR%iouuaMehncpW+%?w8mH2@;gk5_^y+BpxOv2*ZFL z!74nIEC0YLhOj5uS&D|z;01{~2a=SAc{o5Fqt4zjq;a$hFj#b1vFmQ<{rg zEd2OahJWSwcM|>`iGLONHxmD<)WuPE>`!H|RZAS_yIPRp9gM*B1|M-fp*ug!YsqJ6 zD)-OL+#pG1o9NC~r?LgovmN8kHc@5UKRsK2ceZ+!?aNYyqFw1ngIu^ZsBEj!vpwg| zHce%_FFo5G?rbwuw(HWfUFpj9EJa=AJS#nC$epvv&Ur+7&I8;z+3^(2FT! z%_03)<$NPOXS+M+0>FHs4u9ga21D*V55o+4?F4no_Ro>9<*!Is$^^FhpS*=R)g9OG zhY#=b!$a1G7JT5yKOKD#^SEuO1kJ&_YG-%=97DvN`N}ym!}#gG@V>A*<~$lX5uQ~x z10LGhIOZ7zc%(zQhQVq$tT;lzaF>(iGj?&yXZ&RQ{27X|H0;c5pI#{|Kl+kbu>_{O)S_} z{RKT170Ump>F1Tw9f3#+w8o^8XUc(__@KUIzrbyuxY`tFVo3O!buf=(Op^0P{90_L zADOpB&tX+nntl}qq;H~7BvS5NRI%E)N~*ZnssinFt5oq-S{2kpH$cPScnrC2>4s8q zaH)Yx;wK$@#m)O&1?vO?0CE0@^k(g80S{jL}y;S9Ol41VMygVO{dzk9eJ^e0W7>cF#c^$PkmE1DhubFn;r`6u6{_=&UuY?Z`mo(!Z?t$zQ z;9MWX|H(5X4*d1Rug9HM_ecr_K0X%Q$R3BN;(x`@+t29Qhsccg7#q|>sqq#bYH{;% zTu%0)+`GKfFTE5z8(vn2{DWNqil@eo2V5|#4AbMcS?&b=aETxMG7b}>*Qo&>J>n=X zCi%LGsor7zV@c{gd9Lxqr$biYfPPuMgN$Wz2A^s?R-;;wlUH;fckC*=joDvJ{-MEx zr4fDa3Ho|QW=s>qLJyXRk)a1YLFMg*Vunpg&4eqjxo1%3G(3D@hNcfRmJ0f(d5k6M zq11Q+4`35SH4>Kt*w~&BEalY?OzIj}l2U<=-u%)_snBi)QDefkcL-*Egy)^@#alHS z*fb`3uy9}zMSy3RjSgoBj*sUUj04_`5rPZau1r0Y8V6cPtumX>X!D|d5mVx5!#%E_ z<2CDlbT3nO?o$t?#&7W;OtI?^{txO`Ry^pp>MyhEKQN>Iwt@eB`WIOAqlKmK+@~Hu zKOWNP{~y%5 z#lQgT1&92G+TUy3$41sq_ZYub52eQKco0Uc#t}-9_@q*!3Wyl5vH*6APjZMO`okXM z!`TDyx&0o6&maq*0Tw=a8TdR{1bl+o@v*jE{J{R%YPL^;ZBO0WtR6~@S$J^E$H71& zt$(V9dApXwbRQU8xT-F?ZxDA}MoaLwZ?r%D=6UCKvliNwyvpu4TZ{01$Mm~5r~)0W zC;gXu_6cB6$==a~Rf1O4D0E>S0 z0Q&KeM*shy{tXuWR{dvN^^eb}{|nE5pMJxlpKUAcuO2`@9@6N~R{yT+FD{X0(WhI1 z0rc0}`AUDCE%ig6j#m$*#uy6`Tb~+zp}*$bkwu@H%doqo-+RDkv%+VLiO-8{bn5qK z)kCTABp!q@Ha-)H&lfC!j_T6yd)z;Apaf}ejF#HbKS!#EQe(J99rKF3RrSckw?;?hx z*jUO^mGW!OU&SmAdnV{>c+fA^BCO&NtsKUu)b%p6>Z`%D@RMKE^xD+R8U?Rvf)L1!l`86H)ATt1^pYf(yv1V0#idFIP z=@lFA7D%IKPNjw3A6EZDv;IyB6qUjY>Y>zFhzA$p#)bcb`tP*px9UH|s{iO0t~uQa2+=aV==*x_jWA@x0I*7pos zlJxyeJ(L;`;=xVdY5#@#?l$W?)v7OO)mN2K-}^iEoW2&bzPH&nr0-SrP-=AI!A;+l z|AqQynDt$1)%O#tzKI$2?bz<7&tXqx)o1*kO`lzYI-$?5xJl`c&)H1WXa7Mo_JOx?xerZ($m1a7x=SI?Q=VJ4-!dd_q% zGb!EGbEd0q11l}v^*-f{w7;PqN{yHB;HLfR@7%Ogh0A(<+92Xo^jIAJ$$a{G;b6^n zHZ%&y>2Pm9y^{$}&~s&i7|_+7!q z*5UYVRS%`cB0LCFdUE`p_HDks_C>^cSx?Bt>clf14r{WW@EAZl?uVGbD&>9%EH*fn z3&60FUkgLOS(+OyG~U}r9D7_)(BgYV;`Fj)V?OXp=ckNCW_xmGE4Q1-vVWCCRm+ih zSR||f-Uzx`!!g$**1%RS8b; zOj7tGIxe@zdC3Xi7bFWX)*}M1d*4+0$7XsP(vj_b^YxI|a&scse$v9K7}Kowml)rg z*Z_Dy)_0FV)v`<#;_?A7e#Q9L+FTX%ya~-UkLbLQ2d&3uBmWQ$DlIs)3=oIp3bSzFszqXODGLb*R zLVlQq{J}QzxK+i0JlZ!rxiyj~Xw@b!jpPT*gEtj84>L*s?%&Dx^4gZ7Udv~GXV6mD zwW-h&dEbN+Vo*2#llSwA?f0(l5Dcw8rM@ppeV6<{!ey3b9(ljZ@eX6qBeP7$x$Nr= z-+er3JW+VZ?d&@FOEk2OamyC8Im85UG+3p@J`Zr*7@ub!7Foi6<*=PtrPTL;qdw9% zuEdSc7F;&#!Veb?JKx9o-0wffrR2`<=k4o$ABWg8=l2sz-S0m-!2RBl_Wtt&-QV{c zoO&m}Xi2!&^w3cl*E8id(KMPqvxFTN7f2z>4h-V&CI6AGBWGopAw)y}>}orrEaO8) zdsMD9V)v>R$(Jh37Wp19*&+yGO0~!mw3WJu3sFRA&7t6D!=KBMuJb$hhPs6zzx5d~ zFUJU=?H$_ogKtnV&+i-!s;AA%q`FMpP^*nAzgAQmmB2r>ta~1kO)U)8rH=0u{f}u1 z*6)d=pKOiq)e)rH&*=!_(oX)2q_XG;iq>4hPe%N!{2X~==#(*wZ`fl2-qe&DS2*6v zjY)ii{PCOLc$Ro1Mw~o>s&p&4s6v+R-wZVpKN@UInwe)+8Mg@1lIu`WHaDnT2z?5e z#6Su0%%GTDCL)g=H33v+5nG`?nZk)5$NDnXjSz@dXvEm4`glYj@U2n3m8-X(t2e)T zJ72w3@y!`Cr;mrmt*MfF(>__~07JLpGf_hPS}3mhu}6s4Hkg|;&CNp7s3J5`|gA_L(seE9E{>cU*nwEPaq*ccR$&&`1EV}{Ag}jJQi8G zWsw2kJ#JYnMkc*kqWF`Y)rPnEd(^GAEQa+rWCJ7im!cN#7}|huvTu=_7O`~^P=_`l z4f_$ZZeJuF2q!d#051~@D}UDglZ1sh9_%FKu0OR4!x*`lg1FAyTc|!`*<(`8s1OjJEmCk(WYG=XRH6I&v&K% zLwc!S_97M``r~ExR8;mORMtH!9bMzpU8-rZv1^ppv$BNmKZuxcOrJ|bSoHJu=u~J{7m}Qi+Gd%6qg6|rzUwof4UYAR)6|5 z={su^P&fP2r^e6LIQx^5=7LXq?N2(`kQl4B0N;RTXIJ_Z7WFVx0Qf&gH~JI-B33ub z)_ge)h0pW<&sZw$a3nZx7vAe?Y?Viz1niz*-jeoh5O z5CF!bPBRCWKI$>rhL_I|Vffx6zrXOQ{r}b_hTM$34IuUwakrP@ce-Zp&V>ZxsD!7HCuNM`N&FAA zKOtz*GQVkr&cs}DeR%R_G>$g;6SR-gB|MLP*_(=gsq>Pep2o4a0@&lqmbL=Oo*m5Xnam*JB)u?Sxx@GV6WMa%IBbs8e1 z(_tkS^b5yB{kG!84>>RJ1Y1I$E#_Oulgn4@W5|=oS1h_p-jFAsub70HuLznCdA_q> z3;FuJ{o04G+wIrBeBFUP1*|qy!g@=R$z|bKn*p1@``cKvKqr1tkMy^Zs=r|zk^WX>_P27gzop*I{^m@O z{^m@O{^m@O{^m@O{)PnUZ{_V~e{&{Ce{&|VzZLb|-|qQf5BeJ$Z0oUhgSq16{Y%{QF%AaSi8Fwc@vyjIlG%#UOyc+d#b;cyZRg7 z9Q{qb+Wk$v+Wk$v+Wk$v+Wk$v+Wk$v+Wk#lv-CGuGpfI#UC`h5eLvOTzI=oI?RC}P z&S{eVHU&S%J*%z$_H({I^Iq@$%{&V#tHQ)UHUndAME^-3k{D5SOd&Q9Votzw7%f8@ z8xj1#Dy{wg@v_x^@eOwSCG{=v%3Ob#rho3RpU1;ZTyzA^XGgcCiMQNSJ>4^VlWcJ~ z{5={emsLrv)Zx~!3SRsb-_mLwXVn9pz???YB0Cr;I`t)ZS1}5^7-dMuMER6*Z+J6yR~11dS3QgKPu+t>qSuR zz)x`Tmk=I<=L6!yulWI5+6}X7_B(Eu^zP9gQ1kxBM7p?@IU7sjPHzYmz@_dx&KdqTh2{y^TMe`N;! z@9k3igACCBZ(wh%kO6dO^t1gjIr`^%i~d)I{!0}7jra*JK0@fn8H}KRIzMD-|Mh!9 zzuEpk-lBg+2L12uQu~7p(0{SeFGoe}jDEI1>0f8jzeebPoo5Cnia*9raB)cJUn%r| z#1C2MUzbV0tVcNJgMni)wWAV1w{IK>=pO)@Vwz3mWM;wwrkE54K8`hoab^S!{a$qAD;2!p?{82s2QAl&vo z5XN)~wmcF34JtAje2R&%tWOAo^9A8^m6kbD)5n&W{_=D_mr#j{20gbNKAMv-O$F>f zys3ylO_;9+Zjx&PoMx*%XQh5r^3faqeTaXqg}-9>+o;3D|AuzO@D%&ftQ)hI#y zOLq(Z_uuFVe++J2YpuZzRA^k*;54L%cn7S$xxOKOq3bv6fSmascLK(8Jgz*}H~y;c zTYLSkJJ!f$XQAUgqUT|YPFVM7qx$1aPHWm=SK<18N9Ol*{F8-${T_wZ12i2`9ITAXjtp2l<}FT7s(JPhy1!H;Ym4^m$@Ev5_-`}ue;azH zg2)-~5&-Bx$VlG_x+fC%sD)wB(pNQG3aD#auN(g!;m__JKAL@3;LCctANtgRzbsxF zgH8Bo2QOLxvG;5W7t6KY+rinsned-`Q}O3O3w{th+N}zteT6^V7@*s%59>3~DLTT# zbF_!HVgik8NMfIRgg|4gS?eGzUX_w7Yt`6AkC|vUhx8{NbTizewQb9{#n{f^pf};$ zbS+0c40<4Gz0vkjZcgxtqo2HxQ`^u}2&~cbp=@>SdoDCLRUIAOE4D5F8gJ7K+zr#O zX-3}BjroB^xv&);5I9s6EIJc#ctsCXt~$)XYRM83^3`9w^OrtP zRGQZ|Ud}s96TT8=f){^=aSPlL)$LK7ZHFSU8QEY1nwbjrD* zvZK5U-|^PIuZl%)&NZ(Qon{?;1U}SfbTWJWe@Q85GKRm7g`KtRvioUqxa#UKws0vP z9oD>BN{eICU_RTeo_et0?axTX61Kx9KG-|>{pVW9I-0`0?C+2leZAm-6<*^$EE|)z zz@r!)A`%avU*Lcu9Q4vE$m|Ex$!JB0g}XDR@UWhs`O2F{AHD@yqi3=*D6%0#@k;!L zF_O=(>D3ID0kW%&(Sq!1;{+lLq>q+g$;6@^g&V$MTnCQhC|t`Y5LE6O6NG-5+p3eK z_5C$Q%T?EuM2FH@TgN~3B&;7v7=dP*r^RYKp6-LOQdFKRl1cH3p!Mq_`cerZTV;H` zFSrQbm79Cezd~OvaY@m4G+wjQchMj=#%kldR~3Dy%ddmJ&Hu6J`+`qd=tG3zoKKs8 zAyI8$G)B|t<;?4yi)eN*W-(rJm8N-Dz;D&!&1DN%0%VsfL0if%c=cjeejK(U4}YCl z(p|_+`pdLd0@DXgOtHUzzB)$~4b^;;G}JgW)Dh_o zWuDiXP-sYltu|^XNr~cV(}8whL3=ak^)|Odi!@aM(SP7UXhAz0_x}ap5qLtU5nCSz zkjoKrz@6wA$Fch05)ll&@K-OACG#aWyL+8+4$gvM>a=nhEmlRGcMhD(QspIG(R$Ra)}CrLe7(TcA^+$~44{5X{b0w^GN@cmKM|0d~oI zN`J$wbb|4i>d$8@ajVwyhoAzjm+Eh&6=8=LYG1iq5#8C8GxV`(lrCvPX-_m^8wf>T zV-sH2a}%oEYuHJe_JP4KN<*XO2=EUEOezBWDd!(QJRR#noPAg?bi2?R!O3--?-j0l zj31pYv2#p&_m|+Q)s8PLO>f5pMjo`|Tn6ug!YN;pLJZ`H2p*oRwQbFZ#-GHBd&TJ4 zX~c*F%@ro&7f^`mEqv}eP4H22YlMN)5=3lb_IhOp4;QvrU4aiGBz4AN>d_2?+7EBt z*p^lN2UKK)0c{D6;6wSNr2L#0oA8z%*{U>hK%Hek-60CHY4lBBi0-%x-9agh2VSOb zg3>S*rKvIIF?p9XMgS1o3y;NL7!SoOiR0YQpg7q5ZU$y%uWK-l{-im*pSt1Ml#(CQ2sMm`a$;d_~~&|s`- zrd$^vM+E=*sfpmN=)op}uqW7JVAV9y2^W?{ZP97Q;K%Bx5$PGmIT%OS|8dz}4#UlC zeOmQ87h76FjxV{GIs zwT(DMDcvq`+9SpyWP^CMpj3KB!ZY5&NQLh+vVBM2$55F%Z)lV{S?UX|`h(~`Q^1a8 znDwkOqBjEX>JNB*;y{LHVitXFi8lOIEw*uM(l>Uc?If|z=rXMs*-F6qgxD}@4*{x# z&|@VOCk2IZQc$d|L=2O?ja&K<(RJ(*DjpfV%d%Wr&4bHN^)Qdj!|GG(sg}_cI7dz> z!nv&*8C6sLs<*jDdH^;IqTY!b3@B8Ml2?Q5+3ZFq(mP+F4PO?~|4o$`8UA^=ZF_#C z+PLO;$_A{aS8&|{bJZC~!amV-_}bSQZK6{#T55>`9M5x6jux*i>3Uie0`==M0t;6! zzq&>nzC~->k&knomR* zzSp9g2uFlJVAiRLB7-NgVtkr_L1Q_Dt15WmMHa6qgIE?D#W5jn9^{GlBhLbGDtBo5R~&%o6B} z-sT(8o{X{gH>qoVr!jg}b`@dHqpPb_jpLW+=QPbiNaMn4b%pAh=>AjY1)7q@(LRBe z6Sd^hz)hpHWE)^Kb}@lon)We}D-1Nl*IDto3;QEyOJtv9^NL0|fI5x)Fu^D5aO|z6 zlpMbi{ayQx&pHa9sDN<%0#7)8SxGoPy)+!3<;Nv1SgAA+;1JQfFh@s>17a>~9`)%2){_F5JeIQ zp}&u0>>P}%NZH5`^MNM03a3^8MTa46WP3p=%B(UTLcfma2jO}foD;{;#b9!uKr_x7 z#&T>oJq*nB7{m^d1DJ{5)WHD6KFrQtJg+26kYt^a=trDZx$`E4i+Mz_gHeIABqrT4 z1*${!sG_TBrXN;)Ih2>t@j9m|n4>RjrJe;@&1J11o9zGXaXXT%ca<^cNYD)7o@?Nf zN;;_0OnQU46NN`jWzXSJ(23$<@(gPN&xf1O4U7=P;^?%Xj$rTaah@-Yw@wyDsBSr5 z7`w_BzhyeKf=RqOsQ(galf4*viQ9!k-%kWGjW2Xxgo7B*k4$bST#bKlur?x9_y@c1 zFDWbMA3xgmG(Gep^z&=1h4T#~2gj|?({yuQqQ7kX0(^i0X@33?!i>*2i3*baL~eK9 zv8_0Uxd|od*}f=!W~+o!^`FLk>>J$DhODiuDF-)1n{6Wdy{tQ&=6uR+TpH$4CHR$g z!9;2zo*}Kcopys98n=E)IY1bJW#Ba$rVgJGGfC5;M3jA}ao$U8zs+97pZ#|M^NqZvrN7D>r z(R|4$)<)9z`$cRNZURx|u}LJ*UHAfvg<~g`LBL*vog7Q%dYf0`0kt&YlR#J3>#7PK zDQiJ8abj#@k`L4PAhoJ894TQK!uCq~ek8RvmgC;%r=ehL5TQ_1MysI+PDMF$88`Em zEGFzO#Hm79Ly0jBY}f@zGCb(Kz=Y2Y_$M6jic^dH-HfE}eU`w!OD26!FGPnh6PaTg zU$!C#e>w97oOkh>i$`2a0Zq~T*_5EScKH;1-@ttOc)zh9+XtxEQLr@&557QFc?diW z1l}854#FuCXke-$Xc0<&Igo&;pNxBR6uFv?5Zt7%1aEu_yjKNvnt+(3k?7&mCyLQ zloYgZPdeUA5Vr6tL|R#MYoix>Pn8J%gSM9gkg2$dx8iPq(>5 z%908|>scytSMHHi?5(i>QPQ{dNmnPxZ2nE8YA0=Oj=%a@gQ~xk2LCHaRSkYz4-FYW z4o5>?1vJo*aCM@YyBFHccD_O{dmEf2FxUpis%$;9K^eZ9ZLmKcZCQ8q_#jM3gw9ie zLQ}IN$$|M7J1k1ti!jXX^KNO?RDKpeV-WW8#i#o5uRPFlM4)AAZhUG%e5xltwWvL~ z7hW*Go9X9*l;wI|i(9y;R-b#0YV|U|Nnb}zg!(l;eNcN%30649%T6@cZZq8dfC8xJ zGS~^+{)WA06nXpxQe_r^r_`AWdrSSk?29p7u4txkyz_s zDc|n~@%V-&RC~5nJQDLCPBY20Qw4d2<4)>l)%rb$4=sf&};-&iXTG*aXeQrRj(#L3{Kpcu40np^6;TaKh2#uHGiVCu5xXS1qm#j4uuw5mP< z4(u&;>@C1U#v91N-xn6=!#K+Vbl_e>Q}w|ufSztFI&LA!$ctT^;&~m5VM7{mtY1r<1~18*#xQK&59t>S3dJuj zf#DS&J1CO44D0k)!WNuT1(!q-&ez7k(9tw&uN+)Cht;LAe ze)Icbe8~*%Y>cN+)ro<)!7^S@){Pi|O_+{jj`+H+^EDKVv<2!C_UWn?Tu`IoY}=&AbU{iqyXmZE zlP1j8bT>ZTQ`3DS&ecwwul_e_y0t~lrbDYlsy~e4&NtVVb?BUO*$?4r&tJTi-kzfY zb6z*XLEMd*QYiWbk+AZ(!bh5#JMma_S|M&@%GAZF(H!TE>+go+XO%$tsw*;6ORaK# ziRp+b<$Si5hqluJ!z(Hc$X`3W|Ie~NEvDLRdQK)xIo{fJp_bE2ybGJ<2F8|g6+xL# z#%_q@dz(wq*mU&28cvLV!Z_+#nXq%oaG%d{2kydmnp-jZ`T!fkwZzR*iSaGA(qdB2 zJTENY&ZQFg8GOH+2wTR=au=3mgL2_!s#pzHw&)umqAXouv^|5$uud>76u-8B=&ix0 zmT`O0cXJd*Fs%a{`H`89I(Tbt7IHXgM%O=^E}_-N4j>8ZqjJ}B`!TUW?!srGicB0X z`iJSEAX>&Lm)WF+@PUZr$0tQh7dlQH!R^IwVL(-_8A&KJ!@OD}U~lb9?Jj}8Rh?&m z=!H@QQaH~uNNZUxfzv}V87+#7gZdqn`X38+Da5>dP49{fV)8ycW^}D7d&(>!6P}sd)o! zG(Vquf_-c=oFgF^O&szRz)lR-!Ne7LX!_xBM*Zzv9<;Cu<5U=WI#K+4d4E>k$9h6K z?KBpyd?!44Iehl;svi+fjBJZY5eaWV?s5BCR7p%A(6qTDM^ zzK=)MbT&5m@n1lLDEEt!xHl+q%b`DHGS*5YO}AOfnzL1i_`~Qw7w2ujnsYSN@}O88 z7r!>F=Y(l$l2i_Nkr?Cd-~gayFLIdP9=iE}T(Od&Iw7qP5HX+Y2^EZ>as3 z`6tNjHvdlANh7E84=vlkmX%{#;Bk|w0R9BnYM~Z5r9*6|;F3|+fySCFfCEs$8NFkM+Np^dC3gtQA9f2}^Fy>7OSJefYwNqz6hX*DlE^VUWeaf0F6Ugl8DD0e^bZ zx8;7QPgI=~fmv1^CT>6i1RAujw~{ z;M4ua097o6eQGFooho+=%gsrZBZU{DoJPBDm2n0h=OHP~B)z@+1Yo8=4GI*FbhBJc;$M>WKv>~_JR4MCbmZZLK|~tPjt5IWI@~ zAEN8A%NbMbccLS+I-IZ~V0otypas0_z!MA4gn0hn_JIJFfMQDZy_keoF%_SjbeoPm<=WulBZ)0c)cK&vIGa5W zPO8R>O=I#E;~1Em?0CqAyRlG9RMu3h*wz*H`Axk4Oos8bIJ47z1KQ>X(GArNXzQpe zx&a70fe*amRx&9bq3+j?ByHF=hTJ-5S9DdD|9H z{#PixY5F$(Rr0vpxDw~N00oBKfxvRDdR=tfs9FB#ks-atk9Iy4I}UFGHKwx*lfLhN zE0b7jF=PZ@h2{&P2&idIFpWzz(5mG`Pf(j1(8MFe9<}H#H@=*gPH&08kQ?sMz6>09 z+YTJCviZZIvap*9@#5UJUNfGW*w-vS#R>cb7w7loSb+1Z@j>SY7~j(uu=;VH%Fo1q z2%T1?zlU=#gg(YXocSAiUa8OYux31k4jAw*l-*fyk%|h!vYGOSJ5-TFpXw0r^;!of zL#VNzR=q8AaxS|+FmJULT86*;ZL#CZ2?I?Ax7U*#j3ATteR_p*pI#65=%w?^+#T%S z>3w;(|DgNwMss?zll$_nw(iR-VP=lbgL}F!@896MfJ3hA_vOvM58OzO^QYaHcR!NN z`|_q|zc24<>%P33R2`+nj(2QI6GuukMXimE?j5D#gIvmj8bjZ*~ZR`0_6mcAP9 z;M3yQ0^C^wZZCw4i8IqUUu0w)V8Q!%0fr?g#qLGQ0U3L-!X4LY_oo0l6UIIC0kk!h zG5(bEj|^Z{9P+U46VI<~WdKwha51F93F^T=zjxu7@|K!%E%tw&oaluTA$6uFLN=~4 zR-*kQltN@xBLYW?ee8HL86=R@_%)WEOTu~$$Vq)?Nf?jvJ;EAs;tFdt+o%pIEa242 zTKtR>ryY(3Am{5#SS)hA!z#N6rz>eR$uN}1X*Odhj|WTiF{Nk_eazr^aIikc9}oKV zF=g=}mcPb;FTrwsOhp_kPib3-_7n>6=_mM{;R#V5;<=TrKmfI~YE&zz6>f)?%~HFq zzf8@%tbsMGBkd$8}W2Se*gn8!e)=BllDb#J0DKc!e5X`^c3hM zsFhX52MCl2^!0>}Z_wWst`Q6Xh zmU+FTyp1*BrvJlawZCk_>)ID~2A16+XXbXNiv@wzh6V&wRMaq10|7x~nS?~a1%;5h zP9yZd_9Ww>mWQd=YB5Rm^Uq-ZL6`0{Vgky5{CJxmf+dXuL}9@F9<9s$40qWn4?jwp zVX6Iw(nc3{r}3+SDkkm~p!Mn_lb12b2=Q^3J7bRciugD(hw*XEFG?}xw`?#rOp^dO>={tZsRJw2 z(^zm#69iPqB$X0&G z44_4R#WD*hqI@{lWgg5AG-R2I>Dqzyg4F8M29z*HLJk0w&kguzhHrg?a^$^CXE6N` z;CQbBR!k4z7o`RhNhZPCoorE}4K_eCY682DO8PFn)x=fPRop<* z_j4r4Se^7;h(|@lC=ij#5<)r5N_3YB&6ic5WEpr!AF56jqcr4 zl@l!%Ao0RhVQ z_njajpC{n0#G)=)!nnK(cS8KdwwMJKu{kUF%?W>V-GeV5&z^O#1G+*%oQ3i0`Ht z-E2N$`Fsu@O?mkX+E+>WStO(I6?jk7LhneGy~tw(pRQRPsXFv)Lo+&9+fIxomQIfX6cGl>BH>Oh35YB zo6BU(gzsX#NQOD8&cTVXP$4{*$@WP)Z4&Wc$Xbi-zo@4Rw}HV{(_})k9)9JigAoiD zp9+#3qwj_V)cUK9m3KfC5x~aCBItv1Th< z+Lm-f*y}9jeA=>K?GyE@c$7Ze50?YjGgW9C3$?_*B3& zT};cN%*1^la8J$ta!84kRY+FQs&P&)l)oo6=_9&!Jlq0R#}YyBPr?+87F5x=>JX!_ z7$OHU-YSnCM$U)C%GOXzg{ziS3z_y;qOgX*VPl7+z)-nm94*>^YNs{}FI>eAff1ITZ8jfz%v|4CrCMO%Qs|F&{tqPFH)qNB(5L zZ)T#Ly4@R{sTMo!*K9FiWA^!aqHwAO&YIsjk7`NH*Ho%77aPR7e*LRl`;eAYb6`E3 z^t<=Z;GhAdhT?&OO#4^z=cLkA`BX-}>bkpt-dSiqh?^vpI8F6R zYa@b5W43rtE?FcB4>?(SklOyf>~^vplX_FY2WSbc#5g^IeYJVq5kJX;T{;v59;j_IRW75T|BoB;jIR&_hae};I(zF6V^(&Ne)=_vTKHlS9^mhKb zw(m;je8lQ-{xgPYCBc5+SnjT0(Z_Jx;!%RbM>41j4lUOy98%|EMTi5k4n)@YkaD6z zs2t*F+SjYsJR@}if^3@I8#?#llZ@xT0TB#i(h!) zsg|1$dA^vz9B_{fU#h)oX!%REHsc^|YU{PkIJ{Gf7oUTY%E^ua_6ZDzmeq)fyqJty z;taLL0&!IM%ynvD*#|ZNWsK7%jFgXqU5sfGieoQMgAPV}!)H;r{g2orP*4U!a@vE~ zrUk9LxahP6-lozqI7kN~xOks^n$IZQIQ~ZZV~A?(#ZtB$rm?R!_e%+p3GdM?@S4X* z?>~9X8}JMgUiIjo4f^;3e*05@bUNXCk(|5 zZU$b75PTs6;zLeA_O5<}mAJWV1CouOVFUyTYe<6MxNGzGc%$euj}8$Z@%`^1p@{Cq z@|v2p85>~*&x;_eizH!>9`Jzvd&HhYN?DBcn4d8^EPo?lMyPR-eHF5!0<4VF8bI(r z3U?;YL>g>VRq1Nuj1!0xX6Gh^whwm>p>!S1+9+8=-cYa%CYcs_wUic!?v1F1M(*0+dY(n%_iSKI} z*iW8vHe%dDp7L!T-{=pTrx(T@;1dMjk_rB20-nOH;BuFVf}+7u4W<%2;*P+c->F4J z@bzRRuv0f=@HJ6f#5G0nsi1+dbg3Gsl#U7F#{X=r*I|dZ8N!sY=`S0<7B{8%^&Fm! zMRdJlO6cI%e54D%p2d_aMHlAJ&YCYqG*F6PYxt%Yp6>vE5y4N)1b>`>Pr>bhUlgYd zevSGd3%}mQ>fNsL>p{-GRmfK+znrJ>m{SmifxccZy#9t1=WfKaaot}{&Mk$ctrbc8 zG1AGo(R2P}ac;Fp8YkauvjzAy$`A)6oD;dblW%(APzU%!3H~4pd`ghNyPn_`=LnZ) z+LAWm0bH|LYG zCc2h>2|8n+^p9Q?`(#9PMC{xA=mFu_i8{)1>yqGnBOgI5iph(*OcGff3KG2;X~Q57`*#y;%p zS|JjCsCXbKDt*0op+`%$VqL9i+lA4+z0YsMBDfgA=F}74lfF%;I@bDbZ0m{MUj?A1 zF`}Qn5<}hH2q4S5`vjbse)Emf@T29gOn#^(^IxC^mIjE)w79b*(;t{6nc-PklKt6} z;VjIS`@pQpn288szr0>}dNyY!$yV<|4a0Z7(SmURVVT~A{dk1JPbH7H`EfwPiFt6< zc^96FeES&n%$FR{wy9viR*;v0EA}wtd!Mh$@`=E6v5+$Tq>9wO+e zOZ#{i=EXMkMfzZ*mwKP?)DmNI(TYGUQLr62DaLTZwy}*J@wM$IY~hQ?t7vS_c8;bObz*KCIYLotzs(rg*-Z@4*z*$6hWX|s3V5vj@$?Eb@_AO6MfeytWJivHL%C9mmVSO@(b9OK* zzZ?vDu+n!u=|7>0mM7+c77Vj|a;aEnm7g`8c(Hg{Z~cmE! zDX(n|Lv2kN({E6XrlX3c6GwRGK7e9aP=^_FDrD5#d>7sc?pA`ss8OY0K8Z0bq^}E{ zxb@m#@Wk(?ALkt!LKoc8fs22nF7^jh0=>jaP%WyHs*wBYx1_#qgg>I%?h~*RZk3dW z-d#39ZxaA!$>5G&0;0~eqffrDX($`P@LNzt{(c$0$d%{=6*)sUPZKYiI=1- z%13Z}UaTv3%9b@#;x#*F;`35>D_E_8{UadIpB`l4=czri>vRIdt3T&@aLeK5*(tSp z&NDepkQPe(cz7sr<|#;C?rrXi9(#CSqhUX6fJ zsdG+O8S*P{cdV;_bY`q;MDzq4=83H#bFm;&jj{;3m=df8tw*t~xvsWa8k-6|z$@{> z)$1{M;DBY8;AF$Q5DLgOGL7ZP3xJ7bCaS=m$5jCiRlJ4OB=^UxPt<* zbQ*;!@c+!c34B!5`97X)AV6e-IyRt4&{%_U37~B-A~Pg`J1~(TE>T2cu^6q|C^H&d zAef+xmr>lRwbrUt>sG7PTF};pMFJwL8%R}Z7jKLxYDK_he$Vrsd+*%YlEK3F|L5}| zbC+|^Iq!Sk^KR!o?=fl-4CDUuxSSp$+Wzzyi-&h4zxV#45JUIYI(aw|6K7(^Z2C)-KyrMF~+}3SA`x>pr$Y0st zXL9!xm4s5LTYYM0>);yo(H0fyjvy{t8)xBgmj)e75wPXicQ< zIDh4P?$(VUXrKG#rIs2po3lXlk8-c*A4T{P{bOb|6Ak~91j%_%>@wuFlV4zGOsMzb z^U-iu9yra?nW>AO#>%4|En~2gdT~ioX;jL}rPd=^R9~lU+zl_{0Dt9rcjSkB6q$(l z+_%vWbmt)B5JU>MR7}HqnR|Yzs$9X39v*?pke1eyV}h&dT>~tDHRP3yX8Z28jkq5@ z43~3;jxOVqTmHr;V|dy2JTD0qLI-e(z$YBpR+57~mbGOo{2O=G=C(&YgJxl8xBG=5 zzLu}Y04#pl)qi9=Zp$#4UF2ATFMwwDE!r{D7tUh{%FK2;Hh@KrN7o3>)jGgtJdGXb z^V^$$%qdl;z5+_6nuupOK4N(ytNLa)31>cY7RnUCA$!C4Q zqxH1u)0PXxbs-m1R`}H1tb#GQS!410JpP}L|Bv84%f)}$*)CU(Tsi*WS=xWOE?1s| z>SLFrR0vnBS`*%4Nv>90tN%kJz&mgOQ3B*)M|i!E(M%uwx&8gE?KuH`wedHsYIarP zO1WC}PUH7@f(aZNN_FBe(qeQ?Kwm3sG3znUjfJW}@O=?k{_rVS&SAK&EzHO?9T}9o zQ>06!jC7NPJX@4Axx<%-k8pKZuWI@+`ezd(r(kdCb|vQpI9c1BIjSZrR27KS073*TVYz<2@irJM+0p^N zvTuB4E268ymEo#9W=<=XwGcEzaJ)hmGMwj4MYcHrjDT9!5YRt0Zda9rUZR`pSwYRZ zTB1f3c*VHFY~}r~dr)iFW6H}}>O)=e<0bjd%E{$1Km)jXkKAYCg~b`SzOtq88kbg6 zu2)C=P!rY|e+CswM@6ED1hnd z)Erff8R^q^&87<6gFfA>8HHl{GzR5Jt78zr>x>UTsYp(MzAbeJR)r_#Q>pHYg+J+9 zI^8;>{(Z@&d+OiJtK<5oHVGHoT{Omium0KNU99P&s28eXITi;8SXHkwJ_IGkh6R)p zJ{!>6Hk3(9lPHBX;t~fqp^B4cl8F0 zsDoJmU*Hm^5f$eav8HF-dG7hfVnJX(nRuN~;>Ip#UC8>JxghQ`PVIzyFm4Tv?qH{b zOzvaLGiv-~t%ITwLRJ)|OCb?~xJDl~2l4RrEzH74p=koiE^3LQ=;v8^--DsUNl zJ_HVVi0+amcq#Rf+&zxvGx*|MMk$(ruQhzC@$e@s1-R~zQb;pOh9t!X8ree9*P3$_ z@Yo^6yo8g$F?nss)P=+JQ%gW%Y+wlpt4tIcpJOCME2)Moi+HljNT=(Jqi#_7eh;DF zbOSJK9E7D`YoN?Wi%#cQ-C07at{SYMW%)$(<=d;Z55RK#hU+q6}nBNt1db4#`lMiAl(8UQy+;ZHdO3Z-(~ zV1ZeE$v1KFUkFaR77f$TxE@Ww4ZIm0Vqg}$!db}K!zx-|$%(FUFE|EIA~o4Qh~H|x z9kHh%uSVliba4^0AMoaVSq|TUMJs6gYf}o4lT_FqHh5{Fm|ACvOI=; zSG?!?51ewtRZUj{psxOQQ%AC5)oMN7w031T9}93h3rH5yv=5KX5G2rE#<*4J(Pr<>Vc(#Znw`rJ~xbs$!M3Yy} z6g{Vw55i9%hU(aJuW`|M^xS4DUgN__3RPadt}|8%G~lIcgkI63_l1kV^HPJr0M<~0 z&fL7bE7#;CDMd$Y{+m;Oi%2OSgXfa&Pd;OPTU4CZK81~mP$?eI8RvX%_S z7P5{xoEl!JaA3oQs;{%h5n8%IBcAY4n7;zSKPPa=WKFrwI7^Dexa?c72uV98zJ7moRyp|LJNjgWuqSQsZ*hT*nBchOWTsH-Q0%mP<6Y@_f8$O0U1!X{oRF+Bu9shy z#-UvJr_7Nw*p8jw8y}Jez5_{u=y}~W67B07qF9n0hATJ(=5HmVn2>KorKMe*@^!@B zT!l7+k8#`2KG_0UudpGHr&?eapD88Y_y_oZwO_B1Zl)F4%HNpckF222wIl9vldU&ns;W)F0eaw?L z=vt2N-H%l7#z^lzyEwUb(__7RN8}wO*=i{l-@6}My+af1+ncvpeOr1N$18@t*{W|( z@G-ELzHj$#6U@DIBr$iUw7FR@(qAED1)-Cj_g<@KCs+^+5iu)x`?VCCC0VA}vnuq= zudm=9hZPQG0xD$OxogyyT8|ry!Vp_>)-p66Q0Cw-79pg9CO0V<>ambt%y@;xTicqKA zU(E85i}g{@)@h;}9A)1A7q^%lc-MgSOTLSS4u&J9(D$e8F8IaeoAr23j(CbzdD5&~ z6vI5}N?ai6xqd2L!-@c(m4S8}kIV0RIn(lTNvMp9BqK@%|k zI3_mOydR-ayPSqe*w47}bH`9ZsY)EmCQ&aIotJ7Tn~dh6YA8c>#5(;-92=@k91$p} zd;|rRBM3y6M?Eu96OaqVMQ*QFw*#Ole5H_$GC#pX&h1JFrCt$JI=OI>Fx#syA#&Zu zDe}AC`1m3<+y8}MIX+Y6xbVi~)lva8eTA8KQ5@J#{33EI+*qWAo9NH0)sgA{b2aq@ z(+3jMFZ1XL!8G&^@gsdBexyKTc2saLx@42_qf_H?{{r*{YZ~?aEUGL7&ZT3hT%;WU z_f)5ThmuXkH%N2BX%re;4Q8lvg(JbRVEauIy}Qnh!*(Ck0_4YI`@bk-Vf!B4#P;2| zNWk`6jSAcAXQsmTRrm$Anb+Nm1Oyd+{b%@{r6CD{odh%9vQ{IIfd2;BVx{Oi z0slJ!`W_}T2}_6Z_UGp;BG(!C?L`=L1Df!BEE6z3>-jdF02 zT5|@pA+wpy%qq+oFc1sJrcvyb8F0%vG636cqqtMmeAVF`g>S*k-Z!a#kxBifBBQwN zs9eSb&PuF^Mm?9JCYni4p`5NF!IpOBM3+h`x#ZMfzr2uW-o<&(i7vm0+h>j zENrAgU;+PNTO-z@`3I@gsY+v**)6h9F}7(J3NLkTwrWH^bu>jPdI#@eUGp1Q*FOEd zQTK^0>AsQlh2=y0d?GQoVTURK-(Y-mD8Ni#^C+Q@q2ZrqxNaf8tp<1ItqV2nZI(dCt9 zUvFp-j|ngv_54)T7?ZwuHW|+-eIXD|`VyhyG*?dgFD}TU4ktB2`oh07QfRE7QD)NG z)(bdy))}wk7xLDTM$qI^|Fn|yreILEJ{F5ARh}J0-%^`MA~r5?8jpgfD`GP#OX18o z9%COniA>DJ{%8m;b$h1nAyU|15GM}gNa*1*#^)WmF&%m zjIf1|=2ji4%pxqfd$|7MpgZuuODTyi1qI?yqMPT=GZf{?Hotd4ZfwYX<97V5hL?i% z`moAX4GM0+=NI~-?IbgD*~X=_;eJ>66Hvg4?zL#I+|Cz%kx8!t>P9BLxFh!#hktiT-??R z?>#9-lhh~%L07Q|@^V8FBvx>h56q-V+vCVHE$CGZoi2iL=MpqRj#LfDJu92`0}96P zvO|@k{HaQ!o;fHE6{JYhttUbC?HfX_ce15EdUg02k34aFGZ9 z5uCk0j=3mKZXn|N8zbZwD^SyT5Bm?lDBKJGbJSTz&Gc6%VA9e@e2(Z;#P@SQjlPU_ z)_{qTgS~)OTlzs>)H4fq4Qh#xPUU_C1hG0cY{~bnOY>F0s!{u+Y@!EYp~;_02Z&&` zo*3KD0E-v%6S6}5-k%wbKQLfjP^^YStG-7ic<#=+@m z%nY7`LGSdtS?ifwWE0hQ_ynO3`Nl~e&fqn`O7YarQry`3Ie-^@6sv$Lo~K=E{0CaE z7Qvz#zukfxw2L+)XQL+*3#%7QEj4B!9JD9r9~z0BFZ2K?mpEsXCgupv7b?wi&z}T# zE=lMIa0+Zj8Dq)Z95Mv+Zfi?U795*x9u(9fcb05pHP*}1TBWF!h1dLKu{`Bwj)hX( zxEcx3-7jFv=|YwA_e0#b!D;?HW)n^va>{S2qu^lm_P2+&u?c9gpiJX(+%RGW3dMy~ zC@xGoM<{?(!6U9Y2b0yA&dVhy5cjZs(IL*+K0tpQc^P1PG4kT^hckzRM}!|PX#ueO zU10t$!tY9zB@I~y;C;fFUfF7kjgehS?$;|F)?kD0Dgh9g|7aO-9gwC5R0OxySDq=n7F;vW?3pfr) zMz`2_32JB830PraEHb*mrj17hS~cLs#yuz^h>~|t48YjJol;C3@ClpKjORd$R6)y; zlMZ})ZlM-w$~PBFyvCcTkSj1O&yOqEJ~-20&I;1jI^%TI%LNS5h*sHL6s$L$9?S~p z^1{tBo?rY7D_mUkx(CIu=ruv{G;_zzC&x=qtvq|{jkUnG8waDIY1V6Ohdv@BT5NoP zOE1J{>xxFlVu#}A8<~F%>lpy%^g?a%lTXOMV|ZTUS08Ch_rQC~J$z%dTnzZZrz?&> z4+aowi|6>l&%D~=Gu1yAvuagt;K7KVU)I9U$e~iaKk6xlEDJ;i55USQUJt77tzHK( zEs71_+1v^VF>|sO$vd6j)oV+)$7Ck6b(=9P(@` z_8h2Ri+SQ#_&Ia;h{N5aBYS_G-y#7I1_yZKn&<{2A2(ox^%!y0L*o~2jvYgh%bmeA ztRMUsr#Z(nX=r(v+Yci61EF2zJfRV8M=Sgx{un&T|7%-xW;e}!HF6B*r6rA)mupKv ze>G6H+W)dOUVeS0|Eo?7!G<`GQqx~iLuTMEhmlFQY!v`z=R576#e@oylEDM(jlY7R zAdlOAgNJIE)T_v(a^pdH7YxQfZ!p5@xj*jttwMg6t5-$Zyyfj|dtQh9MAxD_bKAO3 zW0+mOq4g&NYULM_vr;`BAy2CsIw@q-qao^1dFLzkqXItCmv=tLCy0J3TLUC$Ktepx zwtkcyoUZZj@mlMi?9eqF+iHE8KxcGd4Ik>4TeY&}0I9NTh716iz|AISrqHz z7}tcNSHu;aVHQ0=HF5@Sk<(Mhujvu)Cb3bTY&0ao*?Qj`2go8x(ns#Xu&I z7VI~ncdo=I>cbE>dk{|&K(KwFEXSTm$N0Yjy~Is;glRP*8B=%|4pYj<|3We}^cS1H z`wMe|Vub@U#({wNJW}A*mi{BppSwy6p9Ys9Y$aMOjmT_?5?DpRNU74Iel>B5#&TOE z#W##{oG8wXhB{^XhybCAHS`48F-t`J?5t)Lq=my~uPJA;YwNQV@;2CzJ z-~FZ^DFgO9es?a<#?}JdEIgfBwP<(cfF)s7RpxWbRD(z(d>-^pu-I6i!EE7F<kz@k_m-O6(bBpf;fuNC-{sqtN8-W5{YGx~@O7_Sogb)ZimO=&8+uIpKo zYuQNz)9AN#)UUx$A*qnE0`jiMep>ke(cN)u4w3h-rPNr;f^bJ?Dn0G{?@TP=^DV%R(BJR3-_o)!`S#ZZ(`esky5VWCdn)BEplo9m1AlTIG(bPEw2jvTEHpEY?LPE=K;R zi6X;lFTjr=IpUc-S_v|&7S)Li+Zn8Z47NE6pK453?~xKi44=SXN**BbLnamDR}_tQ{^}imCfF}1G3GPyo$KmqO~~K+ZFUG$ zWQI#{kqSrGP(wbpFGj{6ISUFWOozkGJ2f(l7eJKvI7LYum;0pQt{+W`TzXlSf9b#T z0=Y&~H*it{jcHW=8}!1jziWMmbWf|;-_Y=+Zy0i|iws&Qz#1zU*y8{I{@8z@I}Gsk z1JCHLiO}2*W`rxGSKt}c4N_@xvQg0=ZSH5U6g3dPjY;Q8pQ_d`lwKD@FBD=X6X(=h zXB;CvTW)f0)FKSba+R6qNh22f11^s6JZb2>7@7%SokGuW%K5<-uLE_dqogY!w8YIB z6Xe*z6DY%ALY!ln0Cf6ixiwr zWD$o{xKbcusG09ip`&I;GCI_G+gKt3XFRO!KZ<%jtFjxFU``y_iVX>p4FMj>YY)~*jRIzp@&OE5s#UbKD#CPFgOW%ehyns zrK_=_RP|$7dN=lakG0W(`k^}`QIV=2G8bqmjZBPcGq%iM-R#t--7g#rCn}<6bwAg63u5Ml1NTjag!_jx%5`Wn@9*itxoK{|f^c$(#}h;MtHv5l@D-L1{= z=OMT(cfHoSgo+G~%V=Gb9X_XE{y9a#-ciqReDs>TR6Hzakdv*S>7H9n4QUA8fXga9 zP`Yy|ttC_DVpk9L5&a_;bgj&N$7UakK2*HqjCX`CUiDgN09jPpWFfidnomJv8Syp)vFCTWX0~@O+8c?OYdAFoQC!Cf1E-Vn1!jR2*vnSRCDKr^ zRxhTSrSitpTkFRaGUpV|F?6NEh$_q;kpGx-61l_ZB7tGs8>fbnS5dW{q2-O$s|OZr zG+MW*r}6bO7GLIbdE9gBM)(EB2n0TFi~p)?c!$(8Z z-i*3Z$T15HNBa++lF-fX+M zpQmna$V=Szr|f|ke8+s>_WIcEMMtFsFLiq_LJoZ|_XO+Z(bs z?Y#r>ks*9vxCbC5bc{g%Twy`@dt9X;n87lF?jc?WeO{7zd$tn-+zInRvzt3Lb#sTm z)H``T?16~L5Wa-=HviZHZyl~ufS0npn=^0k^8|ceWH&f1b%TRV0G;?8lzDq`{Ad1ywvU83)z$*KI7=) z8Z@HRl&I%&`#K$coXA#^&4zB|^B}uU$bb7fUHxxBIP_S50zE7qX(8-sT%|x*O8I;V zTl!w|S+m`>x>@ zfS=$h1%N5}`xB5o8R9dcy#;oAbM~UWlQVBGQ9l2`Zt#HA4W^RMuR`=>2w$T7`dzt& z&|l*!1wvEFuX8hRk4_pa0U$|=a1#FvLoLQeJ;$--t>GfB)+=|5>7aqtilxBz;B`s% z%_U;m6hLyc;!vT{k|Tr*6;Y3uJ(^LU4?jn#Jopg&7llFxwp>>kJs{K%xszrrUzt~t z)s??8uM)dO7Yvbde>2M=5+2no!CiDY&m6Zr9^zbv{fmTL-d@c17O zkT(W8_Q$?*`7SQW_Fy_NZWrB3JowFNpQggUGFE?oJn+9WTt0vMoU0tJA>}c=FbVgk z3+@+zD+3S@P>*Yb)DI zk2sFmhn9W4=eQVZEf=Tq`veEGb`BcrhYA1HMx0saCsJJ|zLHj~Q~6JJM?H6?Xv7@9 zVOAsGv5~jf2-3#LsQd;X{t+~Q22a7Il1njND}TwXA!1tGcVr^j8U&GV9ZOsPAjYyo|;}#jj%hBTa<`vXY1qezv(l^-m<|-_kEk}m?&#@>T904v3`HwHOvhPrmD`K{!gml4* z7-Ec+b9%h==!@!#BZGcTugR~jXebE&_$zNdmV~1`v+O@dBUfD*{czTR+$x^mcj9a# zS%nqK{SM*|edwIY2q(%{Q-(4I01L*JEOjiY#Mb*N99%ngY5Gqip7Cf2GsxH~i$DyO zOk6sYj~xEi;plX#fq=LK7szE-CFC-$9{Ij5w&J0LQmC)S6=MS~0@cMpb@o5v`g%Kysq=lT zgKEZtGLUK(qvzVuirl+VPwfw5Fs8&wGJOuhC${rF>X`y|X&ZlB#c;PR^jugHRz0GT@sf$Dt$wEr?9qZD5ypTEtK}FJZm)wL4ITXexdYC~J>;21xa}*4r^! znKNk4(k&A-O36neyI@tUE<%u61YFlKn#oj{{=yVapOo0>l~D)xzz8;?e~YZKHSPsL zLi3bG0R%F7wNcFOMK~EpaH;5+B1>-!^&tTGX=$tooE_@ahG~ z0{?O?T&C?fGXAWZwrHr=_je49b=~zf#pB41>D?xUWbKACtPFF+a*Y`lJpYg3fm!kz!lw>o+OJ>+kX7g+$cRR|1vmu3ONyDR_i7!-|0xOd)EGgQoVQozFo-D%Nq? zU0boz|2F==n>b?1)HG)UMhdgR4tUsBY;yzV<%|N<__5;dOnH)KtX9i=wVvo4t7rLK z7z`!T#hAyjpogw^6UQnR_23+=N_>@iu!fN@h~b7)V{7$SK7ZODIpsuRUw`|wNMIPk z;?6->%WtrZzR;2%;G)=-2X*w*Twn{jwrlh8>-^y z`WCnFt-jW{k0}v z@Vcs=qGKB?jEpPPMvW60{3ESwoxW6A{V`Sc?V})Q=B*$W5)XY$FAoR#lI7uhgKbPn zPnB4!M;~MI@aFF2;l!etJp2JZbjZVUcC!b0DD;rzZ`r{;Dh$#ZZFg{G4N+}LE~Un~ z+sK}ncx=XmJpGL9f{h>TTn0(Q5KJrHoRZWH$;X*E1hqlgLJTS%=HMYSJI<&=lvR<6 zvI=g%krEVJDgx%Q?2s1&-u50#!cBvqWn?#E+T0ntTCO_*F0vm;Reu1>r{4^uhKe7@ z-OB0{qFKno-J`z-^o&5Pc^|h6Ag<6Do1&F%^btbUqn;lfM(SN_EhGGVXdVP?0KuLr zR7G5W?zW&qbXaj??)m4-Q;=RC^ov*+h{_Ze@bDW{#dLTx#sUlFF)Z{_SQu_ji-D*_ zceTDt%7?|p8L|UC4rjan?7D(aF-3Oa!OXBPZ^Tya>*LYy3|%c2O-fA_GNq{up`Niy zi(FSw-ZEFA5dF9m{V-98yP512lrMzVQthhj2$q`TiSvBAg7EhvE&iuG z#i8=;(EHR*E8lkCwY+U>FmL7fsL3kPUP-x{kmcfnsxCk>v$avr)D#GCx1B5oPp!;N z!bLJBz~H2qn>9tjJWanWODkI&o18LU&;n0BLMCTBN`t*lOE3u1&(CW{C(qAQ2c?>y ziy^mS^YcXn(RZV-KB=~dioSX^K6K2_MME>0pJu2=ox~r57f24(CdK{vtQ3F(KiEOm z4pj`wYAFu3$T#=hmg5*Tj8Z9|H^}tGmKhFesc|4S=q#e)RLU0Uk%|F1nkGHMGSC(Sxn#Pi*D4cvnbCKxmM@YyG{OL#g`&P_)4;G{WF;0;cRu3 z>sv#J2MPK-G+dv*(p!*?1c`+LSH$&`f0Mj>V-KY(SYf@!3V3$?_WQyyaJzZMlpr>qL9^7ZC?<3#pQuoO99)4#Cf&>Y{( zaK11a;79B!bO7?bczWk2;}XKPljC7`SaN*R4{Tb4)cm=F*P>yJolKW~U+~xkt&9f@F`#P3C#r?uT*dn4u{n1qma9GZA--1Gvy3!CVIgn$ScZujq z7(cOPuw($ya0L1*QNZwdn4xFJ;Z|2x`YU(LIu!c{+%Ftrx8ENpr%3xdlG{%;9!fuX z@esO1utx(Un^Mbp9FxNOJcWLAO;6un-2L|_%l9fuGG+V2y8nKUhyCy7d*oE^uKzFg z^!?-Ae}7F+-(TGQ_b2!C{jl!8-*YhG&rd&ootU}ZjNgkregAm(-(S)U}s$f%E@08J%4mitiJbf-7Rge#hxk~H>vBp*HPasQeQW;c74zFO>oqA zYEShY*K>V)4vfM339h@H3-2ac9Le~5!cpJ-J=GWKxxRCy4(M0u_>13JM{<7#_4Iw8 z?!SL$Ko2yq+G)ilw|{R>--o;Z{#^OK8=CQV|NWqzzVFlh_wN+;z#mpa;kV~N3ix~a zKHUBH=i+;7exD|Fb%Tr1&bm_1$G(pGzH|2g+jeN|ap<;|*rQ0|HO! z)3^;6MLmz2zxT^;oT`BRj6%xs>Oxc0b7gW_q|#4tONTtwkt#W`s$9JK^CA57depU? z%0A73JpAER@<-bj^bJ%lyV95m#S&F;#Xrtt%<*%;_iq0< z_}1Z;@U1j|UzXp@;JZD!Y|rpL0^znl;rsRg1)mzePjN@^J~V&dm)`{NZb&hFS42I} zC6`SDUY;`AXlky6yh;n+VT_keH-2e`Pvn_n{05^}q)!E(+k~sP`5P@DyqUrGdUDyG z;rkEd(|(0-GDrQUm1RiB~}2?L9zg7-9lHT-zrOo|kb;cwUg-p5eJAMY$f~c@P3? zf5G!@zJkZ(f4CF=C%-*|^S2b`QiJ2*d7B{T_8y!J`Q34G@C-5G`9X?)rXsgbduPfI z9Ps4qPk5%GN8|@2L+BT$Ne8ihoT8(t06IKHxzq!Mt>PAg8xBFe_X9*vRSJb7Vg5vV z#lbTNw(HM#nam`#q-zMTt-pmEvI`_Xy zREmnMc^pDYB1*({>)JCEmg=Zcn!CD#E=iPm-FT~2e7RHc)z)C~j@GLDXb5>s5Ja*D zTWN7$5ar^Cx-X4kAxD*0 zT8w82UKxq=(}n^T$=T>I1jtfFE{OrIs{M|dvM+@ zyr|;eMDWUy7#sw}Fi|_Qa7-;mlJ-(0X?OaVohX-hBQKdvu~ANEc|^m4fhLQ2a7Gr% z@=4UQ9UL2}Rj~-05HaNZeg_3)bmuD3Yg#4!k?MjR^@_}5Ez6fbY)xS zrlya}*BkS_J739mxADy4B?kT&tMcg$N7#uOjE6Cvip0o^@U8&=?bLyr{=(CoLIZX6 z4i0{R?aDhCs~EVh=<378feL&)Q*$CZKyn=-fk>)~ivFq=1 zafz)h@#2p$3f);mLOTkF)R=F=jrroF4uGnY*kRwb~Ya}TaC1WmE*V&;6Y&X0)Yur?C|sm#JY2_ax^{fMh%6&ufH z>ZRG}!tBDRC!7WTQK7$b6f=b=?0$)s6m~D^ZDV&8n+ITL1H0|Gwy?`Vzi9xojaQkjA z6SqIq6x#X9Ms72ayW%xLZXn!Hp=#7O_#?IX#v2$}V%Oc)jDDxWaOZTqX#KXod;UM! z;5Pg*E=7YTZCTJ`p=g1bb_%(B+F7dkMbiBNR}AWdOtFZ(!a>}~FqS|?7OZ8zbi%4d z8Ud@Of2ygpQZZORbD?P=QqqzGUM@c4WNWbg7yF}i2E$z);O{Oh^$4tuHmnQ#rGa%8 zUSO#6+--E_C}8KZMKzHuV2=f~zDV5g=MQ=5;5kvj^T5nB@Z5wW?lXa>mMvIg z)Ezu;O^Ac1<3|=eT{xpCB{6Qoi`HEY?zVn%QH=cFa^ZEi?at?`I(OR+xoC3FXZpy9 z)@1xOw+D#(r-S&eUt|XHRJM=_#Q*ZgLHy=P7R2m*N{F}N#po75V!_j3Y|rTdp8L~L zj3q&jWZd`WXj2<;L%$ zNGjJIJnzj&Gd}Zg&kUZ4Y$22R^F~b^Jg*G5;OWHbK}vqG0WU^xaKKY&y!%}b@LZk_ zoEMBul{I>sz_S8>A-4PX1WxoPX@=)Nn1`8=+f(0W z2+m2>al`XN8=MKbX@+MwUbtWU_rdPgn8Y2;=DMS|ThamK$q=Be-((2Tg;j9?oq2*a zK1ivUn%)}l0xD6yQzg2T&2nqrdKoVi7;o(9 z0gOkcrUBzA8gUtz8dtJ~Ou+cs8wbY6$0{&VTXBAFU_v<9Pt8C`sP;wj%#4eaMaWi! zW@m+twx7dEfg`CLw`vViiUkK?-^J&-SdazxM~vkwT?g@J=)|Cj@>IEa*v=(dTZx4-)O!694yEz~m*wZ883^Lbq?KH@8 z;O7{=hq8RE*kv%N*J1w&5~QCCBgV&czE!?R?HFUvuDFV-7c>^YP=;madg5I`H2MQqbak-m^!CBf@5V%po(P~*=zwmjK|FC%S`i#C3pnK1Ng1c*9IcW z&7th1TgF(#M|?J!wC8Sf0fdxO=;H#@#(fLbskyY^D`GqjwBts$!|-#z0LKJjyJuk< z*rvzX?TNOmz*dKLjHB3u1GeKGuxa6^5@ECL+E3%a8(@R?LqL%dyaVt;nYA)>+t~PH zJCopZnza?imb24<*K%`@Lnq*kV+#)OG8(#r;$S;#4P9o_mZ1+RtI5rv&XRybxm-hiBme#_qLp0b}<{ zxqz{Iv0T8|-GD)*vAf~FJplh;k_<4Xer8 z1lp75rXktSZ_Es|#h-r<(B@YE-Vk(!LgoQ>t_ zK>I<4puPLq_W`PvUH(EiaY4QQ+I!ZeH(XkOz&HryR(e>x}4sGTxDvr)_W zKA^21R=-p;lE!iO6hwL@&s z$^b!1HgX_ABTyEHEHs|}v@8$t;HQoa+66~ikX;2^Ipv_?;6l@gjtTW$+k1d* zbvk`z!@SI3`vqIrTi9m6XB~rW{t*^z_rh#W2^)`zQ1)~Tw!?aY?f0jpp~(NXWCq)d zAAb+nZh|*A2HWF9E!bYpP6OK`c%e+|U*SsW(O$OP9gn=?tTeC{WeD4QUEc$?C*j$R z!M3*8g6#v$q?F^f8ZQ(?m$SX@Ac_XkKs0V{W}{W`eIVKb-);<|uZJjzQXAYjE|m86 zl$gDZ9gzL4ZHNwY8!K$;u~^$j#_pWPb^*@E`KM)UznFz#^KnaF#Rs`rqqDr&zup_) zt@IUS=^OCEv1Hp|o<)k&8~UNJV*x&GyaQJG>bP&e-luOCGaM%HMNi1$t=a{)p_8MN zZWq{je_&Cy3k=)8sE%UumvI~nB((!fZVw;*O>|Mza})a1fnld@m@}s@VY>7mxmmhF zD|4N(87GM>ik&XXj$zxA^Aw{PKv>H!)${>8=nm`HIU4q+YPqkQflUD|Z)3hd*FSourlNZ=$wxP?zL?(i@^)G^hBFoxjsc|bd zEAo>vRdSXK%jI2&S+NU4NTP5FUSq5}7_(B(&G8Mucsb9aR2w@PPh_3fq4w8|z(@!5 z!LPI4$Rq@OOeyj$&H`HtgohZTWfTzWhI0)^J&X-(VQdTUK$TXSJW%wge>o@`_rnwJ zhb7z(O}HPJa6cg7zE8q^cEbH`Oi7yn(f(%%_nis%|46vsl5oE<;eK_({nCW{B? zCfq-saQ|S!{Vx;lZ%eojC*03VxSySHe?`Lm#R>NpB;3ctlRzIeAmuZCt-G>=lkhhu zcsl;(h7fETQ&K1dEbBECSvi*f83_V6AZSUE4_QQ> zo*nlrdH+|p?f*{N-|}uZ?YHgE_U~5kch`P!f3`nK!T;T59CrC?7Kq z1?2e>@E50izZm$o?@fJB=aI+d(16gN+fd%t98J|6JUtUp>g+>8-rJ+H4-FEoSDmF2@yeLlb}ftr*yU6Oyue!gu~0bd6i2fL^wl`q6e&73&G8|L z7Y=xK4{1YPFu2?=WLGYAx3#EiA8vwI;-N1G7Z>s(7Z+z?d!@g1X&x$=yA~T!IO~0%W?Zdbz6wHef;`T9D$9s)MZ~Fb(arGBO<;W{>jD( zpG#q%(B8oTn6NUDo6ru|walEoz+ZH0I{bC~GGqMhMSHiVZEx;=X>Ul{_I|uy+WW&h z>G1jKJ^KTnW74+wyZzGM`Yq|;o4#M#o1V74A7tL1ZNKMsk_$7xsIvDxn*mJS9%>c(*fytM3iK>i6xocs{O9?eweBI_1#D~$S&ZR(6(QJ$<~tF-i`}8qssk}CL~Jy zEHucNB8b2v0#sqA)}6lG7=<@X*j~9jIAu|7WD2q~uB&BQ#n*#X;HU?>U+!PIF?59K zABipvRIbPI&C&J%J~#pez;<8GuG|p(7m^SH)qed|<3C7=psEZ#4I=5f(T_j#94+hM z@8Xl_UQ|Xs)f>dy@$WbB6Fw9fn&9v_+jMvslitoj4zR&>chJ#+m#)jJ$S7KoGA>Kg zZ{?;CEv(BA*nKZLwCaj9{iafR(JDXsP37v2Kl)7-_#1BJUsN~#ZdR9ztK;O#tXM>$ zxxq-A*U8p8AI54wl^lD%^y3#YU-0=`V_DHW)vs`Thd>@{h>WRFoyGx7TUC+^yS}YH zv%Yy=)ww5r!8);D$JLx+?Zz9J!U_HYoKw0^Fx<+QN&ckmZ*rEy2S6?0CWTNFeF|?8 z*AeJPhu{r!5tFeudi330?AuKWUZ?v>(J$vOz=k($w^q3YIiyLdBX@GYzCOO>vFuXweYMY$*mu%RlXmBjwZA{1UJFrnEpZJ>W98ag(vEnL>N){ zqt=T`zERW|9;1cl=9|O_jJM3r&O%-{Uv`#EcXK>jW*4LVEy2D~&(@8(Su3k>%OP8C zzb~}jUkh}utir8&FZ4Yno?nUb+k_s0c&m{wGvYaf_#!jGIiH)z^h`Dt^#svnsLD+k zbF0LF*M%+8)ta0SI?_6pVti4KgI94` zZas2T08CfNRvt=*sHX<(V-XN#9Z&jNK>zy`*}>+JA97%>@5Lu%mHtqE5Z`#P$M=->56s*3$!L-ej`?$efPGeDJhkU$r6jB4g@^0{l4;ka!0(syg4 zUTVR^V5Jg!_^vqW$tFGndMn>(VJV>LQ<}AKRWqfZn>*lGU(~aHy^J)p?={gykFhus zu!BsnOZGf@6}eVxnOmF{RQa>Lc(!tUF)FF0xs2(ZPlah6uJQr`F|Y|nT{CtEvII*Ph7M!FypRVLwpkGK2$af#i*#r^x|^o@ zAZ4nTvpyf{%a;0B+28~_-F(ej@S6G}`-`ot+!Jg02VgpH5%U-c8)+e@P1>l~u!Row zy>srvCWdx~w$NqCF?leby(!=d7gunD4(-?=MgH{>*<1yf>zM|0nhS{&ep>|B>%sNcTRZ-mgpd{!R7% zKk43|^r6)MUAp&osrQGhOfz0zs`tmIdw-@O^^Z$>Z|mz0e=Q`NKDnM+L}5VpHBctN z8?x*bi2s&r{WMRi{GeM}jpei&pTQeTz}fZ1$vA2|6mgclG4AG={=ZVR5akxZx#p{q z?2QMdghPf|ZSfaGynC%f|8KpZ$yN@1(CncP*2U?Az9w54Y=GKhPp84 zFe0g7+QUyPyY-iPk!idrTdMtbw8gDb%qLEHL}7twtiQLl^4unrLr>vnm+ahNuGM&q9yX8=>j^bzV7)P(jsswdiwiVD9RD(bXOO?L$cBdmpy^Zh z2P~O@5=a0g!})lVJs@Ecm(P%0*`>&=MooWJE1QV*uQoO7kmbLDuSWIP!VA?ScK|ugE42C1 z;2{k1D|?&aaAoVY+==y3Pp`$8B{*GxlUmE20+L&%h0$nuN)bRGNH$~`Sr`B`BfM~-n2nJ(=Mz^l#oVf}r(E28 z+UGOwqy#dx5s(Rdaq|s{0UYzqg6-E!_X}*9%)pb=fhI7@d`w=WfIUp1D-M{00?KJY zs)F2|@UqC=#;jm}xS#Q0%U|i?YXsDAEh^Krd^*JNFceh&inR5MYk)$%$*g=k>ViAQV6u7eS%TXu@KEju_S z5CMOWc#3YYxy7VH5PF?FA z+W&R*53~BZsONJuErJSIE3AcLjdg>5eyoO5+XDBJ^&e9o5d`<7lFUcldg~(m?LjNq z&?yWz{FKFT|N58jsg>NQY8qqLG>bK**GlZ^|C;D0Cf!g)X-ZI0z9DviD5xl%yi8P4 zAZVJq(@#|WEkK4+ff^F(zb{sQI92^IdBX{-*6~k+(vlO>TK^($^8C=L(EIYWvZXk; zWiF1jtb#1SqE-9cANpssYq?9={bI<5LSsEmGt7%8ErbVNBAQySEzM%yIq>K={{pM2 z-TDITrwTI-6~ZBm|E2hk9Z9N|O;1?0^kOaMKc$Mbeq3)mC?ypXm9Q`-`Ph7am~&H3 zfpmJ}_^6!idW}(-S&;W|QN|W0fcJXQlSZ`1sY}#h;~pf_JIGxF`o$K|0R5{in018p zVz3@luK=N&{nt8jz)zzc2noeM$XDxy`CjTTh856Hs!``psxv2xm20jjg=-G$BdjgK zH^(Z?`mmrGxvl+M*{)EoT1F4QS^|m_#m7E_6Z94*qQS%~G`+7j!-q4L3Ja|HiuI;| z?yo?KH!hfFGhka^?$2GVjhaVI#eHXc*jF&$C&$sul=d%?i;k?mFbdHDrt zx^p{p9CYV2^kptC1N!+De)y9CfgcIyVu!n}$qt`WF#j9@;e7QC{StEEiRji2|3r9l zKDIs3hdOdK#_l(BK>}eeuOV(Gi@Yveg+{Q{mTp&oJqWS!(l>&#D(tbED5_b~et>7J zKrs_V(SS(S@=Np?x7Dd1d z?r7ay-{AV7QzkKW=94le*}C5g<~FBy)N}eXGCik27v2=au7l);AuwHS*GV72^)Pzv zLCnmFMXAp;vgcr2Cu9C4iH9@J!{oQa;fB6I)!7*ir|=)w_w@K%ARr0;fK?>e69U^i z9NP9&T$=#AEDm42aDLApIB}CuICn+ghe54x=8)2i4g5}7}~_J2dBHUDM3TjBE* zCq6empM=je`l&`1QYW0_oKnV*3{^=`5x62tOI8w8nA+;T5S)A*&aK0C9^G~I3qVD< zdUzpVntCN+f=&82xGbC@STZyMtg5>ot9hxrl%B^8lvu>(ECULO#M%^Bs&=UBa&s_FGH(nBNK7 zazM{kBwE=XEC7~p05vs*(3jc_oba~vNd`iS$RD=3?U3nc;a&j8tESN1N(4} z{1YQnh|GxR$G=r7fM(=Amy?CFNwW|T*|+s0FxsrpuC4fzK@9_1C!KXi)0N=?NP>kOU{+RGx{h$S3)wBN# ze4qY>SakLdj%5dDXADz|ay`}$bF-8Ci z0MU94c^qTLE|x0`h)Q)QK-k)osUNC%DDcNa@vT~qvZB0JG_g8HdEJgrf^BrOH-c@zIzFMfW~ou;3u1D{X%TE2Y;+Z?rx|53|si8Y!>hyo^645vs_u= zou}>y9>!%Wr9&Sr(rVtf6SdxWNux9(aA(D9ZwQq3%RSe+`POiXGFX0;#8oi z_U9@8Yd5WJ5yJ7@exKFa%BNIoYzvCaWVD6DlXJN|VLEGL4aDH#Y6ysjUks5i2n#U7 z=-L!k>+7=O<~hu4wb-abtDr~3PtjWs5-2uqMTY5EQwkm{-+P^H&{AYKG9-eTGYCHm@Y6A$-Vtty#Q@(R<6VQV zKs9F6#mcqd5SANi1NPM#{}rQHu|5rq2!b5Jr|gKFJ`KHsJ3Qi!>XiPAJR%tH`>r@kDaj4VU9kCKd^}FQY-lF_8sqV|0u{#a zR}b7Z;J=N>j`=My%+;sB+*7Y5V(wfA=GHwD!yFVl?mhK_I zI{{y{=m4W7)8Z>e-`&ihyWf)eTK7w92DLpdGpNa)uLpn(Gn%g^KksHSqYoD|#B;&n zg4y{h%|NXK+>*6+0cCKqe^ z>{1$mWqY9b%|Ql>r5cw1qMrVQTA$b?dkiqWsv1LswA@u7b_%>wOd~*SN9ACuHNw^} z^+yiQt;Qq@PeG}P<>C#-9m>bb?gRK%X9!=!_4Xw=n1w1ZH9W4+(qq$;*EFW#-TpA= zVZ4TC%NK`#gxbGqhTcag74RNq)A8sB%51k4HSlrh5S7g`b8Ja=5b0ll7ja`O97vB~)Zh3}vGU3wp?`KK<^Jmz< z2Ok!pYWDBRg#NX5mUiM)DQkfM9fNUg!&Z{kulCCu|~05p%!yJB_7^if4pIe1G;~C0&pdbJZFiwmu91fXI^+ z0uz7-04%n*RtEb&cQYzN?bS3KUf05KB!sU96j#GFa5V(P)es|BW0|ur+V!C&RL^F( z;15L^L?0|^@arqc=OkN{!i-IyMvjcH>pAH*YMkme3@*=8z^7Sh*$MB4f{KejLGUv_%B{x8@k_5UPo{T2J9{+zV+ zd-nc1gv{aO2@{v*=X|IF|93H?t>ho6Rh zQvXlV)?c_!>d#4AfBU2R0zVI(mJUBn`=tJ{Y3ncEC-r|&o38&G9@!W8xgl-+E&HVY zBhuDizEA337D$JmZ4d7Y{QM+s{fqWV{W)pt_wJMWADWmBKRbTAFYq%qZT%1IllniH zkgorA`=tIG($=4~PwGD+ZT-(Yv@h_#%%2WF4SP{P{Cy08i8=2P*^m9k++S{Z*N9_g zgIWZ+UAAL+zHYPJeka_?;5hpKllHSiiCi;X?D;^%-{jkwogF;Qr)Oi^F!pg*izx{Q zV+EtW+@&xwXS(O|I8U0Ge9qXi*mLBr^oiZ$Wk<@5VcXFywdJ${0Uh<`#+a%GzJ?R- z@!Mfv9;c zsHd0%Vh1qRVITV)vJ_o@HF&S`zg+KrFh z^qaX4Ka}UwFDYKaAPHkW`;8^PMeHIBx`V3qwbh8{)8F7qI)d(U%oCjFibvJd)%V}#|69;^n#-Sm_EH)wG#NU;IhxrDwhQZTKTL3y0_5R+TewC zizt+3p-;IYjm)_ycse={f79u(GuKD!n&=hbGC~j;HW8;vPeuMBhEEf*2%j!R_;fi= z`irG{Rf}-p6udGWKLT_--@h2KIKSycbSR~76pY9cMs27Haso+9-a&0;c2wwV3R2aA4K2d_LA(lDQ+)` z4NMCPT4E(pJ_MZdVfexx<;lm+^4ZDd)qG+^0pfEdsNhvBFDciO?N6oaP~xM(55apu z8M26lFnlm(zm8xzdLZ>ZW>CI2LccRoZqb1^QLB!O1NWYVLbD*FW`Uv4!gQF0=`ahz zXyVN*d>*xp-t_UoZKqj#4dJp&@L$sVU2G;Cw>+4i!Gp;SHSl0wARf%A@L)FNht6<# zFtIFk5j>bHOb_NLcrYmd;hM&d1BVl{=0?+rNw;Mgj?1n==jdIn$=9-{Wp$nIbX?Yh z=vu!^<+yBDj>~nDY3xnODHdjQ6T_Ou1NiX(emsDGYrX|Mz^sC4vQ|=W-Qk#JFeV@s2W*AzzEtkORcznh91>&Gf}!p(8%xOIH6vSRriOd79j}s z8d~WiSI~FdsFK9^!&4UHn7r#sPOOboJqDrpw@G=(?=!JI>X{a%2-PLnn)rafb$t>( zNGrfepI-}3HeExU7-|j}1Y;OUKx6coXEf@Aa&aO=zi3Gs_LboglJs}tBwG9eTMA1! zC>@A8$kI0?J{71Cd~FSao`@+PR#lsCM7c7Lh6*0^ z7B?@D7Q0Gf{dxqC5=#A1mEr>f&NvB!( z-VRokDZYo@C-@%wE5Y||H?w<*_+E?p65x6VkN!t||GXP~f02yuM%AJd-zO#aYpg0| zm#iUq3>I?rQab@(9Stin6FOLd%Ium7u`@f z9%tD@vCXBy_13U8l7!NABC5bDt%5F2gbma^D^+e-Dw| zx8;szLbW)R!g)VB{vOOblq+0bw*Oqp5TzjaFczPtFV`Yfxn2Lp-<+=Z@i)6`3yOEW z5kmsx^}LU_v5y!L4Z@6FU;)cYy07FhoL2iYj9=@vZ^J(D71=>q z*lNbC-B&`ju6n_JCENua2rDMS-^a{*VvP4(+3#p8X~&Nl26!!aF?n^}&Z?4Z_x$_u zMnee}WC<|B7xN173{4hCJ--J5AP-kt2`gkd{>pp(PIW}5eSVUeUlboT-5}J2J~Kf< zol3C=_6o7aK6C6=wn^8sTNg^-vRfY(kiLWF4Th~1Qm%o?*NMF+hF;Y3Ap4@}x$Hf( zj`K=NeM{)!;Kn~MOAGOq8dRVr0sawx+)g~C|4Pbzz`5%CL?e{duAi>p_G#m_zRp-&JlR(hWHUk)_3pyl3#FX z)z){(RWeg`&jg7EK%B+UBSqEK5Ha&Mj6En<$w9qQ&%dv=6+V?tBYC|Z{yS+u#&SV| z&{DP-S>LdyYmEA*Y{SW`>6O@Q6FfSmk2tpCL|f3BV=E5AZDMfEWQOg}{XXrR^0Qd7KJHwW6C7Qw`*uN(8y<>AWsec{)^cMV4n*_rT$#-{ z_KCZU%Ww;h2{C||G$<;_QO{p)>6i#pYDp!OM0NPKBb}X0_rMRekFZ6Gk0nMV?-dECs zIR<#@;+;|Gx}85Te!M^z61V2eC;uryhc_rWpZrCqkQabtd(J1n7wyeW+uo4O+mrcP zj16sw4A~kiKJCws;`?(^H~netEbrur2j(=9{)yJ5{M#R| zB$c}^BVUV5Du+J+@z1!iecq94iO96^FxX~?c)RrV7j#Jd2dMgiV$`4StpB(k>gRe$ zGC!@OOQRm6J#s52D?CqdKfBh8PJ>9q)}=7ceb+-uQOkn-#q>BoA0;jm%IyX12APj> z09@2_5KH0BNck3fnZ~Jb$cSrz(vY~T^L$Y78eIg=qIcG_z(53%y%F^s$$Gkw6k7TW2Mh_jUK}7~ ziNv^D$I|4{UGFwK z=y5Y{YZfV@i)MP)j40z93=$qm@i+<1|$H# zERab0edLMkf<1zs_!ew za^aco`tA-}0bhkuerD)-XXJKBY~~eMEOWB0WE+p2g^ElA2m99v2*#iL-Z0`%m28&R zt_cL5PICw^<>JXwaagVl~75K0&@Nu-e*zBF5QJCAc!h8(L$k zC72oJ_k50Jvcf>*sJ!vawg0gF%BngLId021zIsZku8zQ=$=zEEWh-^m^I8yHYh53e zOmFH9pmPI3cXqduSG%cf~QJW012oCT;a}=c48J)v9YLUBaY`C)tK*Yn-9zo zF=9MIKH@Ek!2w|W;uu*gKLna{DI{kTa8lKRA;5JbR$_toMt-q#KJzkhb{EQxvG^Bi5LhLv z@*0ntPgL23VuKsE;h}U|o~9mzLaBUV34hH&@TM6A^bbBFa}cCyYY>Vt2;Y>eL8xa% zo>OO;gTPxN;~sf@Q^*_yRjN^yay`RuF$gSV6*gwQ7dHs^09I=d%*Im@6^ls~EWTk; z%9&_RK@O)(WIcbU4?8IkEbrh1`oe+*!e+jZPQt&t3)3gVqz-WvxdwvP0G`QGTzgJX z&D1Ri_u zEjEu`jM{2-qgwxbZk>veBF!U&6S5Ck)d9oMQ? z1s1Z%;fz&KWyp?sLw2lM!i+P&=X1r5M~84KNB~(Atyhk!C-A@!3=1EjW02&4g|aE? z>G&C7XV)~7+I3d`)9e2o()OHz~3}6fzfjkF`{-VU@4rI~f4((V$A&Ulg;0In}Ihi}$ zdpo&aRfKB{ynP96>efZhM~fnmqY2Tw6TZ5+KnHowwmJ z(U#1OTaOfc9|kd0j%M41k+`PKCdw7x@5<+wlmPtQCIu`>Xo|j5AdcR3*f+cYrwGH2GYid>hX28%0T%GDmDfENA%4DFCfeK!k%S(A^FS$A9($E?YO zebasCr()RqzCG_d+yQ`7CeILM1`>wMK z-Q$J%ud{Mj+70|XeA&`WN+^h=X1#7D( z3@8FZxX$}s`mhX;<_*w5e$7`gdVUt}Yalh)8 z%=;#4nyP`M&v=h|3Av3C%wC8ppoDoLNN8i31p;~_>V{e6%ae^k-!AXN8+o=25tkJx zZwcl$67(vF(3>j{d>gzE|AC7j(UBF<%x#kCxTJDxXu&@3{QG$o*4uIR2tIOdpdpzZ z8_3CThjDf+ez{JF9qldG_ffr|zRmy01DvKrqBDZtmp=~ZuON@@RO;p$lIb16;UC8O zppQX`TJ9>lGuqs*E7}k&M{#{r*_mO+pI3q9Odp~-r_hne()`@ABZ4sMg`#9sSOR_4HVg2ohZ>QMG z=JL6+mla>C5;rUM!L91;Si5gO^`Tzg(MRVhMR%{D-fHfjY}KpP{O16vmsIMjR7%Vd zxY|W>w`t`akz5mE6_$!su{Kh^D_Okx#!ULPac|L2T;fiy7Gdj^wmm}A+FxRwD~}fB zI*(|6`;$Da+(eCJy29=YtIF*wYWC7QlEtqI^NNrX0XC4&SMgi96~Y9n&M0-Y7vhYe z0%|-*Ve+|GWX0O`W|dSsA8K|@f9f&PAECv8s++QGn(w-y`)rRu1(ocy`bDa9TDv4v zP1l=;Y=wIMAv@b&K&h>yaH0TNpU4sAl~Pr^LKc;zB)?0AkfA?dTFkI|&r)o?Eh4te z_R4+!AxYU|Kh?XmXR^4GIthMgMm!gmVt-Kg0b%k#tBJzqb9Ny>Sii9OtFUv#X&#pIfP#C~8iy1rak9G_%{1X~xFB7X@M(03(@;C1VfIZ$zye`&U=n(#q2 z#lZGf!HEUJ`A4Y&xmWHRYfOBAZcju9JNzv2@b(FGgd-0X_nTC%a_d#bh0$u?Kat0h zD&S7l9QGZFnZ7;V(eKQ)+M6ZYEUY~iRP->Q#Hy(M$eebn8Uj@C&oTtoXhz;ZE!T{c zAAl<&<)3($Rg^Z4#HeZm8keM9sorcBL(!ABlo>0c9Xu^D4jTDYiP($|08b7j$&ZxEr~O&!NqYd$wXi7 z`|z))^~@e?vpQodYufRrBRUZSnD>s_H4KoWH zhGjokFr=|v&MDUK^)&o5TYs}Dk(X>Xs`Flb-xzlo3u%!#^d^q?)y>u{Iz21s`!L`g zeKd_sU=#?_@(OY{_QLgbNq@aM(i>*k}fQjihc28h(6)mlvAnOp@tU zY_PwWhQ`CUSz_RSBmJf9t0c&pd|E-O%VdpCNT zRA>1-%>3TakbgLD+wZ}Na}>=V(IbG_pC!{Q^8pBs2vPA@2z52OFdXk8Tg?c6=iq03 zw1>16g-l@Ppqq2D0xiKy3$udpIiAq`e?(80^Kum}zDjv1T0BU?%nr}+f=JWg-|K$? z&fWC?sH4<4tH`Xp@kAM*vavp%9f9Y%Wr1qeIl={lVJM3W{%5L-3#QC!H=Ydg3Iq6u>~r3Bd_yrn z$QZ$1IGX`xTMSSX!RcpK*a()w0ii4usB-VH0PPQei#%Z?5`+(4H&2;+h@*9NG*Qg^Ghpu z<8m2jK^2J>OLpZL^YI?wB}|QHg!g)pj{+4n18*w@4vl-!4cwD#_;8nTRiP3O#S^4A zH(25!KGkCcuV8?WEsT%MQ}UoUA@}+l*JlUy<&@D&bjB6t8L*TfXBL&JHfXonZ{QJ! zbWwii)2D3zCs+GeWiPAE%E>an3nrBYBK6cR16n#@MyjQLl8AysOuQBK{K4w9N&FII zLgN)uqXan5(O)ld5{7EGKUw^Pt7Z3;v|QTlchJKs+i)gy-`tWF}s-v`D=s0hMv})4LH+354o%>hXuTf+3 zyI^0dgU^bknr(Nmw6$3JbZ)v&eb?r~mR6T{>RNC=GlcB%?CZ4M?(=(?*9oPIb_4T8 zgITEPW-e5oZ+DfJAo)0LJj7$vV7)cCzk;0-(*~<6{ixcE)A~S>>UkA6?)7Ra!2!z< zh!bt}qh=r49vAVC?{0HTo)@yF8DoQxnTV^3F**~*7J;4VBh-OAVqbNrlN)tWhllu& zL!EPk6Q+_<9sUN>!weQD2xp)txQdDvh*Xm{rRgOsA+-lpvP&B3tT7+)3HGx&ngZz2 zQ=N*_qh0!QqP^5p<}~Clj5}$1s*ca9Crp7jhVND+7wY4;BlR**LYb%OJg_JquqdC~ zg6TKl;Y%1yY8O~GS7o!#GzLr5PZm-|Y=bf1^{Uyb&ydE%Ey=dIv-2vlqU9Xh7wezu z_sT|VDX50K>L%7wYs~LaaN-xnRY~EJlU)RH7LGxpMJd9NcnXPS7RTHz)qo&9#zSf2 ziPA>y*?L-8n;ft)!enRJ`!)_jCB!>HJO!Mjs2q#(zxs)DPGpGn%?)g zZ6y@kNNH=WnW&Pss!!G@i?6E@guYqYJq6ta>+*=z?!R4}Zub;v_r63v?GBN8jrqxS zY*7YX3kCK}5ejq&1=gFtn*{}c+H(H6xrS8!M_PmeEkc17hXPjPZ@$U3EKHFg-QOwq zHost3%OMGMr}X;}ETvyj{l3BbkUH?pIP-R?ht=<=1@v*Qe&0a9FVF0ECBroHstfIY z4|cdVTo-?T&i2lfx_r*|PAdO=?JaP(chd}4dq2MBf33aWSFC>K!}XuPz2CUt80{5* z9=n5k;E$Q^;bJMp8PD5dt`;Bp%D>d&&(F_xdw+1-=Wp+W=YQVzo+G6=?cEi1wYSf| z)?Tp()mw)a8ht*-Flk;O?%NqQp)~j7zwNA7{UY%yob@X1V0VGQ5%jar_q5}9&%#a9 z(F6TroF%cC|A>RxNL0~%iGDUn(+}B`)jdi5s0*3ikHRwzOCwhdii>yatiqN8B|YmT z7Bo&MD0$E|7m6De;_IOrgq%Rd680jTLrbdl6|C7riq@Ie5f;$9WS1=i-<>Q}?2v%c z>&@RzhlYx$MLC{uKPuCQ$|`-q#lGXMR)4aP(ZiAZrG=D;{nMxavpl^w+!7Pj{ym2;dQ6 ziRs%F?^SHXY{C{r`X?cT+DK==n0HAJbk*l618-|!_=@OR%Jz31b;c^PRyXm>)>1+J&4|9Snws3K zmKO05rDAvLaFz=!!A9r@uoogUF|LW-<@|tmNxwx^MQFp4tid^*X-|Mfg+8JbVtJYa zoD!8>9`dc3wl?E2N#wOt4df|?s!b7nl@xw$M&Xs4oZ>^2|HYubrG8OZUqks4q5ovc zAGXL}RTVwisle_eLBE;;zD?6M6HeKw376>-Pq05mrTSy(Nr2Duw1yTAF=)-H5BSzb z2Pcd31JWN$*Ls)IAsv1&xsMK+b}>IQ?G9P_FLj8}BcnfV$skF}9s)rw*ALXg`%Zsc zNPYS(svlOwG>1J~^~fg~q^Cz3mgh*9tjc)q?vl*@AkYQ66^9urH>HY~4nZZb#nm7E zDSsH{SHTde^68L{wpca22#WfbT`uB>$JU-=c zT*DSpuwZBO^hz`=ui5+6Iqyv4Ofs~J*-7G8;qt^dtB@h)ZwclLqLXb2*f5b1T~^55 z*N=XoUL915UGh%7Vxe@s6adl+?MZzW667R?TyM2s?KSh^F`^jhVb_DwTk=3kV&kJu znbmOUn5P{kj%{L#tQyP%AjPvp@@{*)_llTwmJ@u;(<3-a9$BVpR zAv^Lg5l-w8jMR{$(a%R?8)921t{`E(O^g=aF&ngv?Sc5!hr@bKOI|j51b%X|_N0cs z>O-NAiqC-miYyr$)+7UBJKr26i-#VjU&uM2hgmOr8|KPVgXMGu+K!;_4J;N1eFvsr zQ{JJ!o^16U^^Sf6gL+MNtS>6euu(`ToL9~JnMo2}*Mn)08C=@acXURv5{#X9sQQjh z-y!-T$`J}z2|;geu51h~SOq)Do76+W$8cN)RFILPM5Y4QN^k{@FhNjxOpwKf^xd~}@Amm-r=G#0y81!wPmKQW?lbA`F4O)5E6!Zog1HP>@ z&kL3lDyQ)DfN@Q>_-`!94h(Oa?FkHTd@wg4?mmiHV;@Q_J<1ips}eo*jX^_8Vq^)Rv5^{RNer&kY6CTBrUn}VzKt_W8OgcL!SdB1 zBarP~QWO~e>g%n#;CiB zSE_}2P5^YD+p6WhLA1D;r!4X=p=qmU=Ld$j&FL$0-nV>4f{9F8=4rM==BK9A}JQHN>mCYqX0QxgS&R6{RIHB>5MlUqowFDFqr_fv2j(JsdJC zzOX4?-t2JsXSHAN*NFlF7>ve40^jm!UE1Hq)9L?4`wLzYQRk+B?^qOIib%D8TIcq= z>hT%v*L$L}MTE5f*M4zqM4s69IcOaneuPPiH2pMd3|7<(S~H%drVkgtd}jGW zGRr@`bNQT;VU4}rR*`?}u1KUs6(8bl6x2uN>HUKA@>vkYclF`vr&a4cSvHpi^uS@I zKE6s0c9W&0Fub+IleZ2F%CZpB+d?Ak^@AeR$|@WAln?2aO;)#vAEijRUs<`}g{9=VrC%U_ zoTMV9o=i{660*ZZ1vd)pktUchX5o{#Id(rsM8xWFzlz-mxExRE(|rLWbkw(tzsZ<4 zPAQ?I4Aw+^OkQHNZ&%D4&{z6j$c`64N*+}jqmuE#@_?Ij z-DW8%Z^71Bt~!Sb?PeeszrifM=CJS0=~w#a?~L6jfh?vBDe-cGad@zrn%}x|cZhcw{gmkU!N8~^M zBWz<4RR?oh$_)sOuVipx(3gm9L|DGUisKZfbK(eH%Ayc_{-@Rg$=J?>Q~X|PIFu9n zrF^iM51t6?8^W@)<#Ic{9v@6vDVJ(|OYx)3Kgz$6C~-kOr&r@3X%Z-puxfrk+J6~2w{R4(S$#0>&R}4HNQG{A*gfvMEi;6k0x73KLxT;!j zHt)h;1LMm@`j|YPkHA~D3S-2WHoX9RF>-@%^|XHRljGwclhC6==rO6;G6dK+X;~px z_y|^F9M%pakO(@K6{sqT7*pwk#rsJ5$jhy1L1`+@LM;wR!c#knCO zIV64uGNvQYu`u0eu2!ZKG@wMkb?2ggR=qo_11sRdQadzD;5F#_3>!-(bz{B zfS=FvrW_COcdD<@u|&m3ECKT4HRquI-G)=!Im0a2AFBLEXVgz=Z0un&dtHl*GuWgN z65Zw|hXVln^`Us_{n9_0rZb|2CuBrVbl^uS{zfMLf9Fbi!ovSIcftR!$jdbT&({4N z8%-xeWUTJr>)fgNoGHcVk3Zx&i!Tx0Q0oIDZ={vRULRb1jP(KCWG@kfvKngy`K?Kh zA~l~V9`0^^Ab#$y^}#%Dh2oj(gKLkqJ_yMAAn`HlgCc8vAP+b{)F&=?|DgqfGoN-^ zA6N@%XMu2PdVwIV40)Gsj!dS<`m#B2>|rsDjSBiU3Lw8aSq~Jd4|#)YLcr1sL**OB z8igZ6#>i~P|E(`NidUz3_`7i?l1aE(LGphpqLTN9lng_&@g}o{$G95&-v<8QAT`3r zh84&vDK0FGC#pQ=T;*^wWZ}++l$S5@&_O`eK9R zU!$7C0)YVTc4`1nUQq7`loOjKoizP&94d0LXG=dxzT|(6YE_tJ6Vr{Ix6>l> zZa-GuB2TfBO9;!QtHo9>Gdq2i$k#Ve0)<^>7e+xN_2tqWjOHVyIDF+&Wi_SSVU@Ky z-43P$8;?Ikq%Jj{?fc!RMKK%ijr5n$u1jG%TDG#J*K1)raX zKB!9RgXlF!A0weFh$X)SZ|Q9QkoPJnrfX2at!XY*O1IoaQP#QuO~aKG&N; z=k7|xBou_&@n11fG*lF0D84R>Khb5M;SALx;8s?{a?lBOKp69!RN#0=i2H2 z|BcU!!RI)KTL9e=K_8{Dg&L1aAC|VyWZ?6qApxvi@%i}cT=@J3Zc_Ms=8%5}pN~H# zKEF)nQH9SHGS`d|0~JtjHShnD!suwaEP$@Sd40SPEj6fJf%7yAr_o?6Sj={||Cg@+7f95#(&_ih2@n5y+Bd{Jk@U3h zfl;pZ-OkMkwQmdwp@?chIJ1hU4U1G z(&_p(;&QbbAT+%M6+>O9e9e_c-f39uutY1ZP`$JjjWx%DD$NrY;=-XKa=NL_e9e4T zt>Ye#CMd+R*etg`PR$MZvP#o}{|eh)xmxo>~aJGun`5i2m?yok+VgG2#Q zV7B}nP~I=16FZaRzZ>EG67!+9By0azIU0xPxebSMqi6Z{r!@Gs+nyb`4^_Nxzjq|f z$$UjR>#mk~3mJ>(1&X`f9^A*G+T26Vro<%OMSDWM{SmRA{h zwa`)#@-nvGlVe(gr^x6Khx&A(UvKA&&qqH`viQF*#s}6WU@<|WIur1$)&Btkc1u5J zHRB>+(9s95zZ{GyhXLf9eaqDnzsxmcBl=s!o9C?1uN8$ki$I)g7t1P+&CDD4WmjGN zny13?QH}CsvwG9cEh~2`m{fIq)U(`gkb8-bQ5_$*jC+>a^GkPeukYb*o7{D9Cyd0u zQvQ|k??T?0{8sR9DF3SXH-dkDT#W4fU`Wxw)mUfNC5{`&JF?ElL!;4Y@pEj_YW+~bB@#0fYYnlK%eYswW@`BQiGNcE?EGF9yUcHuirx%zOc z0mcN!N8a8X&{39h;i&aU%B zc>`?eQbJ0NqHfz`75JT1O7)3mkwQfgCT{GHjNY<=3d zyH9CeMin%@9|9O#$l>;lY*Vn-Czh*>O;4MKlC7AqX^XGMGyPm$&OoQDHf}ecVrHPn zG8?Mr`WFrb1VJ^oS={a~_HWy@1VDuH;T7 zwU)dx#kDm;pLBpthrS$vy+x>G{)v)Z)4uaw?UKOrM%*<}fHB&^)^DHF0n|nPDuWvZf_(5EtUikGDc?Fn+t@X2;8tPA?15w*fE(I-+wx z#P}6pzG<8eKxsq0ksb0`9^-10fcdmkp@GxS?fDXunlRI2JeDVtAC@xwi&XcXA=HuJ z;7S;Z#DOFwYR_>1r*)hcR!L^<*V&&d`Y(S zVk}43gCJ@eXXJU)XoQoReO2FLMWE-W9UhZp~c||#) zNC+`8);J^En3Y|Ra&SI*Q=OM9)%hxLBU4Z>xjw-4LZlAU&d1rYccfbwGO4;*banm; zJ(UhvbZY~}%u*qK!D4u!szc4Sg1V(Ul5MG%Qm%UWd*`K-o5g?sHhlxo_lAFizPGRV zPt*5>fob|a$MyeJ`Z7Pcw>c$!YMwT%Jv#5#)8yrOXKCdKIA;-#&BUXtS<-a0#HPG7hki#Xhl+H>QK z4-$~TFt&}}fd?64&k-N(x_CC`d`v4E{Y^9BvS9%TuhH z;^P$#tA-wF3qG>EJ8u4&lO)Z}wmenxLPp7(*r>4Rs(B+loI9GN*^C^k*&}H-6P0(^ zgu^omTJm~l#cyC%kao@ADl zq+oU(+)%-OQ`g!_YUp=|#O@qUK=|(yx=f&Uen8)mI5{=*In{N*wo}_(XQXO7#p7BB zK)SQ+%AN$|KABL%)D>&ln!&2BEeT)@X6RHT0BV~?F=-xl@Ja?ucJTMRoEy>&{uW9V z#St2ehfMUH!Y|@lEt52Z&JFA_38e7%LuB3=e}^Y4{Cy$l8(@~ZN+5V=doX~tlRel4 z$fauiXSpDGx9ziL@oXy41*UdxQkU;L;qgEJ_4t`|`QE{-73RF|K0OW|pND1C!G|vT z+B7{59v{$sLG|&Wvn;vha#Ky?&+hTKvv*ZL=x}WJfc(jqj}6GrN&)hX9RIQMfxcSq zPNi5{nJnI01T61s+1QN>F($7x&u0i^K=aB~=u<&GvdpEwGT7RocM6{mr136@?Yy^l zF=ya&t3?h#|2r+{3eeN^5jWa_zQbCallAjBopP7lzg+ko}^yi)LS-%_~KfZ>fPvi4| zh0g;Dwy=YofH67s4IQ^&B5mlnZ^l4SOMuuHIW|atPsm||^l)r~7Q2PVg-@yk!&LX=$a3b@v#2DV|R1<_Fx=%i_Hm#}qI+V*OYc zJpIkNyFA7?)|udQeGL(Nk~p+A{0|%{j$AlZ_>+1QIu-E*0Hcph0dzY)QcgYRgyziX z2d9sX~S{f#rfpyf7} zuLhsnWQ)2e-&iYn!V{>XgF?04wtv}1&_WxD=f@U|RXVwpc z_-EHog^I~^5LP>ltr#%`a~H~m^aDYO3xqVr5yjRoDxxvr`8EW-V|E1z!7Zd5ZCvOH zNC>e&!;z#nsMZv=io3X93fj6<+_i-*tP&__E?;vQ&_4?6LnXc_%0LG$A5E#IIns=< zR6zo)sGY_oX8H3n(=s&+vMzp!I>iwkw~6uXw-%>?qQY zsBrR}$Fs)#?LzV2zs!>Lqae4ODF{+NoGm)7Uqn@lh{TTeqE7voV?ck^e|M6t0x z)?+hPc^hGG!nyrRRj?Q*LtD|FWY-@-K82=j3Tm_q$aV|zoHd^C~z1|Fb8N)amt*PvK^JbbSfwaW<=SjbL~0T*>b)s!s2>bo<1B~-$V0QpyE^;)F*>Q4tpE!;XdHo zJ1sw8L`#$Uy7Ki&gyN21`A31|E2u8ZH|D;EgYgu76wZx=SR3DP|K98euMYb*&+Lga z+Sw7j%|?Y}D_BThNf#Q|4q)?C&?joS{K91MpL;NW?2NL@WF-zDK7vFgi=W~dm}^Q) z-f3C!afjP?!ck)HK1VFO1f^#O*Ll*jDYkO9!?T-75GcpL@U^++4|u&CNhJ&GY3_qv zD9K9p2a?gk>E(oM3g@0G{GQI)>f(rTN0RDW=^r9}SRZWp8qXHjZ|Sm2noFh2j#`e# zbB0o5;s&b#XY;s8IX3*HFa3wRaTrwvsZ|HpNdl*XACLsFOq~e!sRB@D$%-}A&-S{t zYyYO=YPe$x=vxRW5R{Mt#De=$xZ$HhIpF|Z{b*~vnLjBrmEp5zSl zAhr@`5vvR!SZERFSabotrwCo@%=<97HXI^Kjx{`7Q79+SEh<{0N;i@*5#aRgK=~_J z!p0i?r^uj6^`)~uu}78UT*McSn8<_rLLLzlK=4tBi5{|suFh=~gbUR;qWUEBf4sz3 zN)4w=&vp%`-9uc|_7+*xo^pI@i@m$sNP99Z9(O!_yVH1j@NjUX|x(rqjKni%iycRamnI4bJd6h_>I6%gfErw>{OzvJ7+s}& z)XnIMo#h%`C0}xluCuvGjV?bN+j(^5NCIbc4VDC-J-S36!dYp8cQPXs`H6xo{_@Ig zwx7Ar3Si&cZ0#fYB73Y=PFH`y6y9J*EKZ$jeTFeHZLw7*B^8S}0bdtKDm-kbX_6W3 zI~3wt30siNUeCJp3FvFspPN#VqFfeXiLXWQ5~Pep>|R@^Cl4H2l6!l`}L`%(+|0F^bG zDjR?J%`e%tb0K3iL%FVMbyn`E`doLteg0Xa@UfoX?>tzj;)BDpg|sngd{kP9j{Gu4T0!A|?Mg>PFPKt~!au zCKYc?g_k3Kpvw3ZZSu%G{br9&@JrN@Mf;laqQ(1~a=Z&9>}aAF`hVJB@kZL>8M^-;XLJYc zI~G6cv(j37D>8fq2Zdh#+pY}B0$HLsr%l>vxUm3R)y*2t94{Ei-qIJILt^d_L1 z=^bOTu{?gKwHryO-6ORPA8#6WJ#qE4=sm%r_vUHmRO>|{hCZ7K#Gbqg5)%+%3)Ef{ zEN{bW%e-IQ7;Rz;eQkQ*%44CoMd2nK$P{he_GBwuMuxho&2lI!Yd^>STz!v-_VX`j zolwkx^s`Z!p;(n=9&vTG6sOXwgV3!ce&P*fBsnp8|9#eCiwN3izESY`dy=7VLsA!Dcc;7OiIeri5An-*PT87=MUK&_;d#7Y@dTqs$lgAdhoaEdb>s1xX} zGNKn{jWhCkNGyUus3Sq;BQ@g3pz~kP)T^&EFQnY|pUJnz$h{HFzf1>s)CBeWT+>0w zD7_+BendQm4nNuLe1HqpMNDicGe+Dgg;eJ@s!8Fx{W2+FS5%Y2w{v7tAp1bWVPcM? zXN90|8%m&U%nQNzS7l;Qs|Bdvqkd$(f1P-0SyO{dDXe@~lu6`X*$L2TK4yMU^Q&uq z@P}-7X={FX+C_Y!w>>{RX4AjZ{NT1{FhAJxGwpDcnjo%qeecWk6F83!7{`eYnnYH|ic{Jzcz$zE?5`Iq)bVv0I7+pLlqkKyB< zSA^H)zxMk97}llw+x_@;tuI_now~T&rn;CHtuJz{clLD+{QAl?wDOMwD3W0!_^<6#)?yY3b zDcwr+%-f+f+i2{kqME1wVmV2KjVpnz z`ERM$oYbP}CnMA_vz;kclYkFT>BbzCzskkfVgg` zcuK`{BuC%sXrVdcED?ppck^Ihb57LP@ct3&BZW&>?$vzTqi4wK=xh=;Jdl+|ENAYn zIGUXWrK=LX)ZaY$D@UYXY%5mJR0VW!k1o|Lv3lxsxyk2C+H|#(P(8Ct5NL_ z>W`MT!4~p??i~Fob^kzOs$x;n#5OM3)R?)7UMck}o&>00oq!KL2E#Q@z=xjPq%=e4 z9+vJU%mYO!^?+>57w1`tZ_O8MB3NU-G06I&r`+s4l%2Im^L;9>)|r2nSC^}3r|Zlu z)~h3y`u1n?%26=A%qy12GG;S^+B^E6H{qx!Pg1n2A`Lx(B;P3I{qQ4ct0SFL^7~;D z+wyzL@#Oc@00Ni%J|X$IMfspu^6`M%YivkINl92aUQex;<&KKL4@MXwI?+?=wDlH=`_tnQQRSq_m7*6!y!yFcIh-0^; z{IVq}r*ta%7F$wc*6AOR!Zma0o6-drd8A=sE|R^ZcU_|LoBy4zNEcCAAFrS`N=&x! zp^)aHzi=U|#uF2fKlo6x?;;|LX#O!p6VX=_3TbQ-iaGsH}dgz65H}o zQz^Q)7ShN(Q-U zDo1DeANK39)g_Ei_!Hzt! zkSVx3>E<-xRieDx@~5D6Rpoyo<M@E&hQ>KR9Hza`*7Wq+0pr8nSR3prxRtFi{Zr0Z{hA42rc8GSJ zwfY=po%5xCgO&Q01v|a-zb_aH-GPR$vc&o7!Csx57`FB0AY7El0*OdrWodPzxmt$vSeE)wVVq8UXpx;9fe1;qxlU-b7FlYNIXq{==aHFd57=FOzgm{ zvUw!l>wdU4Xnvn1izp3P`Pio>O52F0>}CapS^_WjqVnypu^@Ec=l?wO{t{Nl?)SGj zsOQA{^S_Am-Cck7%XjtXU;4ZHvyq!rf4==m*Z%yeByjrk&ywIX`_nIrJEtQ#$YU3A z|MjoYkq4wBMG+(&Su5(JIuw&3{e#XOx`qxtCEcMzlt+y~WN^JKsmv{Qht^o8a^<^< z->ReAOh|XW-Kjg*o7do{R=(Nyw$+{R<9g}N8rbhz^9Oc!){6Q^2DR!*bwZH^$ly!Y znjG1M`d1bcnk?$2Qo;qx*UQq!{e5xf`*UTn zq28#Il`gri$>;WKGVVkpb1R`|&^oC%#B|&v4U$Ty~4Fp>b4M*cj)j=GdQV z-#X1Z3WIJICn9oW979CIUrzluIO5wj@RURdHx66kKeN+aP6&j{-U z_B;R_T$L>Ysg3DiW?z{Olqj~gU?(oV(ipm{OF7kgtGMInZRTwU#Qgt`_A{+rK z>%y#<#Z_{dt{XCaJi_-;)fn?>zI0Vm9JHMp>SJI&+mXx znhAfj1Ny~j<|Gf~{XmP4DH2_`T01tZn5z77u;Do~T5w@{eZtJDMtAx&<5|+=8sQao zO1-CCu2^*)P=~eyC>SI%J^~VhQEdTKd%E?_=>tEUJ>3(M*7hFT74MPlUDs)vF@+U8 z%E6Y9a0F^6X6jSeh-M%Ap~UtproKb48;6K*gAAG+{ktTe3;lHt^Gz17{0FTUljzTQ zXgTy~w*OSbxTVvc_q!2T!+ ztFyw%m39zkIla}No#-Kv4{O-7*EoF$2IgF@Lky?fJxr##uSR^kXL1Vtk{-m2&Kuq| zr&l=HBF_pE+k@qM5_y4!bxCo3jP?mU$1$5$p^4mZ@)a21HcqGhhj;!k7Lx>Uwf6f_ zKH;0a3!cfwh~j-2Tk)eRa6_{AzuvdIVkoZ~<{Zw7oy@Sch|sH;nOk5@%yFEz4Gek`mMa zQ_2|nt166U?30U*uqqmjk$S$$SoB7gzQ&yKh>RZVhFONS**)+cG$q!8eKH#@gihC` z3Eb;NDFy1XUL%;CxLp%Y#c{dTa@PddW}M48z-=>6BP#dszc>IUTp+1=oA+9;n|b|~cm9PrBA3^g!z76>%e!Ep z{opGkYsaB2EASWC2_8k+%?vVvYYv!4#ss{fBUrR$G-GHK`ycR&wWR1ee)LN*AbRJw z@+t1CMbwolA30EvrS>;yG6|!l*Cp65ftvg<6L^ra*{1sx?1u=8;Qc zquk8eFPw|*2bDQ!p^_j)8HIKipl6$Tj-2j~?6Oo;IY#F9=(V~mwbf?C^I z{6T#Rlj=%)2Z-Oi7GbC%L`AET5+_wa3zp%fqog(0j>-w*5D?L=&T^)__ODBafi9L7 zj;;^-6uemKh8L%Aw!7~#61tdG;KdLg)#Ka&z7V0U7{<*JD#P&>?a}>ouJlhI`d2Ic zBBi;zG^lsM7$a5YZp>(REkt=~BHyzUJWUv&h7jAq8WWFM=fu2!vCe6fQ6JK8%ThyX z!C!2gQ77Y~GtLkuPRV==XE@{FmEF!bxSx6X1joT*(OY*v4vJN)Qp5shOemDonR8{q z$XtQQzkwoU$^b^JF^efxrVOQ?AM&n387<5PHV8rUo(3T&Tdx%e@y=h!E5RPGN|Gx; z88ht%JKh0h+{ulDGM-V=6`jF9gtZjP_%x`mQ}DtDVG3bv!Cg%d#(9#FapudPD1`Ae zKa4-eP^3Sqve7L}fKz#;LKxHQ2;z|TBv?MZ1_wiBO20NlW@1b4rJ{eJ+fEFXnOr)> zwK93@L1Bj!;TU?hWnHLO0QEAbZ>vkMEv*x|#`Ha0Gp@3y?>~^S-I1N9?+jtKl`zjK zNT*ctaXw6`4S)5vOy6CJ^gJ3onZb8BGq}DB4sy@nBPE;E41P2DoX8Bmt06nv(M^cL zl>2nBZSJclx1ms+GXSNV!h0P4B1^0aLJ!Ijblso7VDJCrqzwI_dBG}bvMhUU^1&?r zMfA;j>wlLo-`GK}Ba z9hy}>PTc202NjRe7N)|xtC^!Dt9qmr@b{QFw7qhI_ynFbdU zMQkFN1YKo@MqTD|PdA$;E1b=B1jcsUbq__v$HpLBoO0I{Rp+draSz9C?`!y=AlgH3 zEpO3x!NQB^s$lNEfN>?NdUR<)y*-Fh6E$d=+WDRQ9;)x##J4wXCgZ2^U5+?z?nJf;x%AP~J)?wo+{4t7;F3IJ1gt@O# z72~QR9(=+B{L7QYck!%}Ko2dcg`T@Di(a)iccEM!#z*5!-{H5J{Z|CjUAPAGS*et=w zqRkx8L$b`jdoSH#`7bw;L;^+CqB<5voGRA7c45B?(u!8BieJ$iQXBLo`^5dYrkb!) zUq%Oh#`AQHG5Rv7GT{YrL+*1YKjwm!ELrt7o_)KAmiR8kMx()Tc4I zeU?v^wy*tT+F03W@t?D6;%YSN;-6-#_i$UoQ%OBJU@QD0y>iWGUqdL!$)3u2qD=sP zxrCH)_zTU@LM5^2?mb~PDyvd<|IWKKKLly&<6|fy^|W#o@~!v6pf`z(<8;b z$dCDEv+63*%a@5X!XHmDL#v(6yki4oe3{9Ul|4O=urTXDpCNR$3%<+nlSShT_Z(*W zhz_HeUK9gI+{)*_wu)IM#Z0$~LB-CCCGw=NGvyz?KE z$Ee|Pmv5LotPn`?kV}qxm&@Bx z{?yZD^0bJjSR9lGsz|aL)RYB*W!9IEsV~)I;=H;Z%ctsbNmxHsF23S7XHdF*$Z;*B zbMSL?55iW;=C;JXl`mVYr-$Y~U-}%k%lQ2RzhB|^kNn=v@ALfrnBN!p{Vl(uPnY*g z`3>;BWRuVRi~RnP-n^yfCQ^sL{g1~|}k z`gKahBL42^rskK<6%K$CnTll;>2sY2WefvG33Dd?hDd~fzSbOy{U%5{xd*-`i_d0O zYhO$j#8*?R<8N~<_R3l{pdI<)X3W@RF|+zlqylP~W>nyh9LJYYfuC>6$->P(cYFCB zHfH%&ct^j3;Qe-xD%1#@*NSJQI54<+R5&%o&fL6W&H#sN`l+&kq+xbdVODfFL;Sqo z5m#Z^pJ)(c`A*?ZqtE^PnBV%VB}*X0R9?-LXBVt>#D@&0xqM_keD|SbLIn9c|05ZF z-O6a=vnr#%^J9jrj4WxfO`g2JCY24~gHY&RYp39PW=>V*WD%>>1i>N*UB(~5BQmvV zY!E2`+sTBcCck+rWKulVEUNIBm=4sY+Y-%qu7sHrHx%Pr+o)!;cq?R$==pB}lk8`a z_&2Rr8+fLmp-22|_O6#g1@lQ|#Jt%V`^l7zy|~O=%I<88T#qq#qynGX^SOHe#%H`Q zSakgFFa3=7V?N{k_+!6U{!A`gd&6aC0WGp(m%La6xy-GK2%BXXp{i*VeIhda(zQao z{5oJ1=33K8J^$vd-BlV3>)7yWvNU# zFkb%O+m*+z}6INj6je!eB3^%h>LSk^gPpYFL9eF#pK_*`T;= z=c=5n5hdc&)7*XsZ%BBjlQ74faD_@3dxHekPJ)b_eqkk8qVkqEDB^cPyJYc%7MV%& zPw(b49q)%btq;eFFX+&SfiYhhBpBAa82;((SYITILnJ1z?dnbE#+0a>tj0V>^U}?H zeWjf2wpHI8(qFA6e%auxEA`-7dJsC$%t(2YcS+xoK%VUK4Y2|U2b9^#%J?KX7F^C8 zI#4+Kl|*hxe-nv=D-rrFq_?10KM&YChpz%RA{VRp)r^M6kkedU3r^#wmVb5TX5@z` zYlSQ#HWX_iHa5J%^LZ2!EM+ zQT&kfC9oSY(mtf+h}#j{B~QpNX65&R>ia%22JHOSzNqrssa_Nhapm`Sz;(J_&XwhF zBLvZ8oneLf(S;CGgb>vEj%SeGD}ScwF~y<>E(+#kOdN~Modi1-;DbGAs?5fB?-k<% zce6x`^w;zqT|3qM_Ue9zxQzND)#zx|0^NoBa5Y8I*DWAj{(-X;Y# zVrcaF!Zu7g%Q(G78r?_gVe~mg+J5gcH7W9Ru-u*w9aTMMnP22spR<;kJNYq4=mDbnIoS?$jPRc;B(f`wHaJ1?|lW*A?#MXHI# z(}2j*mJ;(Hm~T0-oCxWCtp}qhbx33T_4E*4d*W+lpU7#CdYh&d<^`*Tjt#4!z0l}J zampd~i8vA&W3m}gL7|V|%C!#`46EoA^THReHl(HK+B?P7r-;9QZ^$Zt+&_X$2U zIGbN~^w&(c`YyF}vkB{6uvxM;E?cW|iDkK|?p>guWb1NKQiPEL1?@@h z#ZSn0sypse<{~_aCzp}*K^n5lk zuD{qa6CE{*o*6|64sV|^fDeer$eibwts>ye@g)|c|J+VLBj3uM%2b(sC`@oPCb-l$ z_#upk1J{@}RLTzNtmGcY{nlkgFHhBLsM0@Q4{?Qn%kdf3^f{38$};nXImzTp6+$xE z$o&ImYE9qIA~y!yZBEodkRSfa>W;5H(On_FR3Tr|bf<(mcL~>IlyFIA366)Ew=9ZW zp0{EyHR&3wSoll%yDDLn3RXNz{P^SxWDv|Yl`EU65c1~JsuIFg-uW`j9S$lp&tiYb zBB5fL=3^#_vT>L}oh-hW0RTfn(skw$YK`!jU8-e8L)OkcRs+7YK8F5M^ei){i7T`| z33^^tX5RKdik_^^#z>Cr5Mez8JzEkt**T6%f;+$BjQsX9+*0`&#pnG} zx@MiZLA*i*{7;nW%%(}hiaPgHZ;#KkpB`X$k&p$-smT<7TwCW>QLTTV7Kq9*v371) z-xrBbU1*P2k9oDKpc=2E6{3`E6l-WY74yz)CLPJAL`?rCiK>Y#Q@N>f zmHE@0BRG+Uut}_JXUUQ3n_6=LvVcJ^VaDj7T84&q{v1AC6fwroUGGFM6y>7oPktp+ z@%Ag^{Ad{nW1tqjX1T&^iHo{AdZ{m2t*YvJJThV0%T!IRrhnWl6z*^ek1bS(bwKlQ z`C-`>klEhzK2q&fLG4~K@6GD2!N9OE>-J}$hBR3usxwGuWkn~*Mg@#8JEM%B>l8=T znkC|ltv>)qRhOA3-=E?rO!}WLm->BdjZj4)Cc-JoYuc(aw^QYImYB!}y7*Q0YCxhD z_aXXxZ^b?qN7|Fa<$JY;4|stW?k8 zH64ms{>J`=&y*%qBI}qbNw>?sSHIkM$bI}76^;p(mw@_mTg0yoCu@b9r<%{BIz_C> zWOajDkf3zx@N|X9jF>@9JepM4(436XEvL$(uN1*|LL0USd{IbMaSSTqT=NyJ>F>G8;(xr1F6fb! ziCq53`2>FY5%5>xzU;054m;3l77X*7BAiCOC#an*6De@vgYC3Z!rT0qot-Pm&B{j*QL_CRZJESLgY+*8KTN(nNbc{~npy_=x#@ z=3mnDZvv+;QMOq%^P6jCNQWEal*Fr&Qp?N&r&fM5LPpX*iy#{PL*IOjzTw=6v`f8P zdK^71v;tg$Q4OV2vtXe!;IsiT}Z+GrQT9^~$ zF06-&D%Ca!CCS*XQSA|WmRL1jS&ZC&Hk;6(GFkk3%3(;U@bUZ`WWh;`E39U7MTZOU zKlC26ka#FazZq2+KV)?rj2)Gwa8zk5UG^>MP9@t&v-o=~)_wS% zMa!7XJ497lrYuJ6n@J^GV@`mii{yDl;?&f0RoW!>Vc06s_2#CiloO!&8fkin0c6VPGyaJASe#&D z3x%e2*B_QI((S4_e!C>;Q__OZCV`q_oQ&MUO(qQ?O%GIf*aedv`i# zutS~RBE@>YBsEPIU-l9sN44bLY5&l4QFS1`)S}}HyrT9NHO$g!s`WMlpW@sJYnUGH zgzAzd7)3^fI{vH6;UJw3i_yJ$IDlA490f(PUuj#6Y?k1k9EuwMQkQ zW$aOjP+(S7zGnljJo8()77s&p0SxLV5?`cVL_g3ZIwj^6PId4Hq(tGj#nN;tRbqaDN{R7` zW=t`QMOh~D2eE&xOdR$$rD}rfs^-DofsX`N8@I|ZQ4$v~R+$>gEI0+}tLjNRDC|3< z6gLPP=x+Unbn+l6vnKJL@Z!nBcXgt!SG(?hyHxR=)Z+J~wcGimTy`PEw@zlS=1*vX zUGXw=qG4B@N-4!%pWm)6$TGjTJ6-SiPP^XB1|lt~$tx*|%e%sbmub>~3cSm6$O!L@oJVsjj>%G3WCVWO0Pbl29Wfsb%l0DdUY-Yw9tg~>if=Ni0+QGuZ8hE#QJ1irZ#f=l@L@L?rmT} zR?>ZUe~<2)!#*dMMrrP4C#_K(_JT^2KNypM1@!dGezaLSY<8-{fJqykO?6m_-C+wB zTOC$nUj4^(hkf`%Cm+VWk~l9oZLctUnTpaIL?)`{eIK_a4+vp2?W8 zR;TZN7ZMr8*YVb3z{v_8h`wW;c`BpKmRmdS6ggWwjNX$sXYxiFfYzD)w5e3YPq$vOq!)NX>eJSlzz^y*7w%*D!X^B zm9_vvQetuw#Fgg{SKnU8N$-YM8PE^ieZ$G62!W)$C|Queu%AM<-Z zwQL*~Boy5e?h(4U`b?JBzj_*xYvsE24ymrx0u4*8R2Y!5!tdzB9R0UM7pssiFvn7F zhLuunyL-|aKBq%P`U&^0C##@OBSe`5%^-KD!+cXxmwd zm9CHdRRSiEBb`}TZE-&RJ)b&`9Ba&SRY`Vv%PIu}i^Hml>qL9jUT}Mg2gPrDf(R4a ze?$V9e4-XZ&U!?AJ=d88LxW28YPPlBy_)^a?^3H-e0HT!28rc8vZpyco>TgEM$$nU z;B_)y)F4Y1U!?NbERzAltFc5bSgSFnqQs~3YH<%n3jS#x^S4xfk?0FX0UkzCIn~k{ zdr(T%c}{$HnM_KQ?Xd(sP?0itV`D^+H`1kq-eXM?BouSR_$vQula-D5h(`N|y)Sca zsF61}ObEW*rfT*Y?a=fqfWkdU)kx>OWN1FY<2@fi7S>T>$^u0C5!nBL7?a%fyQV~i_tp29bAM=AlxFnM zINV*#NjHi{Cbm`c?U(h~^(v(~fn=KU5>w`<{-(@AT6{F5->ms&4u}m+Tx|BYo)uZo ziemKOn4-k#)_3N=k7)vqU$z#1+*{fs(XqkJI6tQn$Rsj7kmkHesncwx4r~JV0iO7N0;Rus1OZ`bXs0 zfc55Y6{m|TDUNmtB2s}`A&_@)kRF4i7>tF8>&?L`(++&77ZNoAQ&@Z^*(c{PKwRQdUH2qSKY}QPf0@O}jjCPKTEEoz2pj z_$DPIG`sbp;42UKaca-i8alEGjQOnPJ!igp9+Ny$q(-gc0RT10;tzi#1A82l2y|#t z(FPOBh>U=IqdZ!^N-KiH&^okuZz;S+{?tmVv8%peMkXr|AqY$Q#yRh*;ocH*-V$Y3 z1e9-Oq7w-uv?p6>skE9=n}OY=iE6w`fBN~HZ>T7VgVvuiCE?^xm1tT-tmjrXvS&8c zVNUkLMtY*xa)`7375WLHt}%1rJt4lNfUk8weWcEycdn(s#vQl6y6GxMjHU$6vHNEW zQq`k1JXn$yWpylrdA<2NnQ`L}771|}>G22Y3K`WZV!B1q_DAYclMkhw*!eIj@&_~1 zkg#u;cm8t>2S?sU^p7 zpYh_zFU8?bW?B5w2~IADNT~UCEc0!S%(rsp11@r$CBFm1@BTfQ;==kMX-Qb+(CZjE zoR#69e4OmICr+x?zu~Da|6;i5h=dfud3daT#x}thzAdq@XvQ4RSiNwY=JUq#@hM77 z9&60r#)+-oSWkkOG2eTm`y)C#jkkrH4wJzOO}|3J2;*ytRyq8r9uX^~DfVgNY?6%C z^C;kuCiDL0XkP-OZi)6*iR1zMA$)_PS4m9qsSe)4V8g5zAFLUiT;_Nt7*~4Cd#v@% zI#avJ<~H1hlEoK5mvHi`GIEM>E`%a@0Y`{!8*5yP_#-~vscw7{KTR1>eXB)YTiutz zg`Je3o$!VmR53LICczt;KDvnBx>E1o81eOu6|lWQ-;(fO>ASX(eOMD4JA{4-PsDd} zlo?Rbq8$00s|?}E*0686Oegb&CE-c_AIPKFr(u1gxl`siRKVcBIMVM*BzOMf68bvgz&0$GJ892oPJAC-W`oc|DyH;h3Rz*m4aW;h*(xS-Iu%3u81ju-L5;Zs zN^yNPGNs95*2v0*F{oJ;1sInT_^9b1LH@*I#m=u}@iRYXOty|GQ%Tg5u{^Qd*^rU4 zT}%LtGvq2JwI5_MNr_uEUm!H^vf|a*A+uPWwo`*56)bCevN-uOQ5l^o!F?mfG#kA} z^frR;N57zkLkf=yoJHj?aJEUo*|upr!uSNJA*Sg=Z756B3Qn<`-$1pM-Z`Y7>+oX= z&jx%e96IWtKuw7|DJwBrIS0>)DV+ht%>zI#UF=rvORE9dlTPdfNCth?Yruxs)N2Q^k zptjb4C8^P>BHNnin&%dama}!V>YLWltUl&m@#3wtI9dD=uasM74I1<-NxSkF(v65) z=|}0_IxwI8Zk5UhS>6S_QK{5?&!Qv$-8fS@NF3%7NTR=K8Z;%fntW(+=hdY09}mZ` zDN6g-1Oze@9-08(QhFOR>Co=btIkT2><1TSPvO{%rZI?MD)_n!*uHiRdqJTwXw*a(EH& zm%*|<&v!xW%!m=n3j=r#n{#L>2ob>tfVx7a(>KQHkx!A6R#fR&VdYjaWIanQ6IE9k zkxx6qzMiq(_0&^_de7*7aT6_nTWdNb`xUG8o?!qJg10x9A~QtbMtsTWV6-^!VOU?4 zEME2#+4?}cT=I{D9^U3iiO8o&#-?aL_Mc)bP8ta})glX$iMW-)o#w6I_36-zR$L8Ws71ms1 z&K#c-=uDLp=pXUwTLpvtAJ*OkKFaF)|4$%+fW#*v!H6hPQ4w5HQ49v{3?wj-AVFMk zB^FJwZm1cJWs6SG3=gBU)mFP{TidFwR%->ctptz|L#mt>&uJD^E`Jw_uPBWJ@=e*&z+HR?!)kwX1_CC@`*2;qI1glQ@H<;oZE9gZH4H5 z5pqVZhWEcA?+a%J+;*^pi09y-AyeX@yNP5KjeSYF{(D)j4+6b%Vcf&R@mLwS^(M zLD0V@_6lS>sy)=3v0VEr%}#j8P2THT4>I}eko%N;SsPR%X;z=nVKAX7BjZvdG{mOI zeLXs(#M&T~crSdag#|owhP;DJ>eLqhxzvB&=|At$lg?&JFR_Ujd-6ZNM{9&qQE-D5 z-aw7;W8TUY5dhFll!;i9b{T|;YIy7sFi2uyzk-Sg z+qKe&8K?FJahJ(4 zLV-pEVq?KPN1u&KSL?le2C&Fk_USS_4EUyuBczQPlQdu~^&X+Ll!)kFvZM_jZvLfu zFm1qyQyq>z=?{x~n=}yWKr|5SS1yAkzZU({J4SA0k`O%);HW*=b?x2f!d1it&B}bTwa@&t_XP%OG_rn>qPk8d~*X8D2@aDPj2* zc?Td!Ae7;=ZUphLN5$tZ(xS6Lw<=WrR(%SP&;|=B zXf|-^YRp*ipPL2kMz2ypYAC=RFb+yH$3P~6{x^6Zn*M(c?>7+(@9lyA65j6e;FP^o z6G#-+%hFh%4c1xS-*WTs`e)yq`*OpFM%r_u`Ahgf!4%{euy^_6h&>=$ceJ+TUrTsr zUuRkV4|tKskp2`IIPS~QA9Fy>&41)CeRIl78m6P34_}D0y^7}b4WpaJ^)3q!bDm^* z+`iPP*H}LDZzgG{Ugqm%ZxZ)z+FsUBaMFN^hTef?xrwzbx@64>|5c?Gg=RggLr&#+ zW1Z$ZG!|mTU)%^{ppSEa!Mj;nWTP$h175rubj6DIrU|J;t8Cc#%&-RuL*psoFAJ{9 zG7~ycJnv^_fi>QG&DV zoV>PR9txfeP+I+j^O6Z$INp&Fe-H6M(rBWa4R)%TS5OKYs1Ha+hj;azoDqhoYKSqJ z${?!cdoU6+@`en~8B_***;}mmwgFP4fyH0{6fDMy*EMPI^Zk(hzA72Nz9}hkT+HI zVRv}!eg*z51Ly8m??n&+PA0Q2^Qte)Y)+Op=cGM!b%D3}$GJHV>i|u*)w6}a=>}nA zYs10X`FJf9h*-41`yF|NsN~sQ#FcHqlAPmOcSBo>JA*GW|Vite^FjP(uD3b1%)E*V*Rq4YxU#ehqLf$VEN0B$O}rn zk{UyeHjumQym)`UQq4<_54qg#=lw=G7PU&I3V|hg5As}NkB%ipbpfIQFHDXkHoHM) z>qzehg=sUh$V_cE{|P3)Y8V_A9BkoYNw9lT=23;pXX|I~S4-); zMt_X*qtbnwy=S2OQsi+L8A{CdZDGBgbgqY= z{{}gH**4DrdX5G3dj`;Q0ebdA185x~mF~0bx2xQzyw6>k6iB}zRUUE*cAf!^p_Hsi zBcca-8&dbx`T{3}+@F~_a`of6kbdt?K`t>rT$gkoy|i-STl|cZWm4-gS{t~~q9f6? zfH_zkT#-X2Lue5gK65L1XLZT0L8SLt?{0PCqQpWjmw48@<|tWvf`|#sv4?XzdqD;O z9}+HTe39^O6xgSaT`XFWi0^B(iHscajUP;svZnHZ}7dcAkhzy zX3r$r?~jc1HCIciW`&D%$&EyNX(G4a0n02cuhM^~hQnl(N5&y{{yS z=_3vPDRIrsbph?Sp-(!>0E@$U<;R(mtlfndfd(z_wYKG8o+Xm!=JX!$w?cGbrY$;cnq`Um}LK3Vg59D}Ux9gCMKb~dLxQFm94b!e49_M_m&YqJHnEJ68Q!D`>R2(C-MGUf zf4EsBl_sz>pI&4wmA55|b6i;3ZX8rLKkAE^WkNMW!00?AHI{lO00U!O@{LX22n&$0 zF0|3h#_tIr!=L!}46?*9niRN`6zzW1w52zCVur1B*;+x3wS+thWE(Gm(aiGj8_*6( zPZpJs=o{TZtB`iP8T)26&ML>aE=)_;A$Nh)CfpbyLuKI3J`auhEVOD@AIZmWMR=W| zZ{}`BdyoN@N0E2AgpDq(ieu+siYR$Fp|piQ!xx2?8lj~rajikep1}MUv;^^d z2AJyew-9jvWB4kHDpOH95~@4NNiHF#;*#{ltnz68W3Q{kE-0<$Wa{H>K- zvZqQe_AA-jRC1QBr1MJfw_6Qy*nvGaqxYU_=#^E&zfUpE=p10`d!H|1H1brS+v#0V zl^L4P8T5-SNXX2Zu~H8QBo;o@@aso6vy7kw^?piukh2aiW~%A%ZdpVO({!PED!-Ow zF2qi{7Nv$-BedC-05EEpW9+%K1XssqGtrH~e3|!4S|On!TsNXf>4+zkRI_qPo1{tM z%aT|RH+ne+QCmVVzxf7^HKI0isJb@gEWq+V$oBhL!Mzc`a`VSj!4NR&Z|q*Wv`)g{ zl(Mw2-oRL9Ej2Kw_PYqX0jBmO^h>N#XmnT>&Elkuf!QxgKR?sIx=vd>5J*!#mu-z> z?9Kk`iq@$jtQFeAZ0SrX{+5wj>yq4}=qI-%@7{j_U*>~*4*oq}!XK|gy$3sS5RNt! zye=?650>TIu4ZE-?QUZc%tdQRXg9c2v$D4gJ@Rwz4HzpW8ffS+i&jv1sNogAzEL9v zUh(2_cF*pe$BRbFnGubc4sVKiCP$3DkB?3(&loIP<~iE3YDXUS0gIknFv>6|)o6R7 zICu>JH`4VqFU8%!NJ+F1hB`OV0B*%f|1;w;t9;|FDXaWrrFSpEf~z_Th`30OQb)Rc z3a^f|VhbalCh>IVGm@AmtI50{>r8aLX{K|wMtzoU6R4_{s#*_j(2A(Wx}(T!Nu=ISZKf5P!r<}%?Sd>Sj|oujH( zy_Z+h+e?XbbwCFFGiqNv8Mb>LLU60y*G*zB{P9$Gcw|=UG9z9%3o5e2fb0#<0LI+S zh$Ihd5=M&A{QFYpI`0i@-QcrUXQf%I-`^-S9v+HbSRjm5_x{G%gSx)z&Ub_`(;hR- z9A9!KeOQKm+F2$K`Te+WyoLLZa4o$Wfi#I0zMnaZ)8i8@*l*?_hG?lSXbbk!2*-dh z*q;|2jSiN!1@~f1t_nq`F#vSzd5&6lN2~|e#k7i(|Axni>F zGOZw<=iE;cwfOn1!YSo^R@FBdFgSKTh1k$tp;4xX*be0u3%l1ul zwCvS_El?|J3*;Rih7x#`{A%G1*b}76CzrAEYp2izNAKwBIpBFp2xSKsk&a1L%Tgm~ zY$ZdTWqUcxiYULJWnT)X3YCv7@0`v&{aw_`r9@w@(4ebysUS`hBR1)$8r!J|h-JAMDM09kT`xO<7 zHd&I@T`=>4tcjrZLI$$z?UPnnA@}N1M6Fy|#_hGa^n29gMBNA*vbvjoTlj>K8+kPY(BBzKw8J9m+`3Rb zwdlFV%R}y^rJ;%!=g*?RP~urFCG0`YWb=+QpHCnK^Nuz1-M}vowrTiHqZ#IgRg`3wCW-50hZ(AvRvJcVt-?EB7stT4hH0e>A$8RKw5js?Qlzc( zk6LQ#L)et6kCExuceSYxd2=VHB1-20lw5Jq8y%*Q^3I!*NXmGc@nx|VVEHOlwZQpLsJ@b!?-3 z3##n8?atz`gSE%3K>{Ud(gp?#l$fPk%}o@Knq7B$=25tiM{tD}DDU=5%n7fkJ&8tw>c5`f?Ws24#KMyMe)}0Kpe6Y6}d?fH8Bx5f4XH*^*gCxA^*K zZMX7j)9v3Aeh=Z=nQZ)iUie?*Hv?9|AHk6k`p;CGy2ID4KWKmdR9fZtPZ15Quc+-m ze?Z7tiP74CRm7kZP9^jUwfk+>jMikf@0)BFnQS6v%IlufQ|GqSo6g;89))l72(GAt z_4Jm{GM)P-A*s%tevR#1hJPtNJYr2fSB#mL%;u?olYn%CAD=@#!Jkg=7{L1(z+-u< zdPq!7nm_FWvgQx{osB;RND58BLwvgb1z$8BZO4E1d>f(hJuuvTJp9)AZ^lE9`}LH5 z(z3p2obwwNH*2d4hCNLJ)| zhSsb)%e@+RQW^D+^6RhF=5YkpW-#*H5QeN${&41K&kd8ChU!;XxMvU@pn|ti=|D9b zsB{D->z{$gNTLGTj|-mJf@hB4X$%^8aBr1D$j`5}2&of5)c|DOxEYwrYcSMVO793P zXt0$~N$>ymX9A?KX&}U1%2xit@Y9I zgEM#THok=TqGWtYc6{#W_4UzEas>b%<~w<)T<{fiYyS)`B*=t!O9s3>&*!A?2=O+L z-y?eQ_MD7~%&q`t&8Sp|j_cl`A&oq3mU^7Q5|zn9mKoI#1KCnze^Y^P7I+GYUK{^(6OZEdGb{NzD}mpe5M&?E6B_U@e#Dg z@+K76@;<)u|E9d3aKKye{p$ayyhD$$|OL&vBM78GaA>>!J5$ zBK~5z?MeW+EG}l<+F0XqBjyX$h`-NZ1NUD5&kSgkgSjRZ$KtS?U%(#d0V|;2e*sGi zUb@`&pIKB*{{@T)SWFsfKnOs)_qR}H|Cx2PM~bl9cyX3xj+c9Y)2sLEGvno;^msuK z+NKH_Gwt4ltP1xyVD_NjppB@Gd|LPAWvTIEnm9DGYXW{N1K#FI|1HWh_!-O38s$41 z=k(}G3QGItu?t^b97GhdiY%Wn2)K2OE60>kH~YncyCPlvoS*K-+iMP| z_Gc0tZ#7piO$e}o4~%|}5AM={Gx~)_YfbbQE%sqKq+i^_m)extiW!n%@Cy@$3_}7- z$rl{vCfQ1bCdWvU_US1)2FK66x6xn`zk&Qp_zi9e7Pka5Hlltelb(2FmL@%0 z?JPDb*&oI0cY{C3@kmREb}n<1oCrKg??|DK>aRERb0}5;#+?5$Tmu zj-|Y5JdYp-evSiu3=_#ygXdqc##tWhuYnI4kTcscNN!kuWB&EV z-{TZSkh=s-VSU9GPV+k}k?D+M``F=qszY1Q(((Fun-65^)uYzx#A~v@p&7Zz({n3F ztq%9q1uV~nKSF&Hc7o2zr*Ix%ZcR8Q(N^zP^{-E?p^AA+0F_>=Rj)zY0MlH1l?ET% zvCh{s@vHvmhF3p2HQv+seRsA-0G2bRqhHcj#;6urEGalnq@aBNhH*ktnYq6Xd^Eor z-rsrBNfcjOr{heo!mn1n!7Od!&ii*3Bi16VVD_iKLQ)?|m@=O}{pnGjT{ZgSnptmg zX=gB2l^b+9fc^0l_uq@wFSs;~-)eX0r|MeWjLFS}xIU3t%U7Fwe+I=Ut6wUEk;9!Q z5iPEs*()dDEK4kEU6IS^cdEJ;Pk@H3frh+7s)pX&-Hon=8`tMOfK6^+lL|59tc_kL z_R7`ne=1u>Ae7W)WVh2Jn;b=<4YGKa$~L5rW^<}}N6s#`pjz6V8qg&962LRv+)Qgo}#{e{fFns>4BFC0n!e^M^*S=e{FzJ0e#e?gG%ycF#K6&stUS z(fn?u4)vo@`f!!CJU}d&qCKN*fwLs(W@u%(eMof?(4e*Z);XUw@Qd#)aZOLzoVTmt{>-FUi@ znk*(HB{ew^qedYpWpyxw;$38OsvVUQD7SkHZDwq}3g11;WFAcB zb8O}xn9LvenTOlVm!va~H7%LkSf9HPJO3C)AFx-HV-XiU7);UM|H5WGAN8E$I&^ zR=D*{1Jf(E+)f_B728a?|MCvBg}zUqkHZQ|f@_$Bt0rmP+ z1JoM?`bPx5KF>f@pIGP3A%8tLJuJbww;EV$3tD9hQhC7@Ur>+=at?Edt4V*!Ua}fDT~Jk_#D#T6x6!fEw^4(Fx3kb_}JyGkviauO-P`7C+^g>nM8Sr>Yr{ zRou7UGf!nmiO*K;a$?Itml>yTWRBA+J5GmMGz~x9py@F)5DMFP_~Z1l8iS^%2uY!- zFB1lZlsEJJ6hF4XJDm?^arLOD{#$-*ZIT}wD}HPWqpE5k^H>8*v$)zv-nX-6Yf`M4 zL80YuwTk}eVVd2BhdawW!+=Wr87zf=js}MkI-(^wGJ4~^LQeF?`|RTZIel-nY9`AHZQjd zR_kPJw_0j@!zOKbxHNcDYr|2_vg8(RTUATtz>)Qd@gq`MgNaquiPe>hP3G{^)w5Q$ zRThoR$y`bO^;P_+Sx?1=mZp3wxcMJ8vNIjc>4;_{xp0=>fP;f`MzY+7VhF9B<)2C) z%EjW#VCz=5aF+=T6np)Nr9jakp1f(t(G7by?HJY2Z{5V91t6$%AJN*xIzIdHSsz_M zJ2!e;ASb$)-2Iw{p4QXWbRgT(6WEr1r-yB6YDaqgzD8;Kz;sT{-mGqZc;C)LtS1Hm z92&I+`klPraxVjIee_Bou%a2A-CuCNJxHse#6j8;4$_wH>14XapTECyR!*a!U@3zF z($Nbpw7{@Y?46pnmoCU}+P+}H(E7v#_0VKePAFYGAeU5cCsV=RZdAOpeEJCI$yJfo zS41kyB3)-Yw|osWTum1IHEQ5Hon_5^8)xO`xJzyc@CfzpNW5kEUy+$Ik(u+>_&3j` zzqwGBA)Ee2R+;q;>CgJk%l_8fe;zYC&YUmWN|ZYR5lXr=#M4>15vON;bNVFf8^u)C zcTu-*ZuiNIujlLuqV=ciqtn6M2Je2%dYxqxMuuW@M>1f}+tYv<&wx4QTL(-jf{Brd z;>Kx=n21Kq3Tru(ZW@|~EKrvI?tA$;FJ6?N^B%twc%Q{@I=^Cmhw=Ll;rTox{I2Eq z6Mm2K%PGw5RoFYPcVWiA{K7tkeKWpydo3vJw_8|dK>xi4*io4NYsX{LSfJk8FaUB0 z0kVU_gCk8u={Yk*k~z%&(3iIx3JP^9Aa9cRF|Z5la9Xzx`pnkv?T`~Nyx|FF8&QYm z3RKYuLm1qC>aX5PCfhrm3<4RwaVhC*Tvypx{#RFzomRSFdX4)^(jG8aUJY5SbO*Hu zBRlqO7{=d$4g2#qH@si9YwD?vtm#!>6|L;Ot23`Ym}vEj(<^yft1E(~^On`P5!F#W zwzh7;v>NxhWch(c=HSzn?f}Z)ZpzR$E1=o!6sb@r}LtoBRd zjhuL_3)Ib9p*7yjwrq7A_9d6&%1iPQE|tQTWb6-0om-Eh|AlCTSo84hj%`z*CU)4> zKbKj@POYBl{dUN`kgff=iX5l;17@L{SCMFsbPaKC(M|TDJA>|$=7)cfpHtoYnZ#Q# zau=LxmhoR(cJnWW-TV|%>)l&v_{Il)Ms6*9csxQ8%K6t9`*0J5}L^38rDcR0MfGf~}5op_ua2+B`q9d46m1S)`lQz%K{5=1H zdynHhNqHvNJWFhzUzt4nD$in@=NEpSKPk_pHjiWTEVX%lWAf~$JZITFcl&v+SDq7W zo>wOsY}{`1{NCg_KzRR(s=E;k7nTR(lRr zo+oUcWP4tP&5z$@^L)35dX7+@o3rcrqw-v0^X%0_dqyeG={AoU&kVe4mFGJ)&&v}H z4(_x#(EJImI97QMvU&cf2=aVad3K8a#R`9C^O$iQE7Uv^1ylT=ex{Sj zlp%_@bUh@5sFO%iCe2s&~VJQG{^h&3Jrk+m(J3#SW^={0GxKSy32$I zE9UAt+UOm8IxBm0Cht_=Hfe_0)O1_ud;qFOKi3wVkyAN#S^=7!X|t=`)eFW{yU$H= zpZ3o)1p_S0Itf}89Rvo0n24{+t6_2N;sd<^FO?O+f_X1ynnfg=_pSDt$lR*~)oxrj z&Vfnf?fZH4(~R^z3N5bT8Oh87yIR z%|8SeYXSCuG-+B%FfwjwPJ;tO2R~OIrNxZD_j(+Ez{>uku?Td`5jvdZgKEiv1bo^M zj%YQa(Tt7H#kRV-qPlec{uBx0SUaTJ4VIJqX>pm+P+4bQRdhyK&<&I!Q>+~lY2Bq% z$49zKoLhd(c&gzZlBL?+aW#%^+p9Tkvt~~* zBi01l0%LLkr?X8}@1Bqnlo{yCdw_V{7i;R5esNWP&SU&K_;vC7gkPNB2;j=e?UVY~ zt52WadFCs>Pp{0*R)0(WXZ9Cvu*~S>@%}rQjvi=kXy4%dg3Z4>p{!zE7^Aw~d1~cH zKEeGC5>1qe33l_R&Q6IYN(ph6Up+*9VoKau4 zmP+^IpC8K7|Byt{DaFzLHCA!t1N#Z9mr4ykpcH;$RO8ril-5VD9fD%4tul8Plo)|S zqWJ7dG8$hpTtG^^Fs#Ok7t{Cdc~Jl;DYK9<(N=LSBzpDh6m{k ztFv-C%JfiUXkFfBt!#d?zhcL2l7?T@s+_5zH~Srb|G1B~Jli?5&=_)WY9V`D@Equ0 za87;215JE7F$_NlFl!nX3(1Yp?AVS(QlINA*WnJF+IcroX=Gs;8=?Klm5i@+CzS;w zUA>%UU4R>m1rm|2y`5Wr%ZJ?^eRHk9J0evd$DB^GrTl>;KC+ImMfVB67uR-=ltoVS z&AinwRoaFHe!2v#2?0gnc;{t!#Uou_!}m;z^ZgXB+Z1`EsJ1BvGz3hFll&BawKYY504nYXoiu;-X z)>+cQAUC~jxTe5jY)H)^44-?Nxklz5sgI@if|~jK#`@0>ud(0BaK-6&p8rgK58^vH zfXw*Re6&hz^|#v(iwsuN!!wKtUfhyM$o>40Y8En^j%qdU#QNys_a7VLgi0-OU@Xxp>;R5gFF4p z(yJ!RH0zriRT>r4qfJjTt)6E5n*$^PG!`M7Q(}BF7b6Z%6tz|5!uDK_b({{`am$Od za>@vyu~ulD5pu(&5)0JDZb&#!p8p-GXKp#kaMUZlYdGrlJc26@h30x2k7sW{E`0Ci zuO@hk`%F?uPk|#SrqnxE8&_8NIt>Pjr=sp4WHcb;`=|6>g4QyksKH+5Yg~fTzi=6+ zmm;VYL%Pm*vBespp@7?~+(|<_K~abIpAV5OO&S{M8t5##pN+26mgYVgKa-K8#ik-` zn=oE9kpqOu1U{?0Tamv_7MbTXOJ7Z0k*<7ak!Xk#=Tmx#^k(BNhTYbYpk*<9k z_K$QOz(qo_;*U=e>)YX-NxS01dH{?|d!g26BTQT}yoq=3?+0)Y{bB41ywR(+!%N)cei*io>zHRh~VTdv-*Dw!%0RA z$j|d3{{>`vX)4djJ9j1GXS0ju^6jugvh)39_P!hIs6;aLO56!Y77p#Bt8a^E`iT%J zBsy`P)Zx8|>QvOMh}et#*zaW3^!zu}^f9=OboF)?y$WhlNM{Onc)in&6r#uZg?t2Q zjV}|KPj#>zHbW?Z1rtL@41y|U8l*GV{6Q#IIh+Z>5{jmzCN_z_C_b`6L*MU`P>Jyk zkZ#=fxh+#LIDRYC#&=G(J$)Gf*fxGfHznKno*z4f*fcgj{hBuRGi@w1!{rS>+2Lx% zHX!ca3M<;~@a|CA3x^hPWdm^BV!!q^WvuqgcpLH^|1)K{ci*eOtjim{ou66A&$K!A zG2Qd9pW|V2bk0|SW3q}Lkt|;A{F9$JqQtfC&s28B^9vP~EN8Z&uxi`r-Rf8OKAk00 zl#=QRzku;dr6F{`a80G(At33G7k|t>-!t8VJ!z7TeTEZ@k=ScH&G!IP$o&_Vh2utH zXLvN15GU54;qkw8G?jDJs64J)>O8p_p}|dKb)s!kg+0->7x9aU4!_-bo;az1_YxgN zx77>}c^mXv={(t+V+a60K&>%pKKJC_@C<2QH+q+F$%3Du{|-NIz)Ni3mB8~|pW|AS z-tX9&ee3a*XOi~78Aom`>E@KIvrOke*l`@#bVFW_>B`6Ond^BRWQJR^U!Hv~hzs6hbLA4`TwnP9Eu1yr_VN zSweE1HzkS8+1_});wnhfb(fj0a%Xn=2kM1Q#;8^qKI*LjZ)|$u0x&T&y)xTt=<<7| z&byS?_y%Yi=CF^SsP3FQ@^Pxq`Qi^@zuK4RI6vbCz53PuB~|Tz-p{T!oLTLRbhSG% zT`&dJd7twdKf_cz9j&IppjY^bz}?_IhH@K3^=)8)?2cQ`TjaF$rgwhQ%A3+3W=XQs z95V7ud{^ZH4Pqw94?i#}H=N**@ll=SD1|@DJezkY>$m z@9J+%Go3}!tH%c$-fe?-N)mjpyYpO4oqm27bkCdKy2A&-%pF{^C9M@18;Uh#yZ1XXT{+ zTpi;qd653b_746P~NTrT`|c=!LCun!4qTG$&Y4VZn|kGYMQ>=w;` z(;&j|h6N3WsWqjvrfrgDXLgH3DC68AoAXzqI8Q{2Lhfy?gk})hbvf$x_~m}>;S$>F zEE+C=@i*>+Q2-d-OD`f@+a!!fP#?sPwhfx$r{4lE7T@0#nQt3(mLKyZF#w@C&^9R_ zxcHx34VX7TwmX0dr~3-x>!3MJ3n{Tr(?U)Y2vG<5<<_Um%_@@|J(j6(0LY17%Nyl< zA~Fn;4ie-T+F~{_DW6qfNYlbTjCfKE@N4=8RxExpDMVVN5-Ok6$60igiXs1%47f^n z=Fni|>)eJxRmd#_rRSI4N?tlEzROUg;3;P1ON99Vbn9C!cB=0} zh^GMgvvOypVegTW*0%y@djFA@)@Ki`L*6h$3ofrOd{b6o>vGHrFsVslV8pz7Uj*gd3X4E4^dtnetX7SPEHIwe>uDfv{JD;(k)?`e(TD z4?efmc&n8vdOgzu>mGAXUL_*4%2NE<`hC_VV~o)}6rMU+&)C6(l?Nxo z*a_XZs)auEia&wxu4Y5OR9}7dg$?|a=%4LDNZeKtXHtHBgr?_<`|e4l3SZN28+_1q1@0;*cAl6PoN7|mdbIYs zT+nI8766!0nlKy6Mz?Yh7i|X?mkBN_+uqB$880L`=&TqxVs+{IItleh)bLr#AUi#W zGvBps6K3QDwWUG6E(ZBdwlJnpw`+83vb>s#xD#0?6p`XOQXmDdI__OrVvj%@dhL*w zn&HzF_k(wdn~RkGRGn8uEdd`pZ=g;j-uk5w#?GSoK=B{3;tuU_V}&`$2)tRX61GpS z;}EhJ#0zgII=2qMFs^+!JW=KL5>zN1hwudb$0_WSw+Ty?<53*$hP{%EqP{#XT~u{q zZPVNtEM)t!b@(7O`sEA$paDtWGExV-Pt|vdWV1krY=dMObZUR2Sy(^g(&r8vYZMOnse|*B&4I z8|orp)#mAsvLs(nm5)x0om3kAo@_t&yrqi)=LIftJo?5 z=LUz1bafonXoJ_i8P1}25Qq9De+3&WIGsf?0^{rXV^5jKijUG>GFEsd4=?A*^bmUk z-{Q$37XK9)P{rUCL4B1WeMf(hdEVUmorjb*_Hf=Dw|kp{ERG}F8I#glbG_xbRuW@%n{jzezZARXl@t{MQ-On{;ZocRMf9+QeT|=%!C;H zOglam{4s}G&F&4<@4nT8im6#PamLor4{tTm8R2~QnBImXFMq)@*ivhm?e~)#WlB9M zx8-InV1^679a=KQ=)Uj@ViAajrfBh+&R+JgX&{Sl?(P(%hM@)js72M>BAwNZ}5J^EM$Yk(7i>iHU6Agy3L^JzI2oP zg^^}3@2z#dD03`ve8-G$U$ApQ){NF%D$Tq<+jsEm=Kp+B-{g6UCaSMG?m14CE}h{1CQ z+-N9R@wyYc2eAzXU;AAtg}Q11MQ4`R%vv+v&0Fmo5-(Ax42dHT|4dS%4GB|IV|_64 zkKs*3<}SFLp?~)wF!|C>K}U|rXM^f21Gdg-!N20CR&SFMYy9}otgl1vpdDV0k}W2# z3Yqw!9L!=3>M9r*#@?BbPZ_e zXT+y75WTpSPZt=O=43w1`aa&gU<5GMyrAbdmLaj?qv89LP+zTTbdBR7pd{~#9TqmA z22)Gkh4ja-CO7?H+7oYJ(XMgNEFHamO2z8=&z}|>uo`=zpqq=#<_3^h z@dP5dg1|>aVvQM5-bJZoQOYodHSv<{MDAu(Hco2yrTlw&_l`~GV5FKd;!_2VZA!oJ zxixN8X<`lTKh@S&hM$3L&}z5Wo^aMDiL*Z8e&Ebmas^ouxVYe=2vf+sHSu!^lE!pm z_u`*p0&^d@Ek2x=Sk+lXXN31ktT#nHN_19HFURjOIf`FBJcX=aqRpGp%SUj$$;PkD zjNj;$r{e2u{O{87-T_2v$kI8C`y}!-{Bwx`d+rZ=d(l-$yul#zal zo7a^n?kMe*6ThFShGl04Q#_ zB7+XX6%?;LFpa5UWM}7P716w`OFRv!IEGZ4N!5u=d@xtEvmzBF+MC7|m13}iEH$Hm zHxg1)Eg7yZl|k6vhiei0rEyyB-zk%Fw|gOeG=}9A|2RMAKYVKD;Z9es}&9nG-*~Pxix-aXmd7$(zNP`X4>$dy!HgW+;AI)SO`* z@LHq^w4>=~_o!ti^z&W2lD+)LRMOW8#0N~p-NL~9G4)G>kL0okU86A?5JU72baj{k zWQE;FnDO0Va?Qgh9JO+v=`hAN9Du29_-n!}yo*jHvoa@z7REo2;Ya*MIg24N>@WM* zZT`VqUt00~*UgSSW`q^z>O-zFq7NFjAy&L&uv#9|bo6T2>wyf<2AK?F395`Xn9N+z`MrqDbb^bhuoMc8{XA8szMKx8#LaJ(G~ql zQPu8%;k$DpYsHBeZxeG;5)Q^qMn|fJCB{!eX%p1d} z;Eh_W=__zuh&{r4W6_)_z6&Wr{3&>C%vOy5lO0x85xd$*FH*7)JOagPa{GFRl?omN zUs!sy>tL+z^T15HB@gq5)u8zcmeJV61jfRPS{o0x`d!ZV?mzC>hJDAK-{6cprlEj~ zg>rBBu(LPIcQrgZW4p{7A*D5Uhzo~r%zfRH|~wp;P#-uiz~@wPh!ZZVX{d-e|UP%#(O}o?JbId2%;`!X&84d2(sD zd9oJZm?t~g44b1&f;;ck?j9}t^wih$z&BZ-c{tgSGD!&7$ zJEK*)i%c26d4aieBVn35qm5`s)8;+)O$a!CI+AfZ_D}veol@uRcaVnZd?rnmoin}> zeYN|IOz7*4|DI?T5@P%+bel-{o0Mm7m!7(W)j-`5_cvDb3 z`al|rA03zph3S;zv&uc(FL!^+wWH7gD2$E(%8c{1^7qsY-Vxph&l`AsAh+@*AfEwC zsUgTkAQN-p$IA2e_lfj>It!HQ52Omk&dN;9YAV!t$G0%?>FQmpVdVumCR1+x6#Wuu0|o!KUK52y7zqgE_eKf$`c!v7 zJW+hlKy9oxX%t-n0@;$YJDND@vQeE@>@U2j$dn2y!-_vKZfKa4UCVB zdtgx5!3xxxk9|p+&I72Z$kxzjZ*I)k#QoOFw3W!j8o$A=ZLDjXNy8?e!{%J}MAfq> zI$6NZeS8q@Kz-?+6ygPnw~X(g`?8O$U}D|qgpWl^+&+U6Utz3=W<71Yy&Z>S-XW%p zEx4yiChei!uVZ9m+Ks*tuy;e?kMfB)!qjx{b_pOf96EA|1j&%<4Bxyypj8g=xnh74vJ57 zo*Zx-Hrl=5Ebcylr4BDi#jg}{v5ER{Z{`Q5N}l&h&1f;x#q?SZ-}F^AMiFMl^-%LQ zx9zE=hXQ+NUmpP|y`Mrp^Arn)v&7z)>91aP<-5>W`><19`otgdbKbx2zyB4GUcUi< zv_IuNoL_4FOy4!jhV#acwjg5GU3BJlKaMWmer!q$&`Ol(J=g4b+LwPOF-2Vn`F;ST ziiFkHxc3eZ@nKHNDW=ws386R$x^J+#FGnB7gdFr|Mp<+s9@UVkmj|0ZU^V*3@EIfz ze7P<++<(!>4Sf>NR!7zrxa%Ts_6mx>OaXB9oiuR#S6p*ZYQC8*XL==z{2e(WtZkaeJ@fTwr= zq@9V!3p7lw$gB%Z1~jAH`#H-5+W}kCn==2IeV36+^edk@D4aJMTm6S~DF1Q16VeQE{=?Ay4(~Tbxm@S-Wy9d61%q=V%U)=E+EH`bpKvl7C3L*9H!!& zJaL^S_-KOF;k_$c5aF1h2cRj^`OmQxQ(>aR&95X+w6C))|GT`jOya&WNhBsd4Ug;9 zo%}V^nW=phS?NMza8F6kRR{I(&f2T zlID2601$r{V|ON&rsWIDTo!5kCMP^fNmz)U^+HM#*^sNzFJcTx0dLOpy(} zvf49&va{RMOYPaInnT_l6sPu_W&wGLPiZ^n*mnG-SF#68`!P5 z={E|Q-4`#JFb%fE4c^;(OTf{+IXm(Ylbmdj{A{B58@`ND5PKl+<@>%i^x%Fnn(A|-~1Tz{wpz#F}wX@gJxFj_*SBQ5rcq9Ng!T-pa+y|okAlquMjld_>`8dlz8aE3>9~v+xk|b1qoT=Jz#T} zXiJF0Opwr)!jy6P{fyl?t1T_-$O{FRd&@2Bv-vV$(6n8waFVF`DWY2ZyJl=6fJ(QvE@;? zAy4~FN#14jaw*lq&L~G`e~mHwE-Qi!2WuHL_5{4KHmVyM*~><&kd#yvV0wp7Q2yYx zB3f0VKZAoURmJ?wlDL;%ygP7>qjD=kx=ZtnMaG^`5x$~7r{QERp>uK?POud}+dl&xxVKQR z!HG914^!wK)@3cA{yKV+&2(pGrh{dbWZNR!p&6ptGnDrX!wo0%nzhv!2|{~)w0C%$ z%(~CoDqvHK>&RcI)()?dgPL0VXDg;8*fgId7VD!9ue#JMvH8fTGS=8fDNJi@A=yZ* zMYbL*ZL`Q;zzZX3lNd}bu<%fs3+(m={CBKpi(F~f*8%Kl6RYt3S+VY#Pu(4r?rO8X zE*btXh)EP5+#BDa&ExbTI``|H%&=#oko#aXJ@edI=6~oRU@~6-!>G6 zk;(%72Jha%r-;hFsV=Eim;8nUzf0x%zAi=f?IH_0p7GvMY-D3D3{b#d&}zMhuwBkv zl+68%g^~BoDqHR)j4}t;u&)s#WhH8nz|9dNi#% z%TUhb+B-D0_Fnj?dfaxei1~!he8c|Q(SHKV)cHGDBv-`kGBwlGT{wq__q%_aWy&Z< z5WE*(tH397#B4q5>4JBIs#n~K5P8>Zio9~dP;F+Ug*!sb{yolq^L{zhww*FljQS91* zw)j0I<@^T^Z`$WcrtJ%TUbE|)ET&Dmkwozz3Jg9ermZ^iOrn0N_%)+7N%CvVTk&fO z>yckWl8f;^KJ0o4vTbR8O*srPd;Du+48PWJmgU#ZVj@icN?>EPB(Tr2V1Hk5{`@na zUuz@cB89Bj`HkV%Y>6Aai)oFOZE5{FUcBF&5DeZ(vp9thwcOiUkS{^yTJ0#A@B@59&9%_I zrQ-D*%$W^e4hMo+Cc|3Sg4?@YuiG)q3RVlClduGx)nK zO9?dN=EjLpLCy@UgeFj}_uYT6EJ+gKEdQix(Z^2nC+b!6FRAaUHg~<$3@;%~<#6L} zzryp~lCSOE4x?V}<_!5L6QPbvle={0X zaX{L9I?Zo0qTRqZl~FiXUK8zbsm;7#s}g(|FVjAkWb!uta~J+dB3+4wsY|WWktA^8 zCi1dgV%N~b1l`Hs#3uCel@!q>do1qAWO3oYGD!YfYRicbsw$31)qtqg)X!o`y6BOhH?vC3J3 z9*Kyx&hoXvinY#7rF??XHxNcw4#y)umvQQfkWFCrb0=o(1eW5gzrNy?`THS_Yq$RT z)#H`i2@vxg_W%3~b$Qr!`C$g0!ZAF&w?0mG`H6hmE}w5Ujvd~6x0o)s%`14x3`2Z} z_o_Kg!F**= z>nwcK`nlTZ-9W)j3ufdrjB!>@oL$isKIRGdbMUj#dlJanCeFZ9{FNnb%|`#2t8F3C z2Eh2KfYSV`(>#V}(?3iCChHKs>b0FD9RkTASy}cYQe_YPiy^TM$JM(R4)O8n?R?0L zE3cSHIJV{wf#iuIADS|tiEqgaIY=RGgs8w(%B$7(F#?(voP$2ad(ba$El+WP|0JqD zdf|}xkCe$-c_FSJmJGj-3cSw|IW5KN!Q9j_5xezJIerSa4q!9FWdREYB{ozi+LM?? z!Ln*V__$Am!ua^(% z5FgZ4;wg9UP3%x#hGzO*`MSxp(OdhV@Ye3deq`GzdmYKAPFFqm5y_%4u|#r8_ADdB zo2bqDfOF=^G;#|gdn>${hqrvOX8soRuXWxXJC*LjI)*`R{KtZc63^;ZVzQ7^Y#|F( z$Tb;-)MgffuU1pYJ{D&Dzkh+7^wY{KR?VATF>&0&S;ljfoktUEL(xI)PZR^Jdej`r zbEIIggi^$jCpf#9dW!T7iyloux@=kK=WlfE?eNINLZh7H(?YiQ|%J0TE@| zA@zir^;U2;M2-4YG>w_L-(+B>kv_>TZ-hjfK61abMVzQ2&dn&IJhKSSHDYJHw~X6| zglG93{Efs&i~?GF8ocpDB;t9S1^wabhmC(S)1}6>Bd>;dZ!y+`8Vav{jo}H3e)>O| zir8PiYGa=yc6U4v^6~6cy|`x-A0`wh`HOEwb>}65^n?uXG}4a5g&AzcxjeinEopp? z5=j0?>l{T}l(^C+zC?*jGZOFGkxrbNW_Lfr!f(k_{9#5J7ndzxVBJz~^!{qFu+jVZ z17HA&z&s;gh%Dd)-qofuFtvcV8t(K;%fJ20XmZlW@3@SnAz9{yewh<(nc+t|4~ML( z$b)=&DIc5)^*i%ek}Echqq>dWK7OWZX+LjQKf7HVZ=}(~thlpQ!p%{)q%qVlv(a$1 z+qX05{PwmodZ5IM&;%wKs(>leh|X&1rv=bDN$UIkRcj_oL=C=Rf;uA7-&wSPo`>9Z zmFO^D2%SXUc7vZpM=0VIM9NFT|A{1W7Yu~)huCd`eNa&wIY_IfOq2zLCL#BpNM2~& ziAjJJpgDKiKKx95c>c|5PM0AqCjmZ|9)`4Zeplt}!gip>ZBNch6{SHSr+18)9O2`D zi~_cIr3+L$!(rXP)q2$>6;nASJ^$E{JIS1o4&gsn_SpDA&Q1D3_HJ8j1+Q4IUo|AI zZkIdH<67gNOS%ubQkhL!e zJe#dzH;1epUcH|bf2?i?ii_(@ya|7>WCiMo|2TbcPVzLKXdgKhK8b0CKNp)Q%+-^V z=1};v++uYU$1Kf(OK!ugEWdt+vq+c1DfKp_>xQ9ujQ~ak6lp)NApN&6A zEd4d6p+A35bedo0n-i6AgUJC?q7Y~0RJwkW4x7Vg%X1Li%2W+!@hWEx zf?NkgiJWAPnopX&TWk6w@p_sL>hNaa8mRLq|KlYP)j13ll;9uAE>0a@kQ7kTnK&YN zHQ?OQW(Svia>h|kcuGb>z;PBe30bu3Yb$waxK`kXukbqc&4BDp z-3-Vgwz-;g-lI>Nsa0c=p^NMAR{1Hn@+9SP3VTptvErA$Hj<1_c?jZ{7p5V8?DI6l zyP>2{!^NijGgQ_^e)%_;^1}gjC6va_>`Fz#Rg6^16aAD^ZAx~dFy4kxOe*n)*p%Uy zOn)@*%V8|$Rb#SUd(loqg~}Z1KmMPj=v9g{Bl1O+XHH;9wiRNLkgaL0m6t_uuw#sF zGS8-n2G_W+$y-gGwzsuxkiqpmbXMK> z5SFU@PMcu&y5D@;)V=I6_jZdqu!D_Fv zWv=c&m^*vy^nwK_Y&xpluZWbiO(jhTppL^LxH3Af4_}=2YUBEYdAVJDaQy)<71Imm zy=;Vf8@!>M9b+=GD)zP^p(w5%Z0o7$96slGKl{YY<#L>J&PK8YqlyT2=%=b}a$TZ$ zPCQrM`7VPTdX%Fp3vCPReZQT18BOd4uN8*^mF071IL*UYo+``D!6|2v%>R=|reY_r zezR9kr=_0A2;R5bX{q)KO^YlaGa>iIL~TJQy3mgsbT90gWQWdN`MIGp3wZ=rOhO9p z{qhw^O{{o6A($j+Uv|<5-&hA-2irV9w0T^Ur&@Vt+dNT4kf9$LD$C~$Zwyz-2;mhh zVW{z)f0-JW*xbJ|xu=pF=Gr^L7I4N#s?^wHSG_Na*Ws=E7$(4s3!(UNzI=H)xA+L-f>$~F4Jh;LZKIxoBLk{Oe z%=T^WhlsST2K%K>z%Y~r0%|P5etMlSzNNF5Jn(PuCfy)635XLps-N6iaNej5H$`Y6 zw?aYvqlZvCRb_Rm(gQ?{%kK>M;<|e$<6C;s-Tiejz60Jqq|{!5Lh#hp+vfY$`(6@= zwm8rF@Gf;+&-cCbc%DLaCu)ATd%s3%1yWj#)xsKe zNl1;Y6^I!`Eu(#=zJREE>L3yL*=}pOK($!g3Jh6V8`x>ocK2STYLBq(+JZ`)OKeQ9 z;QB-(>wK^cj?;C+Wyjg{q)@DHxnNC#w0k@(Tc9-2?k`Nx$Hjp_dX*CWv-eko+_9iX z%9}$pl1Ce2#0u}x2D@qqoHD>Eow+8ojyl!2REQ5iy1da0nysO+HSl~?O*h3PGxcji z6p0pdsRsKU4nFD(EZrjgBIFvlv0}PiDn_XW!(*85&l^Unc#y_ctne@%!4({3$nj2k z$xxU>2{EF}c5lnu-{9`1vwHNaV)XL=E>yTvOA-Gk)>p8H(uN`3-m`U43S;S6d`PVJ zUVCI`g0u+I6YB{^Cil9m#Dzwt7O0UqfE`Bf6ToJd{pJR_@BuFArMXwreJZ2 z8f+wp5v&F#=nN!qMkW@ERIEyA)24W}E@ej1vP_y#6OPkzTl=fM*S6Z*+wIzFm&;-; zCV(M`tZt~SxYYK9aRF>0LCg7lpXZ!evH*J9`~UsMdDrKC_UCzfT&Ar^SjTzK z3jXS>vo`ahP@cT|stmI0b1Ex!+CO?gti#62SNT@3KHzu%g@80q|7FZ(2X>lV3w z!@aJ@Yy_T5%<-+yK{Ryyu|J4Svs(b`Wds7<6fR{afgCKOcGxQ$ma>W2xgpoZE#Z&S zQO7@hpEMIC2z{Hq5TKM^4A)-8KGAgpoE766uH$>i9p4S^`0nDzjy;n#z9sTxB;%7R z0$+X4)o2W(P6m7%@FCCZsTx*5a=~5{vYhagWu?o{3|srcq#^)-^XxzTg@F;2vx&?O zTf%|b(xrdm9rSuqEh0LaQhNygo8Z-&veJ0fIY#p>6c-DT+R94p ziXWoG>&8Z8G-EbpCER7O=Dg1r<%vj@4~$)znC?I@82COB9-6;O`zcGHq)|$B>E;JL zOJM90W`8xt=g_*>-Pxb3{V)DJKlYJ5S+oBy^5on5-gl6+lMg0Lpx^C6yxMnmY^<0J zL8f9(^u~QE9x`1q78q1Dq2G9`>{Idc#72eBj641penO|7cd!45ABtEbOGDP&_njx* zhn+lBqgIu@{eDrfF>8*0)hlYVk~IvICPi0qzMOHUllmhHrq^aN1C<6dYcuahx;85% z-AA&>{p2=2kqli!RQ}52jlk8m6#{RmvDHbLRULh!SA)liUL~I&JG9j-l&o4ru}Z4D zY~tgdYk|Lg4JUMPT!$n}46e(`%9Z$-yEJgAt>(QR889RCbpyD_K`A&3@NBLU`P)@?<{A zBFm9jVTeQo{RC>UhjBG~sRUgq`V6yGbRm$#s+?GXJ+Br6%8J6A=ot|dXc6OK`Q&Qq z#~l^#2yHo)SBX)=RjBCLKR18+ycasU7iS4=CQL;`9RGZpju7H3@*`fA+u-e$9ADct zhz2}*!92Lr}@<;Y- z;ARdll$;0}oDs1a#)JcJE?t3UnOS*4=7}JePnB?jJQLH-<4S&a5ih~W>FnudYC$0upxz)&n zbTx6RQCpK1Hk~Jh{K#Yt%u-~tQ||d?vTsu79o^=R^93{ToU3zN4#o9RsaIo^g%JKk zxGxTkWBKHO$8fpHsSnf53Y)aI5{~ujG^L4bU}ny&!3`d*mQPzC(G;j|Ms*jg_c$ELHFkZ&KFF9rPNlUZKyPI zcw=sKnj93x7WE-#Jz6Crps`AO$G#lAygPi+AuvPT_LsOd6EkR>m(qD%h6Mz-7d-OU z-gu&tuJy$e_UqSi#+Fo9C=Ar|e~^jNE_(HN<2RjgKilogu-*`u@|9bcpCSD&wO&}g z-i9G`{3|JAHYI(rccd$&jIKPh=duCJYVZAA>T8RiV>*b?CVAB0M#7trBA?owWZ|W(>|mCB_jD7M5LQ#=8()Ke)^| zV0(IQ;CgLle0DR)>YzS+1p(~7O&mueP0?dnl)?b;_x5Hk6BYV@OXOGkC8dM{v~OAZ+hBJCyBcJ>j)O+Yzaz^c|h7M zv7f*9nA2P8Me8-N#BH{++*vR+VEZEP>)Lt!c=pBK{rDKzG;Ecat!TT~%qeV{Qy8+$ zVXfw{aAFQviXQm~=+S_RfRnUuTBo{ML=&@1?1kSHW^!N zYoW2`^1>Fg(7If@MREYQTg$)If1(ELR(ioAa=O`wFb?Tca%00dp>x=j>BC}qkN7w{ zuh&NKzBo>K3iC<2*35-$-2wFniwk7ViLl2Gcg=TwC zUh8apW>qsZV24ukr<>nU)wJly)03w8!us>iL1k`UV7lhZbSW#d)BXVzNgj!GYFb0> z$5mj|#)HS%px~25!Y^2bSP4|;@Y*|rB!GY90cAj=pOPOWOzJKD8THz$#-fKw_}}f! zcVF1EoH;pYC2>K+y`Bh~l(p z0NUlY=<#sUjMeEikP37PS}PRi8}_+6AvzHYbt2M9$>1DVDWBQiou?hn-XAY?gbLwL z+e_@jTSY7#8^$1G&7pjWSwCYKq)Zr^#b$5)QC>veS+GycspTWVK%3*A2K~kGeYzvB zs01B^TwO_BBfNUPtU2P90m-Nj)8|Ptv*`NTqF-`C!{T@-M(RUk$~M6hUWe#RgwE8d z^*dXiMQd|Q>gwCFyYtIk+MQCjJ2jT{r7$dIdi4mqMg|gH90Kj>wXh1mL317AzWhVu zveyjky8U7x~#V@)tQ5|PZ zE|>kj|1b1~AL9F7`FtAR6fdoesTHr+5=DUpe}5a;nT+bBxlCLuYE>O9%$?{HZslCx z*7MBP8&Ig;P!epp;WXgJXs+SY)*HqM@6G`MRx0b)olYW@4;yOxcT0REOk$^5u_LAL zHP#Gj$-mHaI@q1>q8KE-c}Y zT|Rxqw7ybk2EI}fJw;Uf!2DpdX+~jT*JddUhEo+J1Y00Mpg^>MrmI{OjbBp_qT39B zlx;M@X9nIj?*5g0iGA)|HW-F3ZrEF;s{3;M6GT9e;8D~OX8T)tkh8<4HPWm&8Xch` z(+p0)egI4lrktitV({~wy{W1qPtyVdU$VdTA zqE(LW;uo(ph0Mmy05NDQn-t z+6#G23|qSn5S66 z&ol#vVxv(;a;pqQRhA7%=E>TI{?h&vYsM;|SQ1g0&ID0Q>LP6kWsLtw=mA_S!>-MDDzn~7) zcF3v)?CE9VGxT)3BnT+we<1t_)5@$?E<4BTFZ|SYlSDJtTuQ!+Ql-!#PoflA`kL9a zh2BmK2i}kUSQhG2|&=7q8kHOo(LHKz2APg3)%N|m&!V2>Sj}L}#C50S(*1B? zZ&*MADC>z>hn8JHJd>>dRr~16uze{2;6U4LzyM+V`A4LWiR;GZnt?wq-NT<4N{Ska z%d5mF?P(zs47n+In@<6Lb&ru@zVsPeaLlrOmuzxK8XN|S4MU}z^ z6F#L%*31D_f~JUX@j^BAO+lF||h;e#c7!lh~J zcrxV_>B;<$5iC)vo;%cYs7{zM><9;imzRMMLS}qjjn=ezs|;pI+P*9MDMX#xX&ABy zOot4Il0o|$c%aH);6vcncr@Q$Dv#8*wsa!cM~$`aHxmUygZ8x)kdm^Be767?+{97S zlE-d)SV%Lqm)ifW)ttTx?V~Td!rr( z{@bz#SRdW5uY$d;1p|!<3T3b3Fic^iNCHMI<#YHkXop2(Km|E=IV(xrWnJKJi{Af6Z_! zlh`^Jt3czMamJ^BP6P{kGz-v;uipt4#G4a`(XWhw?>3qxpQUNJIXBG2U2M7SR*2n( z_&JwpJw2~u#`$5h)q6vR~t^$9M;riJ!-xZIo8 z%ks!HBKwkVF?}r0mKE?)vhGke{jC%<$yIkB!-?Pu1kzXu@aIm!S(Q?o{Ricg6@9wL0M7YLFA{(~=RzEm(hOMFA2-7MXZ~ro zUF{i;$!r6VW&;?gT(_^||0|w32I0@;>P3Nf+6`@D0jgX1l6hayxEJnwMxn&}W53$^ z2i5Ssra#3=enRVnQrX>)sEN6YCy&<4RH4hb_wn}~m){jmI^$fI(VVz_B%Y)sTP8~B zR}d~l7P6+7s61p%2g!y|I7}~X36*A5@?p#Oo}DcH1oTH(d*tc0B2$6?1*$7$QI-g> zLQt-f)y0UiaE*8>8O@s*EBsGo_|e}_zw3=>?|uBa@cQlE)U$X?cz02FUCQ9alcl1P zS`r3A$m`X!_~927GP>nQ>PGjFC_c(vXq_QA%>ByVMLv5p#Rqwj zhLf9qFRnxB>N0@qU73w{7RnqfP4WMYp~AM`A0S=4FZF6!(v-6ymVHF?)A3&C%o(Cj zm%iO=yMT{FVE^!eJbNuv33r? zl;y{oT1d6GvuzHk%I#L5A!3Eu%c9~G;vC5f120R30mJy7HznNIBa4yQHcQE6)fU$M z0uf>qTivm4HS>snjfe-Y@_ zk$0exqs{jBAaa{r8}nXs-Rx_=R`uKyU%DuKgiLQ6VH0qWN3ypsV})>y2_LZ$^D(=n ztkTHs-!xggiZ*lIkXLtijJWaOdEuruCCDr>DCUpX5D_Y0ZLV(@!6_mtYxXzQrLgI}-f+s=Q<$tSvj~ZR?b~ipa2%@)mU;!eWL_t=B!h*Xw-j-ZHPcUUP63 zJn4E#bW@h)%{u+HeKflArP!y$8=5%BbT+749kzJ}YD(&y7u(Dg}6Jign@? z;PhI}ybTqd#DLKnw+VG{jiIOCEmdQ!aQvssY~XY}O=Uj&uaCOTNF9^+gx1A9F5_)R zpx+ADRBYFX5X+FQZp8(Z*$ zN?cije$C#ZW9VgfO;xG*zqe2xG_BjlnAQy?8Kh?B-BxJkoo6;46C9-|&OGvGCDdsY zXXYI=^Y){vNTWDWRCtI`vec(goSAn3r#1VNtaNyNYPUqtYoJ|CV>|Q6TN%WLg2#_@ z&D*kl)H1bAP>&!wGY^YZ3fIAy{10{vwf4q!RO8{gw*=P-yYm5dj9Gz*v{SmB!L_L! z^Y|XwG3~#VMOF-_xK0xR%C^ZSL*9C%*mgxW_SFPc*=H*yPU9IS>;LztrXRuC1(0V^ zh_>noL?5MA3ePBFV%Ca;Li}vEaA}_iI{v$V$2Zh;RBX^za;Bkv<3AW!+~JAaeo_ug!!S?Ic641EOKX6f8? z49*ewOzAj}H$Nh8;`&u6+Gl$tGzh!6iCx_^)~JY8*)q7FRb9$P?kq?>Y<8%{Ko$S;Z1??K|P-x~=$z3eL)nq+P`=LfN-^A25eiTV3+;cu47e`$SW zoi&?LcZ4A@%4X(g*xW}hKfE78*aq*)yGI6_M91t#yh(9ChxdoZx6R0@8R5|2(lvqu zAbX;oW}-3}AbU2%pCMxH9-!~FL@w697}&M!vlYn5OUp_)fkNy*b=Kvz3a@p-3(xSTWwCwsgV13e6e2(t6b8H zLvZs4rVJ5GMZphuz?6t%6BK(z;pK^|#yfa|la5QZfA@I2G43$n z1FFZA;aYd>Ba8k{7Px}w1<2mNdrGjn{~7%$euJOTx;F%aeh%+0UL@rO=(9;qZ$p^@ z6I1-}Jgc4jC#xhdB38U=PX5#@^J4`eYjd(-Y+KV_2S^%)$+^H|BDaUu`0T%akwXw9 z*2_Njuy!VlozU8A-}EmMHx|1*B|nDNNg>r@ZMPriBUrZc@%xX|x8ZC(TF%@0C@%d$ zWYU&=0}u)ab}ik{;Ow)trsxKlu7$tWHtgjQnKpNR(DUQ>F0K%;$XArt)o(}S3*~LE z33M&{RH$NmjkR0yy^+*P;RB6Z=*XL)yk~mD2VTD(c)Tg#@eBuTWP?X=eD{o;rG*@- zv)>o{P0E~>%E>Qo0#D!;5nP4HD;5HTo}t{c*@LcmCOh?=0=h>}vyC*RY$=K813PBo z6+7)o*D={jNC)eSj*Q*SCWE;PP_3762Yb?)NHf=ib5YpvU03W zK|!;h=OsSkz#yvb{k1D!S{~K?gEAqtf1U^(*&oW=(|dkogL@OSUnXcz$k~`ZLGJ!J zgL|0Y>VbpS8_*I&ztUT*jURt1#}U;Vsfr`>6#;f)6s03PFA(IJSzNRqS-Uq6j%am>dme@VPmh#}L3nZ%VjbPP8PO@0x6v-hM zsZz4Ra^NRY-8oTTWB~>D6f%hsIb3sVO$&7YXiXJK{?tT<-&nb`O+OsNw{KL^vZCcb8$qvhgpt{1e;7lvf6El zRBRbIXVx&v7E4(z2o{3M$Pr}kr4F`9HDP>@1mxfr*lhaFYVa3@Vir-)LcvhVnyMi& zl4UeAAlY@H@<^a9Y)o&9(7`dLj4v+~WIN&NR8!~>);_{XVpdLhz-3jY^QCI?6uisy zxt6g~NxOhz^MHGXYp`lc>^iBO^UF=CC? z=E3sc{9_o`m2Dy8+Z&x3rj~#RaX?jIcKOoHde4>dz4n%&eV16YR7;hwEA>oS+Ho|> zB`u*6enzx}M(}e=OXw7SMz(}TqJ2hFMF@;Fy_8>oY7kej`^wWpwkl8K%G3L)u9rOh z?`pL}o__5oS@Lv&kSN6R(`%%56{(-(y@=EotG zN3xWFM4m>*T#94TO=CV?Kvb!#U|C1P19RGt4GF2{jz%ewXx-E^hdXP=2 z%>j=f!F$}#AREv8oC+H^OTE3=M2}vi=F^kE1~Etd<*!274ItS4Kh2iE{=l6(RFa7@Rb6P=4FB7l}QVUgHfX@({{eG`1RliHZQ?C2P#OHX#|<7gObG zau2cTPr!rB2Uho+(J~|U*0#j%w!?yV(W4?*UhZl!*X09n+m!h z4Z$(ak^T?l{VMo4Yihvj#Be#$3MC9m-{dd~>oOp|#2zbC3^TFqC;XC9{7)WA*pcpn z0)&7;*UIv&ImyOo{nn#L{2X62TPI0SoPF@eGLpsU=<$aE5?Zm3G_5H0MXbG&Bl{C$ zE>Gm28s-Q{F`CROl_#dt8OeJA9Q)^`;nBTs1-GOhWp_u%@42Ei-C4r; z4#rMhUn<*amkiT_yzDYL&&r;mcmeiOboa)}f7dC$i8F)og_S0!To9{wKm3k-h{;Cq z1G)O_{i5ckm@(?qR zI9M(qBuUd6D;WB9@rDh{m9$ahw(+{##+&?v*3FbQy1u1t?9a4uw+f!PUevNeGG;FE zju4kdb<-Wg9{WmRjC^K!VCbk{7EnQWzOLyuyAW=}gA|>=$FVIBW7-xu66ZwwFb;w)Bu`jPDTjX#OE%{ze{eJrp*^cOpyblP3=u3)=a( zcq$)HZaZXLQ&7%TgKU6X%t7^XuJV?rmrxs2Z6)Q0WI@o)Q{ zOaxtb{JZYwGGSKAN+|z&J=XVaJj~jmGQV#8r=;FFXimANbom4sHDA4_=Z{{&tPh)F zFBa5_8fy!@^CmMelk^h8plIca3kEjWX#F14Pg~JBD2HaIe5Q0c?(H(YIPY1XkOnTa zPw^V)a2uGV4QLrJwp8hktIraRO7RqGbNuH#plLYBb?Wc@hUX*=B@i7`j(yJ$L6a06 zPSMuWySK2**reAo4Ur$X(;)hE3cSTD$NxMJfoG{}saKc81qsp1v4dV+8>JRzt<*aG zC;F9-uUyhqO6`3V@>0@`M^kgX{ZO0Z$WduvkDd0b@D-jpGDY4|UY;teDcD9h*39}S zJ^W$G+;Jm;CGDyf3>|lX?CjvVzGU4`D^2AFF%K06}iUJ14sg(cA`M-?+ zPYbrl#?-MvrX>cmzmjWXA3Cb*l@aQ)Qu_%Q&x#I!~o#N`vTS(3y@ii1ZX9P;#l*?J>SN2)Ohv{7LH2TE1P7Ke8 zuCEE;Sf{}~L*(!*1-A?Jq@~G+ojK*q^r@z`xKI*%ehSZFIt@p#?efY%{1eoBA@6llui*sDpDCs3r@v>xn|BN(&2|NF%Ge1ZrZPqNOiQEX22 zAx^V*z<-wO_~f^6$1~O(LJ!H=*S9Z_!3V`(+>BUnc=DKf(hkcPKmGF*f1N5xkqnLe z(xEzYDw7O3To`gNIc*c9{i4Hx+M5dlw-kQ)adB=1S_ES%XQy4laon5le()mpxGk7w z9`W_x{Cp!b>hV8`f-gP}YKNF`@~CghorSm0k^w+tbj9EC_(371!^)-qBi;VnkA6>< zN_%<$D%12tVPJXTm+5?(HzDV*{f8e&Dfp=rvF$o=Mjzm&KV4&4cW*56gGQ%o9oRr*RfuUXM(TLlc!jKC*gCxEuImMh3h+dQ( zKdA7+OAlU1B>|{;@Dc!CSQ!9H0&Zkx+!4xv)V_=YM*4T0d<6ulI*(QgSPS{G&tN{X z@)i6Zpc@b$ewxoRi3qN^UuIEp#(?+`drjk;uSg>h1~2i|{bdK_fmQIa^^gWv+}gzx z+kw48;l6N)DBQ(+!kTt}yHF4MhhDylZ!k-Z_^wNJM1E0pp70-Q@d9M*Ct-x2lCnPg z!CYx%uh~vQAFKFUsYpTqq4TKO%q<1AJduC#X&r00iegSEp7(pQWAB|r8bG+TXV4N)G6f=}?oJqM)VyJ~izw>RF0*j~XY?tJ(S z{V*a?I)D0(@ETm&m!I~&vwkEsJN^r3ceO~7G8>|N{JPGrH(!mLU*T~tY0}d-sO>~$ zIU9qyOyTK;=_x$@8ewE*<9Jg@ny($SE`*yYoa0U5@9uV|aE^U9Nn_k8WZKH)V|UuV zD|(781;cqF|Ln5>1bRz#cT?S@HuiJx*h|?XYJa~PRtP2XFq~IpnC89k5(Do3e&aE% z)Bo*p>A_2}$)Z2mM&DGBq2ody{Gyff8??`$=M>4Hz5IKpe~$0s)8_IQ@n|ItLvsfW zW(X+y%lpj7hX2!VKK|=#srk5r@DX=D;?we_y4Z!R{yB`v@qhapzMS7)$JN+@HKtF@u<2u}K#K{NchrJ-9ln#%;gL)c6;! zrAB+r$3z4w{=sipnuPxq9y23d{DjusBr}cSV3XvbPx#y9bHNXt_N(6%MrNB`FKd`e ze=7@-l2=|7afPV!Vo`3TSa2{HOf5pWNESF1Me~9!lOCO@Gd1{MeL0U_pbc(EukQE{ zuhxwVene|<3Fjf+F-fk@7gH7zU@7>6h}>-aO1f;$PHd?e>Hwe+$|Fev-(QkO5Z$Fk zm$E74Ri3j?gx^2Z|5UeDpyxz zN$th4n@|h^W~FhC|KoJXg9!M8R~&y?s)zU^e@n`t3{On^*Y}I%OZg{7Q;VoH!J?w7vP`hx{EJrD&8=~qi9>W{(WQx z$NwhncI2N)l}{wElUIBx_E}?1k(l-(Bfa%{0`8V(E|zA-)>Qmi6D*`_`LS0^@+0!R z;^hYHgT23JV?o?ym$B7uDhmobW`Z4hDe=>*@5y%`d_Ld6%Gzr_pW%V7c(3_vSle$t zujbJ|aX#+{rT*jd`6;iKf9`yy^1pz8+5c($>+QWXmJMK1`W;9&71 zVc@3XB@aHb@hNV~E@R4q!i!QC9hjovls&39I7TEtXZ9yqEAL(kzWq@BeSOvc`tu~c{wMUO=}nyA+Xd_fD+`H16GREhtS*z8N=)1H8}_D))r{si zFvzowJj2wO%53>1*DOOWjzhnMQ#e9?4-TrhTJ*iBTuKtt_f=ni$Nc!wYol}HN3V}w z5kI;#8jc^Wj@HDFUK+hDezYPwHGXtj^yBfPfoNs?=)`D6{OASIbK^&^i=GufIw5+R zgU3u8@;A9PejzBT#I&l(2*5Op0oJ?s`rm8T@sDqOGpLeCLDfUBOP+N}p8yCt?5;0y zYpfa3yeE1{h9YrN;#4NVaSQeLv9c*T~+&49Lnz7+Y$N!raWo->yP;!Z<_ezl8h4NRsckw_@@V_PR@Eb-mks@;HiP8Kp z7ar!^#?f}AF6;j7yJ>-~UoL4Q6OZX*v!WZLgs1OK;rG!>AChD!xYsR&JYMm%;C~lC z6nXp>NC8Ydo!W`qjTLaTO)|eD=&;DYb-znYd*N3ea(?&6Mstyr%)D!tcT5E&j}u)K zIIa>G7z}X~w0B7Sej+MQru|!XQKIqTLDkbIZOe1#vd~M6LBb5P5-26@VKDL;Cy@T0^gJJHi{(Uz!3VK#0yYv0C*TxZMHGSu zQDUl;R|uKseVyON%>txpwM`m^)!(wzB@EHeN?%>f^a9P-$WE=QzAjeKk#|AzRAa*d z0jeigD!>)VbqCiid8=ly=9}QJpOLMiEH$`IJb8sEh#!MswDess)FrPGKTmge$46GP zFJpNfIoGYi@5*VzkKk=eWce!gv%ia~)p!J2-s)Rl&f?iE_b}X)hbWqXPP=7r%JWnd zGQC|-b^KZWtBl@MTa?th_Tj90E3@lmKVD5;o%Wl6q3hM^*3D3by-E8Hy83zQx>4#v zF)WH~LS`5<}GApQ5^^Ql#r@2JEe{ z-mk^UHGMBpdBHI${heur3Q0Pby2W2q?hE_eXF~j>zR<6HUH1Y_X&}nF(D&%jo}mB_59{%Qg9pQXS0yTywE^!ZG6&M$S@q^aY~b4I^)bE`X> z%Ahj)eRoLLw`nKcd+2MT;7S)N$e+^6TumR=8X88Jqhx(KZj@uD0=^&NeoyztbY0TB z_NSiuu(c|`q!d++O7SVchO!{m3sIc=?5u#RAX`lFn`fn}yJG2PeoQ$dv) z*LHuIcwa{#%wjQ%aNM$3yXKkF`IZl>` zZXjKXKZk5v&nZc&K#1>A@}mOcHa_d9sV>clf5o{VDp|IKkV?-F{gTXB_Rmw9u^5l= zqi{7YrJcOBxBev`fe;0ZaSTW}Sc_v{X|KAhf@}Uu^Jy7t3g&XvGK+jZLXp3E_ItuZ zl#;c?ml@53%xG2y(a>Q~5jyM5|LwfR?qwaQMMH6x<6pi)ramV+%JDDe()y!S7{VdF zqzelI1#6*i!@B;J>lW;naN#6cSm`WZY^?RqXMDn6&bBT>wR9N0zOI?_XD(mX*rUEu z33jlu8oh>}_Q%Fwc6iqKwU9eqhkn`6dbI=FSw}|Z`*h5Y%F;XjN5~`~eQ{w>sJp@> z2^s_zO(E^_3u(XNYy3jmxy66=I>}GPh8puT-B3dq0&2Tc_zA7^1L3x@ST|IOykJ9B zHoh;B!w|4e>(oTfV6EER5t-i?)X4BR?hqV$@+Ir`hV9TAQ=9FnIw1=DB zCra!e;p~{s!w3KManS0M`stv=CkpMA@|rDb(s5Cq_%GHdd@$EC1)pwQRtl4OXamjG zk5AH3Y?9keg7)SJ6Ei=XhNmZo3-AjmZW`ZQh|v|~Qu{y}xm5C`hBJdou?fmo zm-9@(t&f$>=YhxwbNF4y(kr?$rFqiT5Qb(1LFMGX`>J%&Rh-rV{jyQu%R0$Zr#f=G z4eps8R_wMo!`G#3x|I~H7o<9Y|C+#`V+(5%7hS9kh3hqft+zG$7mf6wp3EFJX?ZvV zT%3m^6`ijAF>DPZ0A*ugxXFgKyu4fZxt(^fS+M5cBS^I6A?t7zNIg15wg6rlKZF2j zS2^KcHPbJ!}XiB>}gx>7Ksa<%hPWrFp1@m;?a&OW@xYzrVRR~JpACO?kdPY3Pe@4rKZr@ryQu5~v z+h;cl{G%Ho#nL-6oZGmU)Fke-341O}W5S-xQfaCReq}?;D#->GV37@+kTq)DEYK7h zAiJEa4@>Dodf0Q#KTd7TN0-TN6TBWfOZTQ-7MIG4KkF4qZOiXcup^J-4@xDizg2Ld zj9dKK3NFyA8HHBC`CQ>p0Al|bR}HN*IPXe#gU7dHyB99q@kf`4x&;TXgx^O=4y#oQ z(chM)w|;Mx?g{w2gJfoUw4C*G`Mc#zMHYW|y3EYL{N1kqlmWn_gvZ#20lo}3_rMC7 z0qwYFt?a`edH$H+r-uIim`ijJJMB+XOQz0<)~Rw$_KbK)!R(XodSfqck@f52XMM8w zearW~|Iptj3SRhuPM6Cm2}Ar*xWuU6-Jew>VtFj2+C9wA=wo`E#ZGS#EhG z#Hkx&1$>em9Gcn|%WWCqefvhgZ>0wLenLYN6`bqp57+t{I22$IUcJ8LkcLp8Etc27 z95%J*N~zesKDGQ4zITtukvQm5k#9u?TPlcfH_YmV)uqextCue?S~ZD(y>8hP&NCULOsFC+} z%QV)w)mN8E?MI0`QNF*aD;K_xBLvR!^t<_^VUdS%ZphA1OMXd9WV8<+B@);o{%z>& zL?h;QUH@j)KeKQB4Tm0vRZ2H-w$Kw}ZT?-;jkUw3Q-8zs*8J%Wt&zKOy%t{U-@^ZP z{hHqb_7OBjo;v1<#Ijq#NgeE&m0OMG-*b&iqR~v)BPu+f=!kx%I18F36U%Z{^#>wj z4F?qyz|+zEQuHF`6IZFNfZIn`Pu&|EL^u+#wkjmM4v2e`L*ipt$u@>s#xN19KHB_J z4D*HbJ$tO0iK@XGBYs5Tg6l)t4o*Vb@)oXt-L=2s#1Q2>#rz^A+ZlCD(o@q4{;6({#($)+m?cMg&w}_ z(!`wM62V~<)yLwP-3>P zHZj+ioRF$$g!kn}_sb{AutilvLKY94HY!V8?sG`ou_=G@o*L_gT5F3`TtqaMv$1Oy zJdE%@sLFI;xWb6<7v?dILc1=#T0;Ln_81lrjJMI zeYDsctZ@&j7j{AR%uVY*bNcC(Or5dzs@hx*E|1nUG!Z~`X+zV}+MIvVENUa(G**7_ zX-s1*-Q!!M)rWoY=q2m}ws7c?+~|aqJX~XJC<>wE2<2^U-g;+IZEj~M{_2XF7O`rE zjd!PF26ojh?j{U_`&(wxlQ&?~K=s-&oVa&S*QfG0rhmuTwa) zvpoDS`Y+EP7`+Yg)?1_VmHiE^D`M6i|G(WL`yJM}bug@6fsmO?6cI(wQT+~5&6ZnL zzf&q+C6%JNNA{^)l>=^h#Z~1fGxQn31t~pON^zq6S)canw0*~K-z+Ut)gfcVVAS`~ zix?bOtW=dipZuRUnqCd$DLrfFAH=^QR)wRCuJmQ2-DyhCGPw5)z5jstxAXY;_t!W6 zqxkm><%h;-e;THYh~*12l;(im>IEVK4ig^o z>cc?ne`>!9rerMbWK2GmIT>rZ$oW5`B!5Z4sbAEJm zQ%^qj~+0nVHnq)V@noF*NlIF=l2EqgP{7&$#IPrk?uf+@_w- zN3Uq=`9d_@)YA~HY3li6^s=U&8=_O2dTx$>ys77wXk}B+tWehl)DxEX^N#o4wWmye$+JlpiY`uUE>)+(Z{)HKgw=RF z286l%5%?pgdYrKm3zKMbq6ICvPy*PrP`qkfY@|FI)R8;Reb)6INz>e?{VuJpKfDf>|F2J#Pl=D zeym^FTAB@6?GW;DM)M(FH+9z=&0Tu&d87Fiz4!u0#_2_a(Y!-1zGyT*p%*t8&5!BD z%|UZxaa#OZ>=NKLT&R)UcCyn zTSvAerUufyM&`eTeCbYG7aUq@y4!X&bq_J_eiECJ6f97JEt9*?TMw_mvjY^ME3ugr z+tkz@mIay@Q%A(}I&#Au(|s3rOwYZDWEJtL^VWS{Fb}?PO&jy;up7{gt{?Hp*+l=k zaC_9j#imi0=?jzeU!bu7XpD`QPI2~RTSx99t%W6@M@{sQ%5teFxxhi?+m^hVnF*6{ zp7KLGa&M3V&c-BJFk~=Oc{wkJ>m4tHEmOUhW$w$<ORJMEu&AL8+-X85eMDq1~t?^RW zOHGcy`3rJdotsD_(CvmD{aX5rvQBy^$|JAOS)=;%&6!c9X3G7ArGi2Zk53s#;swQn zM$N`73Z8y{^GV*{I`Dg`{Y+!ckT^JVR2(#D#6h7&aj<7Wyk}OwlftC@L@j?q^qe>- z_l!80_0%}{l+TyQ=kw)r;8H-T-$7$;f5*n}bS{ed<98mrIA+A}{Cjxd&M^gU`P1T4 z>SLpgHCK``;il+tN~>@y1xKh>nZ-*-IYqBp7J&&od= z8%9_gy_Y*eEhT&>FYOfk&_AR3C>y(vA{YrOgqlHv5Lt_lRF992uAUghR=1@^?9{6U zBR%bpUp78A4psN3S2IFPrKBkFe-mnk6+K$4?Nd}t>fOc~K8TK7O&yFQtB$whmraZ< z;x$hx+%khB#ii&Nm%pIhe&xqQe-i%v-@xE2Y5}*|v0k%;S7*1_yTga19bx1dgIe&j zRWCXvz@tvd`!Xe>?0T1rh*cCpdQw>lTdgl7%is@f5sRM~%+UY>8$xs2xdRtn8#C)j zE|_4y_go(vD+jWCL=G__D&ANrZp`ti3yhVkxR6g;isbuMLs)H`z_)WBYPsBJtZA=n z9l8mi{z4qSZ($rJ@!B}d->2d|pN(EAXf7gHZ4Lka2^Omod^R}_<2X^!+j;Sx zP_#@Ceg`)Ze2_a7>h*K_r3P_|At}*4ze5y2XVXHC2Fe6 z?MKv35u$cmgEWafl9&|;cH*(JO6i1Dp^o+2?AcU_whQlxuyt6pk0WVStbd&pj3mC$ zExrnETzmRF@y}ShQ1t<`)%oM+?#<}b``M@+@znp8K90R(Y#8qNzos`v^Y`FijSW{& zqQmju=9TEWTb|KjqYlaFe1;h;{G1n1%DDX6z(?TTIC`S_qx{Z~MERRjHl%9C zF_PM}Cr4M`6f4%7dtt@f^OA!?>b^)f1*>@QfTKjEdQlYJlPkyUmZHdV zna|a!sA20QI7Utrca=w0&G!r#gM7BlQ19>cW? z0uT-C^_i4%Lf=5$<7%$;vBR$Jr*ufYpKu)-hkkEe`?H4_)PJJyA47CH(fjI? z^zW*Z)PL3S>UYL9@+w76#hw=%4&hLC#@LX1WG^1R93~FkXMM&MWS5nXM89xN_V>=X z-M#KXbs~goWJ0u9WB@fwajt+0D!q(NQeUs~!==1yW;)IXAG*B9si7AZbHrBqe`>G( zrrtZ_9%M?>^OCxd`4LW!?;20?-q&}oq>ilbU(IfJfco1{^8Ue-y#Lur-nX9Q{b%Q& zc>JN0yg%zC?|sL4&w(5Wud=DO(8E5PLiS_&{ZCHv-k^mOegDqYCw{;4B<~*{;63_& z;>n39@RaVv#Cb}828AKm%TDQT0?fx2wpm!?lsATIX`95c8j1uPc~;e+*htN-LgoT! z#A7rG=dm;GlfBPn1m!!SKJsM>mK5Ipm-{o}!}ce8{f^8#@%%r3lJ{#*^8Py~c|ZCj z@87xV#QocHlJ`G2$@{xc^8PaezK?M7=N;dU)kuO<5 zSosJI!p2(%9Dj(*z=7DfRkPsFMV4d%pEEWL=C#Otl@;w-wdrNW0g)eh)qmfo2BG#p zY1d84q4^zCRCi76rj!cgz^IV5@lMZX1*ellzg%_SJF153# zwnr!FAm5p*NbBP&ue|2olp7mxx31$xhHpFm>0DOWu~XB-H=P~Kz%QvP1_zNGMkH&Y zI~_6;G}*V`sWE5&X4^kg;~r@J1QN5SSJw|ej*LCk;7-=Bfn9PzLlY}_p0Sn{T=hvg zp-ES81(&Sgc@5UATrx-T|0e9@ZL!_P+QAh0pR8h60MQ|9Ko!#>!m1OmYuNj*FI=YEd3V z*2Ku>IlM{!PW(s4@y;PNmL(4@-a4JCzsZT+AD=otHZp#fFDF*K4vtzlYw43nKi*ZQ z!=^>Oi^fP4U7HluyeiuJ4RR~J|8KcyT~cB;?Zi83exb3ZE@zb}!@?*}5W@!1`5Dr8 zB9xf#k6tMtQ-_w<@ek*kurtgvPar%bpWryfqGgxL?rFX0{Zf|e{abmJ{6+lIoap7e zbe5mRBJj|X*UnBp;#DjWUzRgIHYkoGW%L}`5BIwnJdid-t1LlRugGuX<~Dv7Y|>FD z_e#k=Z!!b-uKK8@@UQX0-dVrRQm4$o@3;WHiW?H)U)WT}N3U$^zARea5J2X09nd!d zP3^h%Lx2PNZB_oT2|e`FFy&OtDHs~GOv`{}L@J#)bK`by3xaVPHzChu8$tlme@eOxDxbP2uNwzlXCoxCkpH&Jta3S{p4 zPaZJDL`n1^hMulpAr<%GW_!Jtd_V)ecjXnTI2)sAq++86h@|{sTzeZygGMUUK7-hnz_SQiM|H=5awoVRo zAzV2>bfio2aO;t5yEt$vudSw{v!*qiPwmwByqKh_*`E1kp!1G%__&#-MDHe^A^Fsw zPjf*{0bfm#+y5-;ac#X9PQia7)8FVV{rjpN%;f)ECkNiS{d<-3#QS&bMcY zuFsWZS=0VPJvi?GE0N@z5Y4bSRUHxi&8=qZs4}rTA|YXXlt)X%0ttesL6e2?FV2*h ziBV;$FqH=_Jix7A9#aOA-|U{k%T1N=yK=rD1i2LdtLRwhpJ|CQT1Fx2;pvXJfVCFI zY=BT>6kY81L(TJ8_S)_HTOs?VZ)P zKO4!dE+tPoB|XxmJ#2{-e24G_W)pz{S|g+B(AL;ndce@}rf8u4m|6Wv#mlNp9Tss~ zG(cGn)%LL~fECy8vQv!672A5ptL4F2w{XG)=nmO@Bddygh>LqOYQgk+^=j`L^0~ui zX^U!#evjd*PhHe^%dr=IG2pAG*ahNZx@&ptRL8I9a+;OJ?o-cGn}wiwvjaDWua5KCCdYJ;;s z1LKzme;ZK2ZgSchT8psyfG04#0D)hr)fQu#0UU6+4yt=H`}9OYxN zSJrgaTKTKm?Lr=yaz@O)(2-rdNzaw%A3pOR^ACR#E_mvUe#$`^59=ojcbf}S(56z` zlq@EQCG6^p4(G(97r?AQnZz%>?1T8D1Bm3gR`4PAa*6I;kch`yn*w{MI%0413k|Vq?3TmwOWPS}tis3Ow zH$v8~TI+fFxCnmFY42JJn1$=#TbD1`XiEooj(OxSKr&mm(|&EHK=J`$C9B*bnRgw$ ztFv|x$zeTBxGCA&T#l2nrS`b()KhPBuEc#*?D3-yxvc1sH&WF%QN`rL$hdqHln|G% z9}yAP+FMugS1-R#$jUEEL@GJ-ZL571#R=5x$jO;qKkD3Y-m~$kMaIfF4WL{pirz&k zVfS1!^kkRHh8ro)4HB4fVPd9FDMp}u`5B2hPKMu-bh2PzK)<8L=aj-|-qFE_saBSxK$(cAxM5x0*2%%BVx zt;pB7HLZYaJyFw%J-SvN*-RcUT$h_kW+Ik~&daYZijDD3g%ec1-SH2hPjWs8R%X?( z!T{pff$3#?*7#I%5T_+%2MRF0?e>>vNh9uRV|VA6j7^1R(_1(g9SPU7*zOx+rDSlG zbjqA547mwgJM1dHiw zTCH@~yY7u7UHTlBIN_}b;0T$OwZ`LHjmP)cKD;E!^k#5+d?j?q6?#s@>q^anw#LjO zerq21g1EU?BBHN>+ujo!HTkUuj+R69G)E}1B(A=G6VF<4e%%`VlUNV-HB31#`l88s z0skT~siIK^bC~v@B|e|`WxV<|uk2^#L1Nnf;d;HSHH21DVn8dC=NfB;W{R41Y{TjX zJgaXX#NXw&7dKcTRd9(|^A}_7l;EVpb=DIN!0PO5c_Y7!^UUP)v*4#10^PB}K^3xd z8?5QBGD5y5yGLx4N=l*DsxZt^gEfV!Vw`VAx6xOWn-wpR4+X8SD^3kn^~F0gqqU@1(>B;K7bb80sx%9DVVEX5-ud((8$A8{bq1Ult4NdQX zRi)NzHxd4$zv-HFk4*&Yq+?D|z>#jQc=iqMid4yp1nu7*VgYb_Vwx@$nl#0wNx?O_ z(TUmn-PkZSXl&S#w^O2}g1N_n@xQFVGZ{5;@K}ck_Ae`SI=@=*ljI_>YmoW{f80o; zhZnKd;Y?GLrKyRTrq02i@B`JqJ>b=U!2Z(=>Q79ws9HgXWSQryI{srDN`WL}ZNOMd z-sbnJbhZi`0>`3x4IJbmQkMuSbXb@{*MPuO=me%Y>lFPiuz$^h@U9O*mk)vMC{3jNXY=a}NX zEdSzR`BMI^5IR$8zc6OtPkXgDIxM1c$`{Fg_M^x7Ce_|YLX=Mzf9|y76j%OE?Q{Qt zJ@TJz-}`6RcRYWXu6?if<5^`tXUg}sZ+6}C#)m6^*#PAgKMQVloff6NY}_r~Te#03Ag1UHzq>vT-X#G)s>mjrP(PT`%>c+&R(LPfi@GLf2Gk%Dwb zh!ia-TIwp^N2-w7tkT)b%%3M;j(J$%rJAaht2oxsk9)~n28DvxOG zGOm1?(Lwjg2tY;;V2a0em8i z{E?Q$x-TEZ5Xgsm(#i-WxEMT7*LhB7E-Q+Cw6|1KK}Q+!r6vdcrTh+rK_*?1qRhVXjqtOsow%WOy0Wxy z!noOA2g(MPaSn&;k7Z<>`_(m9WgK`XNuP8TUy6(q(Hi>6IHvTYmyDAo-Yg0&2wlJ6 zT2MNv6gH>sQn*Qe1v3|P-OlN`{Y;x$Oym{JM;w|X2)#6QC{QIH>Uwn1K}kcU_@0W! z)h22=&h;uza_Y@}eXUqVPd_a?NuG$ee&Z7Yco`1L#N!`}UhK-39|PXV_fe*92$NY8 zW6et|+Ylzvul^CI1LVb5c+U~;6Us6uA0l&8O0;X*T-oQmAI`HD%?ySuC{d5J3-bJ? z08pCW(++2tJ~wZGk}uK7EOeQ`XNJoNRGNGRAQT__Bb@TalldZFE@XNuKZ7Lcx>HOL z;EL0VjOQF3Pd#wtj%Oxz{(VTv4ChrDPNek6YqUt$;=K;xe$!wkVD3_#g{vEnEif4{ z5dtb-(K=)kqhTo2!oMxc;kP!i&}W?Y+p=90s7)-&&8DB|FUa=XM#t%qB>5e#5ooCl zzK5*$Dth7pB0YXc31fpk_dXyH>fW+;9$TG!cblu9m-y}miSPcb#CKmO@!eNTeD^0M zzPnE1yUAaDb99EpcV8;;-BTpK`yz?&zChx;$4h+oIEn8rmH6(l65st1iSH(@)FzF! zHa6r*gRyVp@EWn+Ysr;J^uem>ayHfEZHYgY8qT&FoEN`FMz>dk0+YTf=q*% zpcF_v*y2VF$glhS9vu>nYacLd?FyL`MmtM+O1R7hHhMPJHlMDOBudQntt&V-|$*weg%#LqcmlfZ}jv%%{s&r8mtV>Z^ zSbQFPxYbRQ+KU+Ra~Erq=eS?V(*eGEP`)})C-iOBHaU6l#$zFM?2Z{=~od^Z9PHU#{`iX z*s|=upqG%!Ox)mfeM?&)N`0bU)Edp>^x_Lf^J#iP-1+(%dJ!|4$LIy|>V{qri#|v%!bbDo=_RqSM)P0wqCR#eL!aeT-$Yd49nn+V0fNIL z?=!@s`W4~s;+00sQEX_4bG>gen*THV{tlygw-#I+t4dXN0hLvREeJ7T&P-ZrxXxCq zYvp01`2ju%>@Xq+t+%`G)sN>&DAHnM<=41#TUq%PF2mMv`QE+|=}#&{hnIDZc;ZgN z)wbC$Phv6Ok$Q0+$O#-XB1fnfEliF(o?OQBcg7<##Ze-VLuuh6xQF-(f__Kx^Y?IU zSe?7Tq>oPyuC>l}zn!!El+a^*M+3Eqo63A$Z*ZMi++ORx6cxLi{eE%gJ&TW8&hdw7 z+r!wh{54>$8nBh(Zh--Db?fz2t+mvD^gZfllKHpy2i?fP*fV_F0PGODWvQnX+o0( zX-ia+AaRofk%FIvk5YV%AE6+5>`y{X+N227q2#v{0@^DM zzgTGKhVx|v-7e8ARWiBF{!eN_%15y(lyY#7;{=|t4lFyR&U#9XrO#q9ar`UBF{>!T zN{Q=pL+>wT)08rpA$x`k{tTy85^!9 zZt@QPFTP{Gq-_4|$5bAO78x6`SRZT6OP)=V|K#b_<70(e@?>KsM^s-X*O$q40c=?7 zP|y3Bykd~VpQ^?KM`<$uw_-hq@Ch>+WXPI%KXvb_2{iD->wn7Mk`(mr5L7Vv7VVf8 zM{0pK?&cc(POJiLY;;tb9+?gw_;?okTPn2_JY=dx(Itm|?PC}z`Hu4qL4czBxZboz zTSai$S2gy?;9x-$)ALoW^gPEX+n<9itXZ`yS!rx&3v@M@LB>4TMoaA{?xI ziA)iJveWZr(m8$E$*bj*fcuadrBnDL50-?_Wf&cmzH(23kv)x7(qxTMD}E07d^e>q z_NRztgm79hRyN7HX$8@*NISPjDt3h1dvY;=F8`MZyAv!cD3;t5;d)#o+t?M7+OdB3 z<%#@UIwx}!^4c2;FA*3N9Xw6CALB4x^87V*(57~9UuYHn7y~$`*W#OToLAn$GR;{F z>G9YK|8CL_;Frw&3V&F=T;}=rT+~<$q%9hC`3?00x;E6O> zfn1H3t8uBePt_`r(?he5GqSBXNUvikg3kt9r)FzV#U>WWyA;!e3M(5q0>`z5iD#sihHnZ}0M zKF8m3Hc_|T^JABY;w{N^{3$j;UZ3T@zQKEqU*4u%sNlNf@X%xMVmSm4YwxU%;Ht^B zlMa7ZHg9?8zkQ)2?=u5sHCDIgpcj1tiPfWXg2tM+14<443o)8|c@m?Pn9`Jh# zt_pfMtZU~95}LK z9Dia%0lO_G5K_LvFA(y8499qQ2ak`Kc?XlL1yU>*QqC}syqx6peRm6x_ zW3?|CFvTh_Hv&yiUhIBHZ(lt6ohQ_O=Lx;v`Qd8xJ3oki=W4s(`LI$&F&2Fxivq6)P?~?L1aH&;6g1ECIqFpK!jRU z62kC*TvhF{v?PEl_^S)Ww^drFkE^jC&axf`$1S!WPPQHnmZG_MfKHt55@|ir+6WMD zh7ZCL$g6oc`XOEo$2S%5>KomrQO(z5(TPxJj4>aMq~MDRuXfHt2MH(0(S7a=`^sZ6AX>L2ckbp1NSo0OUA90rce#D5_-iII#v6@;Oy_MO;#jxIw&B)H; z-Dc~BiUT8Jt=EEkaV;`M=f=qw@aLtXV^ZG0*bjjMoz=02^y8Kbh5bON9@m$|T2Iik zcj5`y=gDn24=WVmIT4Z67+y!%+!2eSVRFpMHcV-$i!8?a_2v+!OmAZ2>;m?86jR!u zd4$t9*`GMw##V#U)T4yT1&*E37EFLPWM~v+6$V}(R9^0=NF9T>s=a{o9dzG&COi zTTs-L!?4O72-^8|yz!XYheyy45n!X>@pJfM35KDujM*o3plNKj_0hyw#u}taWbh%r zn1@@8=o?PI;Hz?c84Hud!CRO5F-_|9!Q=SMF1{hqN2tl$FvCYS;mM^(speRkNp^yh zU=C#kZHuWPwOZPL4{xSxj&L1PC0&QKh^|Abrt6Su={lsPbRAMLU57M>u0yJz>yYy4 zI;6Swbx37=4U(=6tfFfJ2iD-)z-wzeuJ2%SdXG; zBgnjh`fXS>-L?TOhYvNI?xPYj{K0SIvD$oL*5B#v&=0%uDi7MmEo}YC)W#pc4l9z3 zB^XBURpf-COFN47hdDXhYBH6Yiuu>Q$5F9CLzLHW&K#V2rLAJ5^SxF&IEssj5Tj@_ z@o6@gQ5fyq6^V^yj5(G*y#m8&tXu3PGen1DkUq;fT88lYld!*{>_NMN2{Yc4wu|5n zw8G?UYO~1)xK#>Y?w}fc{_(tqRq_(g4b`}6@%H>SHn%UWFcx4z0W;b2yWze~7#%9x z63QtG+)x^d6lLQz@v@dsq{rKs7o*NnGOUh=dK&6|sBojZ;paTJ;b`Z;+BB91#i*St z^Ktz66+ElLv%`J(vs!xg7~78!t^ru5C7isyCwj8SzmpV{Ha)u%_VPKNe7qrJa|KxQ6f|3=!zoysxORbwv`R6KO>g zm0zTjvKIZXJqp450=x0uBJA13GJMD}h`}zXMmgteeE)#?bxRSZX(MV3CIOglZ={kh zh9+39qeWcY|AyK@yYesEt zrDYy|@CjP3qtZ@BY~_{hEqoLKuPB^{YQrOWLR3NebTpFwIy(bE-3<_l&cdth&d>x7 z2mTi80$hwrvZ>Gq)dJ%@;59$tC&tBn^R&}U1;dYI^Of75C{y7|?4@D~IpP4$nQ_Bl z_i>hma0Lx~HRnAq{B|#%TkDO~$iy)L_Dgl= zXwmVW0k+S0Zhp+I5=H7$NAVlNR{Bso z_`};zW7o$Q>a;cF42);s({VkY!rIst`iMsM3pjj&>DCJT1}7gk9qv|p99{y)iJvjo zwBz#~4P(R*@z^f;5?`eQ!Qgrw_1LNBHqA%&*y}cprco_ksvM zcSZx6g2+$Q1w=2^1~fVN4DH+3cOLw1Yv;(jgWtyOD}8v6MFT}@xN(0T4G{4L)Q)sy z3>5bKFLd;DCIh7+%==%cjV|jJi{d?tvMp@E@km$MTLlrkU4nyMk!|>tTrA@zlBR~^ zH&P8h2^EU`Oop{{!`vgS0{Wo(kNo8vT-4eX<>LHTJD=mjcE+lGJvc zT^=dND9O-@ceQCe|0Krq_WRmxp=jShFpQU^Vyp1S_#gBIPJDR~;#4*$eHb>#E*qEE z;kh*i%pHu^YF^}9U=Mb~X_d3ISosM(D}NC^F1NH_7pJAQZWySuv<&oa2k6uh1~@o> zwv3yAfdXUyLUAdmKZt!9B&_GkLA=WIQ>4g}g2*dkxS(W>HFl>Wfo%GqtMfWHgQoo;{#*U{9@$;6IWp;)bjlVK$pCvBeDY`xUNu6tzeJ5e zwBJO0ogmcE@8i*3FsbW9H8p@@mdWP|fzW3E3jpYcKU5-;ek;)t(j&En|NoHPjJfD3$s& z=P3ZsI^w~k0EfA(0fNgVarXsbtj^A)B2(Xtub#PIXW;q8juH4sFZ@fsSK3^h0O#kc zgZ#w;FyUpW8RBSl3B7z9>E|cY;k;4gylp5AvIz9$E_tB`F9N|z5afMBzxPLV@wIlv z39*KLuj5ZRcVr0_k33xcN#hyp%h0QnX!ZNT6Y%iKI1^J$j)$k8`Kc2~;ecYc5~s1> zAl?q_Y%)DseKN)t*f+tM5Y#UHyi_`U;jS5V65EWp{{*@NFpDpZP+HI_lpx(fXUeL1 zoXo;{k=2Qc3#aKMc?tWP+S|yej+79p(v?t4Cd1S;X=*mi&*tUk9h~38TnR>ggFy@S z)aCkH&nLx|*Uh=NhGVc0<3y-h-kmJu<7r+|T$5_WLb4CzN6adyH$ZayiwDCVI+sU< z6l+?Mt=J)EPkjD!jGZSe4v&-;KZR(d#WRiw=LYBy61O-YEQY^=WHGk=GiiR8(B5rm z6>0A=GZ%;9XqcD(<U|^N?Ls@vA9GOX2LW(3)#s#U(K_!-C@RP#jn znuTc5#O#`5m9MM7F3Z=IBpLN@zXFyeC|}P()K$JNJ#3Y)f#|Vi`FaBPW-UKsXit%!b-21-`szVf6Zly^K%Albmixd-&^_lN>@8S7myR9#l_q;KluKG{Je-a{=B*TpXKMNbl4~| ze)u^uKktP?nV%D+=}vxjq$F^o&xg_fS$;O6eLdRzjBoF68VGyi+WSraM0wSms6UYNKQ=%n$U0cg#7TeFw)1D4?0&N-vT4H&d`N(!D_D1FhZItE zJnws8R2I_8HtqU3)_U;^K6*_F{n2X}Wby$Sdbd#Ymr@NMq|7Jz2!n=n(R_U z;|6#Vu7Zp4HV~Vh7mIzEf|nV1fC^=vz6cUoVgGG zwtmyIm=U8u@fE`2z4QoQBOFX4u7o38kNDr4$m8$#$tgU39ye6KBUJPF3q}0Xc>FIT z{&x}CioCJX7M0Tq~54+Y=ZWifppp zsR=8i{9+u>nul>^b)e^2)jN8gwG^L&&-}WetQmumA20k7t;(Y>E#1+Bt|NUky=`xb zkG_^0Eltn8b=-hCJ;KJnT{5Ro?P_E&zSH3B&Oh)q2rcMOq{D}_K*EJ zx~}ck&OK?kkNdyqOTAnu|GA%In0w=+Emhn8)$@zjzUg^scSOAzo`$!J+d}oPz~OZ5 z16jM0?vE^pY-+^;`Ax0GLd6}=!xGemP-J><@uYdJZiz5Mys&4bkK2>iGbRlBS_nS% zED3HZjA8;(5V;&LyBJeY%(J^227+;2ntxu!qj0X8mRF za`_a_*n5;qcYDC~V@xsaM{3AuY+akezO3L8phVm2)K(i}@%L5vpGwZZ=a8cR7H@vc z?;iuJ72O-~cZ2_hGtnb7_?tmqej_$89sN^m;BowS&Cm4r9R54Ve-G!s$MN6&`R{b) z`AGivt5`3N4Q%1RU*f-4bm)L5m+;>q{`)la>-g>iMr*NwY5aFO|9u?)-HZQT$A3S~ ze|JOc9UIt-|L($n2l(&%`0xAq@4tLc@jk?VujRk@@ZazA-&@4c=m(uU+P#hC@+$Gs4$v(C&qIduw3k8yRk^gdz7yD^2;HH zH$+jHCLU@%fmMUY>1zT6(558j;xr=RtIL?I#Rk4{n5^2!f3N4iAK<@l=f9Wp-_`gn z$_Jl!rZ!_HivAg?q8S);9g5+uaT;MX;aTf)v7$2j7<_gLs~~r9?qxQ2By}l&GG9JH zrv%9w3PrZ?>lnmo*S?VFODX7iP>wmbKc6PSn12Sb?dRjz@4ZIH}JT~xlUd}O_E=C7C53OP~TE(UE$VfrtQuNB-@K@jV z7Dgv_F2D!HBinFtk=+kZ=0z^Y?V!^;`wr!P@9T`~voMf~1XGI2!kvHEQHZ_L zvMq(Dzm2a#Uli$;9*Rs%kAzY}ohGGxpWCTtO^=>W=60&z)fT#^u+x^p5nJ(0L8`bBykiU-_UI3PtH2M!iQzRryt;^V##>c8rM zmH6tVJqreZOzb685Z-TPt;pdSIM{%pggDWFzO1kz6v%4`LRmO{s#J#WjelBDb_f|& zU$O-<|HL`P53!+7d1~oS4-MXF>CTO9Z~c;%L1=FX+OtW!HCTxaJpTtO7kj>=Qb*;2 z_mUJ}s3DYM^`%+(#yLN|iaPn@h>seIcQh1#oSXCU^(SC}mm7O8)Cuq0VnaOkG7>bP z^>aHqqzLJ&;mNYzB^7z~4B8`9r%CwW7b*Zsd@N%w{oUX%&g9Kw0THZ~_@fg$w4NTS zKi$XX)2oQl)YTyDI64)Mdt&JCF8r!to>ds9igd{Gy|i~>LrH+{0}%TQBQRFpg_lus z{?T(~HY|wvb5H9+pAcPjI@z-&FY;A`e<&VRgd&{>X7L83IHfh6zBhgHGprNY{8Srl z?Y4z_KGyF}wBfa%;bb)5U5faZAzXi`V@=OzPJt*p0_*nv5sJ|u+z2R^apgCdjNn{2 zeYEs2c`fhiu^>^ec_&)`U5EiIr|r178-NCujcDmMh~E&G5Nll&#`F@H3KM2 zKKs|#_yea-V;JVA_UD>XIF{NK0nTy=EQL8oYW;;dZ8d+!JBx*p&sy*1d-xe(jb;27 z*WurB2KW01APwXHj^BAXU-ex185w!Y_(u@l`w#p*qM3j5ss1qbLpLLA`zeC8KDVc$ zU)i3T4+Zd)Upi_kf;pROdI&$gi+N4!1PsQOp;RDOD?m2;2ZIN`^Rab+b2itm0K-JV zK>Z>64IXdy`@uzoDcVHhQy{x+Ril0^?adFDV067k9DXWg;CBhU^wXjvAclTfi{e?3M2hSA)+EE$DuUA zl^c5(`-}eiSf|?2w$_)yjBew#(_fE#K<_osGMNAHnZm|&i_NmNwF^>(?T^j=FEijp z{D__w4#WXy+Ule8Fu1Msi*b(~IvZDCy*Gj`1g(5I3KGUM z{C9}|9**BMW8$@i^rEpoaaydBFp?*MtAUkb9d5EjxUfYi5^P!C$nDi9lW;IIxR z;zb#nCuBn&3TTZP5Dp^bp&;Ndyf5S5(x2)Da;FgTC=^)2ry+U?-q@y(-?g4br@y%Y z4gNb}KnSnd@?d>48X^Cw^eE!LmPmuYwI7A7TcQ2?p?kCcB|Plf+1I?;{~Z3JQUrl( zdXYdL9d+~9%D<~|FXw)gG5^_+<2UND6)4OjUW;YS$8&gK>KSz4tpb7i@m;`#!YBA< zfDi2kT(g0q;%f!VN#@2)WbDhafm?td&3Tkx6nG2wh9rpJcvux!qlU-a&IXEV;O6qeGDUbs-DxXVS+ zLSJthkMxiHqDNuQJBzzTa#K3JRG8DU7@u&%TRksk=I6Y=csIqMtufH}iLQl@rtTi| zBPKPkvH2+2Nb6}ugRx`ULQm*>1(f`rk>G$A*(pD?)bHxRcgo~Qs~TR74V=w?7xLc| z@Ed7^ZYuEUq5AQE8h~Wfc8O&~uoJ9|BFJ~*d;@a*>f=xX>dOb<4l(1M~=0=V@mnnPjPXfX8fJis}oy);(aQ9!47`r@(mcW+_=H{Nd&;4!UKPw-Y= zA-1rdrWzc>B&6{49igX3$mj}l{#l5jU13ANeaCk375wln{$luxq6$)n%SY3fKv8U9 zi2okTf4>16Fuulbd+MY7p^We9_v6dXbjv!*Oc8GAL_O_YIJl*#=lSne6h`_Eq#fC! zoOhR;FemrM-M+S>=xSeEESCFfCwf}jRv3XSY{5)-Q#$(oAioEY-nxnwg<1c`RJd^F*jiXwg`iogp68WYi@-q$ck!TBC!*X;MCH>JIgkN{^PpXLu zUKC_Abr3nE;vu#ZYZKn-D`EYU$Tu0~!)&<`FJUuJ(TtC?Z-P-i#Fn3AmYoG;mJhNCI;hJRWwQ3USlaR| zpD4dc@Qq3ECKDdr!qY!rGeJpzw3+bhJaO1R!K5E}e-keB_j%Zzh47N|qu5gvU}@|O-e$n5ystChB)`glN0C31|7sg9v(T|W3i+W%Jd>pv@obj% ziFf*)%?k?B~Xf{)hv-ElajRn6}R+V9{2bAGj#X_ZeeFQ`8| z<8b~ev(<+dM)FIrJdDvU?0N~jBi_N7_aFOX*h-S6g!4}&flTUjBUj)c(ML5{Sb3d& z{S~dNzs^>Jp9?lg!A$jgwmAKkuF8jTx+sXluyi-!)KKWf-JN0?7h+&a3#Su<_!r{3 zrXb0jpjD6} z(m`_%+~0;F9QV`yeeQHP`lD2S3QhGTn{CvM)6y3$%4W;|q}t|Ae+g?Z@h-oSq7n?_ zamZ}gmuS|kM$55J;JsrR$C~g=cG-1m9B%nycAEje@kN?Cv3~^Ht!7h~zwyPV3BTKM zgMvrN*{qMAL^m#yIQa`Ji3xBdai|H;WLIBnCozG1G5f0lmr4BgJUfY$P4-WmKAGeP zXWLQQy!1x`{$_GfFUJHA{%FxWHguL-tE!JSt-bw8@&{)c=x{Q_9%d6v`lGzA^oe8f$ZzU%4sJ2| zBgnQH@oKith-b1@M!cF;8SzXu*@Q>=cy6%HqLx=0-=ls9*qJxk#WD`R@x@|V;oh^^ z?Fr#Fg}(^%aVY!nMq8QY**Jb-Uqn}|=;Px_uJRT~WgIT;%hT1^F)RGY&&EC8<%{ZP z)$hIggJ{uGHra?*v!Mo@>hHlrZr`Z(q5R{5Y`ZJrY0&W&Z#Rp6t|=2 z4YD=3d{oz_wSVBK^VSCqAy#6*$zK!VVsPxG@o;|=F6IkIR%=Gu@{yHPT}6_Pum3Xz zkSa0e*zCyI{Gq5QPu;s|Q*Il#^x>212 zqO&MC;!1N2m+>gL@W&)ic{#uGz#}WEItzaxeH3U%`m)*K6g7QHe86^U7@4HtBEHM- z)AC1}Z4-qb>04#g$J^g4brw|~^WS^jktw(wZ+glLyt@Y;Sy`w0hXUlEO!nb1UjE5s z8#T=BAJV~c;!L)EvbzE34v}Y}-8s|G@w2j5y}EMjZWF z7u6Ro`$heFL}yX$%VI-K`bBxV(oKMzp z+npscX9dyqRhjgmEKWA!0k-XT?#LAVvi;Ll#Nn--)#N($J+hL93wHZK0jeKaY=b7C z=mXZIVQ&BM@kgIHlRf=|`hS-pedtfN8F8$SOfc0S)DI($^`Vmu^1OV~e2CrM$7WOf zA#gcgsX57J@k*~K@0UBebpN3TM`U64;hm~al=#qorwwq&qTtc>R6bX0;!b|^zG2(x z2Kgeaf9t|9P|**3f&q{4_<0gebl4nu8!ur${MJsQgVXq-J#MhB;9`CESw~j)AC+Cx ze1z8Xmg~Z<^kuS{MjY$k2AsxE6YzS4J_2*>r?rv(2Au4laH6+7t!Jj4=Ehy?VbSSW zKtn{Yj5$oluX|%(@xH#*er*2}ig{iM;1`{~IawjY61 z6d67J4&)GKn7HdQ7EZ@AfA3+3V>mH{Ek|WF&0RkFlbE$MLfNB~A2Q4LfqT5Gtq;N4 z{e#Hb&xExX3Twk;+tkh6TA2C^3V!=8H`RZ#WtOt%#v_)$9RKt6qYStI99-1D6t;n` zL(tNYSRdw#ym7cMsPe1&2oJE!R-5ol)=i7gEuYN>8Szjot*;8K$Tl2oVd^zc% z@%+wnRa;&9?`lT-8H$K)%sUTi-KK4prjIvvgMO`+c?XY74^a(b7ipTb@{YA`;t8@R za}J9o>(=*N3!th#vA%lvfNGgrAMup1IhMZF27SE0Nnu+@t3FZUgI(J+%;m45kVyY3 zkM!TQM);H5%JMX;ZFh?GbG{yrRbi~>OQ9*zmy0@ z@4U^OSk*qvPmMUz-)xG1DO+xo&tfyZ@koBPz1~z!>rEvrD~?<3UnoC@n(Ptt_tpk? zk`4L0^94itguc~D^+onY{Ga^GkGW5KgMT~za8B!R`~Op%UyDxXfslTay=Y$#-s(=g zn%^OIaHAo;Sf5;HlrLthjChz;8S!FPWsE<>CYx|MKh>j9(kI%J)?3^z@XY^ewlR)b z(FcETeBSJ@OyPc(`Kfs!dcnLfBt$SBZ zmB;+#I}<*SwHxJeKE@b7`oEPX`>WYxqyBkpxe-VH8FBP~&zk(dl+85Shx|_>-`^;Y z^sg|bAN$)z9Od7Lqx^3$q))Vu*TtzY)VIlT%xZZ-{Wryj_9@LMkN)yDgS{9#&ots# zA9}_lzm%=2H~Blns*>Q7li^9?PczCFvoxbT+W)5w=@aJxAGt|OqLM#R8h^8hj%%5X zfWnXVbGa!#%1`CU5bvJdB}jO|m94ZYRiucAOK zBlR`ce87pH&lld?V#3k?xb^GviKzd_8hr+#>PP&vhNYxl_do6ho$ifCu>aP)S#zG| zAHvrd@er#s;51%Y{-is7iv40gJ*Q49Z|3qe)TpnH%4h2h|AxMu|81}j<*zADnPPv@ z2;@KBAoB8mw2dD|dgoP@MU@{O%Xm`*HUCGW=~%UjW!#~$sPe;*{~G9$4|Dk`8jB(y zC7k7~)Lfw8ML3l|L=$%D&*J*OdBr1rQNr0rD?Iftjb;3|Cami(LixSRgu}k535Wja z23(9MAGuw#&#r%2{0zQ-$}(C1veZ#M43$5szc4=jyQU00DvtiagbRBHU$2#4MP7_= zyX&}RheZ{B%$M6AH`}w*gbRCCFEiV7osL^}SX8&C$`l{ki^(Qjj{o)e6#a7if1PHp zWrsx-e#E!)F@t~P{7=`X%2WIQvRe2NiH%3{2Z;G?T7AU;n%|GTgXbKLVnDToLi=pJ*L&XPviy#rk-F zZP0j}_(?vk!7Z=Hk39f!?lTKbe=OslFUpm?Z<}4qo>un9t=EOvMNzp{`a&Wh-JWeo zt=8N-`AzGtp&MVUqceO@4YAo&`I1=1d(EyA;NVeS-eMUWG!_S^6_Ry>ZN)N08jkow z6#p@&*=#DF#adqQ?(ePm$9l$(^CvghisRzPepoEy=NCNFhZ?~5FSagMbvX7#i%yMY zJnxow=XZ&f#($w`&_2=^cWVXE(FcFwJwmrW2gi9V+`sFMlfNenQf;LqJMzFSTka0n zY$~3`N;Vq&P4U0E(c~}IbiJ)OvA?56d9h!l>2U1h{w{LMd-}T`Lt*mw^IrarM%Po> zdqf{HtMQ=)eC2u1^hV`)X@kb1$`{8nR%)P@A9TKMr#{$l;fO!qARlG0KbA38V{ygr z*Fcwk%=b<4M|W`jA3mqLK-G`Et3PX?OF!02>b=W@Xirnvz8bXybo2{6oozMZz1dnL z9$>d=I84-j?D!)2A$xr{B+e6t6G+6^!@!(H>>uuh6oPX!BtT=Afzf^wG;y4`t^79FcOzFk>MVK&r+i}lIwaV%ODu**Y&_O4~2 z+dE46iaY-vVrxwNs6ROW6~|$UKOD!c#1DPg-;U$3^>I8c34U;aJB5n8SZ{k>XHjtU z2+i(;fJJl`RX)h7bWoSadBe#@9Or+HIL@mj!4F<(NI%-UZAKjXpWe87{u}QT z)cM5w1h;~+s~s`bAK3qP09i_Pe1Z|j{#O#beZDEZS!|~fNB?KUvsqITe0dUlrV$UY z2}V4d^-qGgS0+in5l8xscqVHy;&}hl8;`7f2t`8-kx*VJfKifI!@AHBQ_BPP_Z=WX zdBOP>15V@Tw*N{#9^E=m^_dgD7{8>mwMM)*yUmCP*nAC_$*_qUUzi1r_KNe6Cu{mN zc|LwVJl88dB;T8TXvDGpq~W%qasIc)ceiQ$sy#t&&wL}^n_XnY18k5t&eGQ<&) zy!;{T+iJuy|1;v4|7p0lzi50pGmh8sS5)-pIXa7iqdfQ5K}4qG?GA>CohPe%@!qd$VheIL_;O;M_l}J?AIt`zB~V8rAmW7)PbsUd;c?RiC(V%>Ruz z^52Lf|25n*{}YVw!wDoE`{+FF&^QhqPxHp(;wxsm%2ZDXOI3Yn|Km7R9PPgm$Na|| zSH}x@pRP{iALcL@X);QDVth7OgWc{`$~PUWZ=dZ>p{*~nvISAv{SO7G|G|E+k8O6u zY<|p7OW68{P4%S&^&y4b<_Np$8{z3}z7a?JXT;I|dEn6^ydQgyXMMr=80Q;GJ^jJ) zZAKjPKO>I$j}gcDHX~lbCMUs%Cc)DTIITxh{_HDJeW2wp&!4SE9QEIbqyBs0^1hL^ zFBr~~7s>lZ#D2{=7!~n*I`aPNwO`}d&*%SI+*CPK9Os=5scF}7>JM7};$6OJ{b0fz z&+?UEJ+p3>J2urGim${FAK^g*PW{936i<6lo-x1p%=>+CnxF2R>9$by7ur7qPWG+& zv$wwpU!Fw1B#C^`jPvq*vKEJ9KjH`8n;rhb?IX%}>qq4evz7tl!y<#EDW-serp?*1nH zt~KZz(M2{>7rAGHYPD6Gq(8jgFFEB?6h-^}5?uYn-!CcnlWgT?J|HqD@%tsBJg2Zh zm#cMF^_M8`-S(*EUBNZ`2mDX$zagRh4G&2BoBn9DpP#?rRd()Wb`li(EW9_n5XDCp zvjlh+JJU&`gUk6scSkqnii2CP`e2#q%xCP(Q}8I|FMD&1R>b4*M=rH(*Y(Tzulvxp zUB{L9|N3;I_)$K?dgPk@{C%=<-t9THx9Lgbo1U^GCgbpFBfC-?hi71;~x3sOoGhsGp$brL*mz-j+?W{&E6w|}Zxx^XTTDOqRY`E6Nm|;xD?tYmK))u3_e}-t9G?Pk7^zmGf{M#Gap1 zfad2VpzO?Ewpum+mlD=}s#e8SyqfUVDW3Qa-oB;Vy7lx%qg?+Z$9v*+B!8u+JUlvC z2Q`02qujnpp8BbMG|2-$*i$o3(=XT758R^_0o6Z^WdG~OX(|*P`d1nB%ln}sCi%%m zc^>~HlYE*%p8EfT_nYcRn6=%lC0_RrAI*1n z-e^i6ov-A5^ba?=*`P`MIQfb8z~bNR@N4}8bq(VDXeNpV?mxX-b`nqgPU|Er<)Etm zOjZXzkN!H6pJktq$)fWyOIi40t!i?ujy@XyEx**=pQ$+B7xTs=`2jn=0L3?t?V4oN$~zj@b(r{`tkmEp}T}B`OnucO4xmmxX1iT zbyDk-7(WjAtyW|leR6!>U60bit@)SORvO?DtHV$Ezj2bc{c^ssT4zz^i`jA=)Z2%< z)}T>AF|THKueDvE%Hw>oL0--$`oyuQ?o<7T`BU3(H0Npd(D-WGMc(O+@T-2R*}jKu zV_oui|Ir{%`l}4M(4Wn2)AZZzF@N8N&WPi_YuwL~k4wAL#qHT$?~tu>f258U%hXqK zRDWXqXPS3=6_xkt>nw_Vl+Pa@DR8^U%im(G_WD3=5b5ZPM8h;5yQ0yZC0_c_9%jWc zEBb(^8*o0})Q!>dPmV47=q#%KFkAm?x3LN?-iL|mEIj}CdKfYc_pKCF$1<{4@Nyr< zz0Ulv-;*QgTJ%^(KLO$7`|;v0%!#^+zi?VVR*#SS&^NijoE^(p17Kxy&%2uO=}>%W z=53&xCl*2%Zl3b@{oshWKdM-{twrmfg}tAw(vlQsFATU9ieWhnSkC|A_9}Mt*6k?J z>?r*(p&eExtN!EVYxU_K<%Q0FO}N+4-bNWx&bnWyl}|@M?FY2q<1H`0x6;QH|3-s6 z>2EUN)X;4_O-r1s{M}0Sua0A}T*axA9@1A8$E@IDK0GOo#X}$O@8g&qeT284>TN&m zKkmHP)V|bF`kswrG1#-p7$5G_FydM4;MJbvqeyl3UQRheoggz#ijkj8E}C#6+z! zz|)RA;X92u&I8|Ns4r-5*WBhEKaF4O3^>)7jhnsoQ+qx08qe|+cOEs&(&JL2r8jyj zpZ}k)xy1HIWF<}S?ezu{H>HMoBC`-A5ixQXP zEuY2u>!7Yb%HO5yqer3Whktj*4jIY^{BOd=S-fX+6Z;G4=kxW|9X->J{7bI?@+A6m zO#0i~4e1l(2D#{w9NdD&K2vNmBlk;3Wo}+S>`b@ml`NvnqXb7A611 z_rs2y=aqh{|2nAY=lcytJjj}o;LD9T&c7LOy1z1bv&p|<7D$5olHj{mdh3sh@$Rz| zJpG67d+DIdf7NVx5`3l+$NhFj9OwUxIL<$f*Nk`BSHgChaIxR;tj?m8FO;7q9dzkO z`VBaZUnalrZ6EDNSDmfSwV+;UU#b4}H|h_v_Hictv|pUUK8)j`c&r~Kz2c(EK96Wa zAQk8R!6a{-k531C;wbNFItU{a{b&z{#@VXk)hsOu-gJh+pLeyOJ;XSF9y?~W&F1JQ zU|o*MpYymsZ;Ruxd`Z;NN9S*5#_=k+XuorG76+$qH>GX&wnz3)N5*O_io85Oa`Jt4 zVm14y{n@AsyK$c0`!pW+cut%@`F_w(Vmx==%rYF<$=WI5%;w!{f|16WmAo z!dZwE=S4EG-VqE%dj+xJ(V3qa$+%IDtOv;iSZ7A;^s4J6B+u7NqP?b&3fwbLC*}9u zps$%Y=|TUC`S=Z}63%XU+<70EMe}i~?DtZceVb_8OJ{~ z9$00>MSnhMr#7H>iZcJp)@Sbr;XW9?AB5fi3*FYK{gwRQ>))=^hK#m8Yd)0oTP+C= zPWD&p!mvoe`FKmTkDu5{Q1LBjG1w4Yg@Z@sef@WM#*h8~Yc=Ct@pJue>MU{kFZb3T zWR1FTBK-?>mN@-Ke&cCB)_-;3MEX;8mN@;ZP5N7|N$Q`!=`3;jb4>cHb>T$z7wIf< z`afzk_$OT#PNe_9QZ0#b`mZzTU#|-%(jV1X;`H}1=@09|iS!TAS>p6R`)h-L+OF0T zpGg0kI!m1X%T4+lb>T$%7wRl=`j4zK*q@~fC(@s)v&89NZPMRzRZ{=_O=pSIpJUQr ztqUizzes0^)BjP_;Gc9|IFbGXwOSJ6^j~Mvzg`zkq(7>&#Od#2(jV4^UHbWY+Yp^a z)z9%~Z};+#*!VqAQD8;t@X+z z`rlk)_RrtEaH&5hiT;IN^3whyFI?*X=r*%|QoZD*{sW6W(kJy_mqh>HyyT_+s248v z_er9^$V*=8AL4~e{m(X-{d3?~9_g3*-}J(z{>zi-k9x^V{R_QtssG5WX8VVD$xHpI zUbxi1I*I-_tIhuTn-?zi=Ood;&`Vz0j}3Bl%Ob9Q`{)+4e^R~VrTznp%=)iOqW^DR z@=|})3zz=slSF@!E{`ri`*Hk%bsRof7^|I8a&W$X_D9WG(B$B>4s`HzH?FNW@WqKO zRhS&xHfT7G8GI0niDL$G&BM_z&SS?ix-C+teDV0cDi0j%dlU4*qHh26YQA1}+0AMi zbo-FTSjMH5K3^kG%Fhecr0_K9{89gQ@AMSmRK|GCSj`^1e~J083D087^}(YiFV6p9 z{coiyJ@{TZbco~(jZcniKRW7v*E2no{}c4es@ucMSBPEfu^x%{iE;n&LN)UsultkE zk48F#BH@%!107l_2}ST1Uc_yf9>~Llzc5Ol0h%7b;zKce`>$5QrZ2GbN!J(kf9y(+ zj$84iA4PP-AF`tR;z~O@m;F)yN3Qsk@>?_y>0jVVzlvi;;kzrn@VNVEOJW&4-QINh zlNaJ_#ab7hpgtz{&r#*aA8`;(6tq|7+YZw8{cq;SaciyUE^(s!H}ZqZbCp(iP#pF4 z4((-#ZTOv9ztsFfdA?%4SAOwz^R%PTf2~RX&uELZ^<*V}e%2tC@u9||;Qan$4NO?y zu)f@#zt|JY_zK*-%yh0q25Rw=Z|FzQPZY{JoP4P1{A#d+klZ@Y&iVxWQU5;-^#lG) zb=&Ktht5Bz)v0+w5vVxsyD+c!v37kh>6S-+V@#(S_TuIk74Nzo+h#m2!gzCeAcaHWsy=jR2*ADC|kM?3o4bS0|h)z6#o zNd8h~`)DS&0GX)#xb?aFa~;?9rTs7K+gPr*1!Sfkf3oq$y8rSnPt<;=t#{*Y{heMm z`7`Q&)rBkNRh;E&^-z_nyX7^U_dkQQF|C8+JYFp0lQLKN zb#T%@GpT)N810*U6!y{h(y8-K{M^3r-u7XBgSIM`ak9pu%G3VOZ141Oc~&%AYtmGC z+%LMx-WZW}#9cm4dsojNH~udd?&v4~Rz0AmJyH3duwB=$%O70kme+8e|6{cR=-?v% z-R+lylYK*z+E-b7KBu!Z9BByu>U2Bl#o6;Y;ktjimRp zFmrp#&8?0PqH?^nR%24_MgLT*fyhu5hdmWWoNepnou70*Z~5yc9Al4ElYZa_X1V;K zm)A&sHolaKlM(d6)Xdyx(tSQ3=1kd`Gi74Vl*Ja}q>dP)pE`>-&boZmzss{fO>Dm| zpEUj}nymQ$IP>3(pW6E-jQO3mCQ*GmW~X<33$mF>~>nw_W^nH_0E>k0S$!Bx>9?)16`RMwNWd9vT zoE=HinjBT0@1G6!wh!Y|9dy~30{d92*ZvPbU-Pr(T$g+?Pv1)#i)!CJ9Dm%1vp(MG zqY}mjKj@i$jz4mp5f|lao$X`WzPR$-Y?tR9Hn&p0Q67kc<#@*@HK3cEU~91Bv*i0Y zcNxK*YI%%iaerMqRV`AAJj&|?!~CD#H}7x4qddQlc9 z5oeRSd!~<J<)KUFj~jkd!5Dcue>k+ z5gjL=sXq!o{Fio?cDNXfn*ZvqulCh+g{PLX6&tj9~^sDK^_x*af<5zLKAJE%`E>G=Gh9>O7ale59r}gTM$D7iJ`;olk#~T1*yo~#) zf*3Dj@*9ZbR{X*F<$YE`y3eYZwWYYNSNOq!``_X?RR7?99wQ!NtBg3(*UN2ztv^xv z;kBHz!s)~HXsU-SNDQePi$eKejs*v0K3CqBa09p{bP_op;dx3;H3O(iOV{Nd^K zy8rYXn3>@I)5&s|Zf22ihV?EBskH60?@Pk_>U3X{c-y95qpL#M{K(G`YxjGnhtj*# zfJg4yg@htY=dmBk?Zm43(Owzk>HX2=Mm(F%G~kiD=sY@)|LR2XTlR@#@6#L>D=Rp) z?M>{zE3R-k$mS2p^Yp6&8i#_zzsu7#P|Yu1pJp0xJAEbW?y`jb#dy&mPc2$|Pjmb` z4Y(bDke!$)ehU}f*0-~56BGLv8CA?0FVYt8b$^Kdr_Yz#E8C7gss9Ye$vgE)>?h`D zMv7Akqp5i%eqZj><1m8=MKeYZ?f{Y+1YxVQapgl~OJ3yl!l-`&o@_Xt>g&i0k6K}C7&tkt$F6wFR6ZGz%MS9N{de0Ghvq|qnp%*M;5QOe^gDl-UE)cr6T`P4fV@*4K zarYJA_VbSJ+|%ki?qlpA?_;F(@Di3~;zxPI{ikspcKpEczHPPVeHg%(vY|#ildbFE zwpi7V{TU;U@4p#w^pDOA^0N9M@iP?jdf4dU|K-%Jlk&`k7|1HzMEv71!u= zo|Uw|3;SCaC$=BuDaf|zpv#^BYg*yn&r{P=%w|4h#wQr@YE14^*hMQ<9jbo1|L|7I zgEQ-G77b_pHCbx-R2=UI-*3wQOjdQb3CH)|Ot@HI%4yd!LWw`x8?6-U2y`^hNmhD)9Z(8L9#$ezZ4Dx^hjPM(9<~dFqek7mCV^@k}ArWFJ{ubc?IP zsPW;xg}Y4t#QWezd#c&NpVYLt@-w=I%EF|Zv?$d018kj19_4+N5y$+zoQ{SEI$D-xHAT}Z+zrP0_%Z*%49rE9c zspITIi@wo}2?KeDd?5(yHcaV|^YKJ<$bULdbefBA*E(c(e>{V$?IzTY7HWf}_U~C- zEn?0^5dHBN11!}csrpn)b;AC5<+kDtACTjj;Joa0yaJc-B{?8Gl z!i67I9_JH{INAdvj_*GjajgHu;c@wQ{2a=^iV2i|S5(W)`~DKjylmm+4saw!Q{6yV z(K$-yrPv<+V!X(_h~;5>i`1Qe_jAqX3C%y8&Ncf;^S_2#`A6{~|1JS2ipH%{`)Esh z;{2nmvh$Aulz)89`89TENf8?iue|hTyi*FPFT$pY1Qdmg^0VxCI@1 zt@Voy)8p(>&mRQo$iWFu9f}o3F7I6snRG~8xJuuk;c-P?9ybSljCCi6iqnaO6n3(QJm$->jJs4>mw$>#9{R6Qc^v)ne%)vFA&81o8x+eJ zu8J%E7w4liP|qLH{!IE-%K}@!^}RY;(!}@jS`ZhlY6jTYI}-bg)-&7xr72V6v-EX# z^c{h|FxzL)x6YtXU!SGjuDUpGr98UUJBdG>m_M>F;;+QCyna`EgTDlST=6pHocOW* z6B6gaSa)5Cg7fb?j8)1GX;AU(SVq1H$M>JV@$@He;Z`NVs|+~JmzsXECn9m_qZTx4 zjQ9Eq;b}(wxbN#WwXA6AkDG6aj@SmF_#$=1j7yQ$67evC!x$b(nv^6vID#BO^` z_9e4MCul@n{PO!~e|cQW40g-7`O&?gW?g0RA34_*2j^GTtEc0z;T613Iv+CDVs+TQ zc;5Z&61yqqC0@saN$@}t+-JnYY}Y7L{3Wb8 z3BJaN&AMf>lZ6eI|J^RvxUYQ2ifb%_F`2L-qUMYbN{A z0~qCzexp3nZ;+?`#44kFnE4Fy^8CR^`?V_Uq+jCC>Nqc?$}fc<{#X^qtm3fOh~s>w z5ue9~8u1dAX2fwmuT@K|tGwZR6(R5S1!_lznsIAA?G`5*C;gBI&gW-yy>VJmV0UkJ z7c1N2sy_PO317cE(a~o!%0C=_TK}F9r`W-%|7;oNUEXPbqy1I4VX8dtzc%7{zvKdM z|5AOLjMFyW?IFIWY}Rl2;}}PcYF~-v|1WXKNJitvx&Kd$i^g`V!%ypbO^%`j{>J*Z z5y$?Y377XH>nv*g_}-ol!Uh!&uy$rAG~Pa;|D!k-JFWDGqfhqd-|0mp4u3BR{@*&T zMW)9G{|3)7l}DU_NP_#4;Jb!;>!#6liwFmv*3kH2C zAB}^&>kIX-2ha2F{|FBo<-;t?fXnaCU4B4wnPR`V&%PBeq$o|2f@3XZn+|IJ;^(6b zINfKRmLz>u51agl^q*z+Use)4Jqg~HY1ZG81Ye&7Z}i6F=HGaGJ?t(GYW?H$ACtbY z-F}5>eYu#mTpX{@H6O+rqx^mnE<%>yPkJp=PHA_Z>6#pC`A_-VKGhwAQ+}xYHbR3^ zxLoofR&B(Q|4HyHBaZWn`DXjt&M@28V#G1O`Kwufy8);Bh}u8bDyQZj!gm^Qntx;& z>?8RmqkNFH4K$@6B&RY%M0rn}^7Qstb*Vq8hGa3^{10o zjt?cg?IMiVqNxoQ-YD^iza!wtmRNIx|Im4U-^$l&PkSarZoi#4Fs8zV=3Cf}PaKN1 zb{x?hYVf}gE@-;J(sTj%gh7ZeJG41(K%gB-iunHwMxl7MP<-Yy{M5<_QrwU?0L``3 z{w}u2r1p72`!9s{?7i$XOS_%kQn0Y}*Kyh*n)+IIncimbAzT2ty-!FPnf~dN{@^Rz zKb4l%jl?hfb1vZlfnRFj)ee3l;XZ+re&j{w-mrs{+gM`(_wN`B&vNh&2;bF!(=yT2 zvn)K_!8dUEyEuN5g}0q*+ehAGfvr5fT`j!D!S5oxgl5pZ+FYsAj_>%&^&

    az>o35mka!>^ApAon_mOM(nb2N zvC>x(u=8Ur;cIZ_6uc){c+kNoBWBj}68BGmg$E=a@ecwlszm^Qp}zUqzv$}rXzJM( z^S@5vz9)Y~{QYhIUV?ujzbYWqFk?V~eF@G`WCzuxzNn|Mj2%c_VYJ_+OUcRow!+lH zhCZ#`L!12@@HhR0A`nDuJGbw53^akFoG{2Y;9FHO-~C@K-xFT)0{7oZ7QWuWmlNJbUt5l*cD3+E2cJcFP}uil zS6L~l9X!b8f6eXNYvExBKb7#hP29c@EIiA>se@(B8@YY2S$MjGe@5+TSlIWBg}3#w z)4z%EHT69Hhb_Ft!Kt5RVPW6B7QWuWZzg=#%iO*O3vUD-^WO#tUa7~^z02{$YU%C% zoW>XPN!};yzs8aeJNhSa{m=9CRa$tKgAV~7%Q){ucs80^Y%%nVi_RL)q>{cyp>K@E zzUyS$9|tD@575`G(Vtp)vxC1yc=b)(Ung1k8V6s`T8w|l|QYLS%ojOY49 zd<7PNGx#A-;k{4bZ|+~=zoEq6hI6C9ZTlOEU*M^P2VC}7JNQ@Qfcpe)+aGrD&k5hP zfv4ZLKZ|gYp1lL`w_?vH;E!dT(~H-KrB(uBCkiGfd)^36g@yPCQQm;8cI4(WL3Eew zJMdADj{$U;y8WS{XgK>-47z=}&kP4cel+)e8nM4HvOR`@z^-#~z^|bX#sV`DEguN{ z0)NmC%1Q8YW%$MGAN%VvECWPS+m4Y1X|7|J^w)GsZ`(6Gy&qU?K{8AD^De?$1pbKzmwPjU1u1_#oLj|r!@TYSA6T$z}aKYc*{$vyGPF04aJU#W}J43)%6JXDOh$aJ`y(NQk zAoU=mN4yh?6r{v5ZaPkQOZBFx-;mwg3vHQ9?^X(TcEZn6_*>L1i9gEuC)xh^J%v|P z9kTFM6wY(PH&A%M3&LMizA5~mqwiV@Z^GP9>c0`;P;B6aW68DPr|{kyi=Xl@l3GhV z`j@PPSGYeSsaHt6S16KEN`DW2J5;~>P%L9chVBLEUp^Z8^PKqarSQmZKUwxXOyL1e z_!$cKa>C;&yd8B<+W!rOH(6nx{~zE{2MnSoj6)BnxP&X)br)ORR6z|tR0eVM{{F0k|a z2?|e>VYCM~?ysYoYfZB2hui>$4z<;x=fny;YXsp=jZNO!o8KL$SufNT*Pw1v0s@bescDU2{63YXD4b4X$5Er&-37-k}>e zks(_1LH;_1rw47J%&$Y|!u~wWJ!N<=g`c?6PTz+Vu5rSzQ+V2Qc6ok|!rRf7Ncq1} z_*=}qWVngK<&ONXDLlXl*HO5$qwiM~Ug7AQP2mR}eN!pi%aPBc@b>w(y`w1n#Iv@2 zXHa;gqwhotPjmF8P)@$&T@9(Abg##W)nVh>M1w`CP-_;)Aq zZ+hDH$6FMB!U?~KFzWY*sj_}kc<-GK{y68y+)n!MPZS>D*mEa^_f*>H{R@RxIQ(}} zIM2~{3x%gWDD=78=VW1|=VFro_9;6(JiJGSk3v2`@=rMOCsFu8C)}OFn=p40>GgO0 z5yctrKb!$KHTaJV1p4FxYmfop(~)>mZpo|bS)qvk4S-_dD&pTF{|<$s)3B0-3KU|? zZ~_x`FZDsf(120{`4Iih8_2d;Mov0!AhTsRf%xtKymx?YU+Rq%-t(mGk0lh|?u4(Q z@Fpuv?REY51$ajN)8ER_bEALyGL837m*BD0Kkb$)3vvC^FTjNIvKDxP{xzCfN%2jy z;)|v}L*YDxQThL$|NP~6n2n$9lJ1f8kfhyu3B0eQS&{}NeNysmlGIAjrmjM6ouv0j zdb^}oNm?T51(Ie;nj&diiqP|pq|ZtEsHFEx+9LUPOS-+gkb6$jwURy{>F^AhE=hYx z+9uQWv7|3ax=zyjBwZ$HiKJ~Z-gZfQbQAgqNIFu|JV|FrS}o~qG9Ak#oiFJ<5`R$A z^^!JAx=qqOk{*_{hfHT*Ne4+fR?YlUkb12AOz$Dm^OMB)OKRbhC2oZ; zk^WpPX^EusC0!x;m&tIUq&LZOv_R5xB^@B?36ge^^w4p_uKkkklys}4PfNN^(!WT0 zr=&Max=hk?NyCy}An7Nry|CDQSO6Pmr{Sq#Y$alrHQ)DCr(aKa_Nvq%TSO zq@)i@dcUN1NP4TJb&_5s=>kb-OM024VM#BLbgZO9B^@AXZ%KPd+ELQBp2Ge;l71}d zR!KKX`h=v9O8S7LzmxQKN$Vu7k#xSKGbEiNX`ZBGCC!rb3`zS+nl5Pk}j5Xo}@D+ohIo>Ne4;VSJLAoO_8)cRoM5vr28e^ zE$I$PUzPMZNgtQ=AxWDgy;IVgBwZ@$Y)L0bnki`yNqv&G$@XKrq>oFwO46HUdt$Xm zVcDKq;gRBxI_w3}Pn}4euMQvM;o%xdmq;oIHWOdN>L-3?ZxysnQVYN84e|U@N&CMk z!eL48lXQ>7Ly}tZb(;l$M;XsS8Mg2*UKjXZB(TE0HuP>8&q_=UrrY--{x=Nzx`smq~hwq~j#*FKK(TklQI~v!uV1^eRb< zCC!%fWJ!IJ?w0m!lJr4I&ye<6>3Lj+122ns$4Oc&>1~p3kaWMKy*3H{LP?iO`a4Ot zOWNxd!Iv%R3`y%GeMr(4Nzu?X*%bj2qk+?Tf4@biSEh5r=cJ(6C#ON7@-x?9q|pNi*U zNpF_4zx0z;E=J1s!U}KyUdXMI^fF0%NxJ4cfrljB|E&nGk@S2?5C2O%-yrEdl7=Mx z=^KHcFKPAHBD}v%(EB9a_mv1gAZezgYY&R&<&vHuX%|VKJ0S2mlAbH+$NR zRfLC2x_h4p-!JL;lD@xJJpYTN*Gf7~(nCzjNg9+iUDAiX6nIe5{a=W1Z%M!TT!i0{ zv`*5olJ4Fk@T(-vleGOa@qCS>7fJflZt;Abq{Wh+A!&;&4`=@%_?Ah!P14?n#Pj)* zZj|&}Nkcyh{C-IfN;=`Nc-|=K9!ax~$ao~(B5ALm#PbqKAC>fwqmNc(j zJYOT}7D-3O#Pf1VZ<4f5`gykukMxNi?>0%dNqSrd@%$c1r%Ad&(rz6EzEg%r%J37C zZkP0sq{W@2K1q-3EW%SHeM8a{{NnlJlJ1xE{4U~olcZlrI{X;%e3_&#Ny;Qmm;L=| zvYaoM`mB0x(|eDp!>i=JfTTf58zpU%H2prwCuva95=rYMT_b6;q`M^bt(NkV1|_YQ zv{BMElCGDuMbb7&)9)90vLp>lS}kd#r0XT!C29EgLatiUU6T5mq+OB*B`uM(PSQ1! zHcProQr{n>yre-%OC+t6bd99VlJ1h!w?@iK8kDp|(mF}kNZKsvE=hfVl=6}WB`uM( zPSQ1!wn*A0Y5D^~K1L`F?+qbl2Yi|x6PE}h;_aANoyZP6 zB>~v%wmYw4N#$I)+0s7|?wVS$prVYtKXG1Z)!d5wt17B$966Oijo>Qt+KLsqiHoYQxwz_=iz{m?pn2k=1yxq8V8V}@ zPM|X9mN?I+)-GIFy7-#B(wb6@e_~}#Ud8O%xm=6mPuFALbz??lotrg#PS%i-bIu(( zWaRL&(L+irMxQ%m_=q`U%ErtoA5~E{TP?Rm$OP2Uyviljr8Q;qcx6Q#?)nGUdgPqhBg#gP&Q{~2f>2pg2~qyz zj>m?f|MURrVMY0`~>jOGlShj8XOfqP7(J zZU0&2Zc1&{)Ecx=OKK|1mQ1NAEk{DB+$F?$_ATb+#ARP`Wp&xqiYiD?V$Qj$osK5%#{}^d!q|!`B_{5V z|4BTSCfMnYXW;^wvr{U{Dk`s1Vxv?cU310^8$PD2v|`ApF%`pyjI0=S?vODkVMB(a zMa(MC9y7cAT&+A6$7%GHFIZstd1~3>%Icc%g4(&2RTD|ST_0SC{e0qu3l_~TU0~G* zE5G!oPCj0^xU_m+Wm)NhODZd_cA5z%9*x0~%dK4+Uc9K{25ev%{V{Nf zkI3xGDlLr1zF7rTm9!RBTXkjCqN}R{kXel8!&h8TIIHmD+`L&u`BSInUYI`>JT;3& zoPqHZ0^BJ4I$FMhswFkDCCjg>K?L$geZCZy3|uml%<`$vEq)6l(b=U-D$8aqsaafE z#hbL#{J%Yk#@XeAaav6N;8HE$tq2EXm~e}4jf=0@gKvk6?@$81j?f!8+liOXD$GDQ z07^eryu`P{#n+sGkJb#C!gmV7TZVM?$*$1WNAWZdb>hW_vM=+TuD%c`{aEo5eF)F3 zAM(p~gah({%D3Oe7syJ?myPGv59upUz}E%OS15dBpKn;aK6*Y6&#fOENA}Ga-qp8D zKCtXdL3rc{hYts2d@TqUyZDH|c%;Ke_ANy?kbtkz#nkJIm;730{z ze(}8JvrhbZ%5&m*iR81w_ej3=@_G6JA!p%%V};)9hGmzRk3M(y$RWcj=9CT@i7_Jj zL9~;jMwbmk4=|#9*q9M$|EJBXToS|4+b=0Ud|1 zn~(5DI{hktNbVjFzAY}k77xB&V|9If5e`WG6d(DS;%UZn3f}`t^r}^KldhhM}YbG>) z+`sG7Z{9lf(uKE7->`S~!kFdlqjv`@By!y!-T&g}+}rCHKCZnVYLS zU;EG>{@$g>fA`sUaq)tsmy8^qcfK^o$HOC>aJR8jr{zwW<{O(oIgfY>F$AevQW3bM zVlky=yd`%D2CIt}2PT&;tl-az7M0g7sE7;OVv@h0TxytBxv*kMP3gjF8P2aNTYOD5 z%{Bv2C3I3;dHGWVlPa#^TqB1L_YKTm>ik=hK>x%c!pnvnHn`SVk+0yr!X9dOvib|JOF05S`sGMCpXUVmJ%z@=+1uB-7RbXkP zoQH-6rc{*ImQ@6gMxwG0;dTaJ~9z}2No0%eO9FRrbwsVF}h zKe~z;&A>Cyg3%_s{YmDB{j8I zUA^?0YqRXmZ16dO|L`Z7p9r`6M=Z``c!41WMiS_77Kv^Kv!j|tivmj)V(oCZahLh`q!ajr_TN^ z$E0-a*1bn+TF>-jk2}6s#tA3(K1pakxliAI{Z9#;dfMp&2A*+d=2?RVpFL#gIpji) z{}0liSMk4){$%l5UUKI^<=sVPZFEtoR{Q?{^KtLox#uRqkA3(3{q}*$+?n~$^E~s+ zoH=u5=FF*TaPGx8ueH4+Bz2gq{4qZMa5&`eW*ZZYDRvv>dtQw;x!RhmIT*q;f^N39kXzwE;!C_Tr_Z;f5|9z zw@U=^nyBAU65P`z@wgWdcC+6F3ztzk%hRj`n0EFeUK8auAnd3Jjbk~&29D)8o&+~) z;ZnE7^J{)Z&A&Y9d?ovu>#lDsx@&K>vov?X-AkS7Rg3E9Z7*GR-;UXZ z^Zj|*8*aIFr*Gz>&8dOii>~qBy7;CWW>(i;SF?MyQ+xZ?m9v&+Z){psc=H`)Yc^!< zT;!c|L(cU2*$b!Lx9g_a>o)juZ@z!;+WW5A*_^#-=d2xDH{6-MY0=68?=6|TtL`XT zm^SU+eL22eTN@W|T)caB&fN=qwR4v(xpu+yyzA1+%kbgmtuyaf*KmV(Xa21D&5Lfk zHEZAYHNGWPjT^4nIBo9jYi4+BQ?};Mt-r2x_5Ih+*?9k*Gs?CtS-)Y!yfw3K+C8Uy z=Y0$2RNpaU&Mxn@*_$&1o9}5VzU#(aI~Hc_O1&bn#y^3q!FEWUGd_RIp`?YpPX zz5o6#O*gO1oOkW?8(KErpEq@d`O}ja7&E3;-*Ea3SoV}|0=IWBh!p6H+ObZmux#_m) z^EU3zTD*MchMh}pt6aJL_Q1x~d#=4{ZeAeJl6hNIN?`R2{~hIv(r0bCal@*Nocq@; zE=|3C!HWD_Qg6L8yJXc}3+CN%Q4dZ^yj#RX47$n&I4#wxDL~T+h6Pd$!J*w_(;zzWMX^ zE}fOXe*WwtZ{e1O4Mq2DTC?=Vh0V3M-##z@nl;mQ-BuD4sIcO?S>I*;H1wuHoJtv+8#4$j!{nTXsj|x|u1S#>#2MbC!8p zytP?ryXrP=ylcz0ijA{Z&c1Qpil%kV^Y-ReRApx0@4a+$h_1jZYb}aU7PEFZb zf9t)ad1?3Ev7~bP-o4k~l(OTd%`-~3PR}a5X6LGTcg?x=&f2>@cNJD-W!%%e(%G_a zd0^p!lm&sCtBY&zTDfz}##xJRxXG6`uQ6x$9jk6%w5isgvu;~)Ufr%4c{vS*r3(TD z_coTzuHG^0_S@DubBY${?U}!DUGwxM-kC*t`{p&=xVXA;R4TUYO_ zzhQlD+1^=|l?(5y@$B?%+c<4gPR72*8=M<%T3L42wB_0J$_sXR)8}rwzC3^3O?R$b zzr6nblDl`^;w-y%+48&Z%vo8Hk-q!Z8(Z!w^{(4It8w1VH`On^b=9pa3K}Z5SEt;+ zF?~&LNlMG^g|{r+SG93h)!lWq)y4j;J9CzMnwHj8ZQ0l~vu5UsThsDq%qeed@iwO} zU*z4r;QoS?jCn=*3$JGc7~`>%TRH=5W_9Yffye|*8fG2bPtpVITSDcj@u)gx^3)3R*+*mttuOo8J( zZ#tX<=Y#8m`wiT$;eG}83%FO{UWWT3+~aVhJ~$uT2%G~q1lJ5V21kAaa5ZqFa9+4! zxG>x}9A);ymBF2X%Yr)&*9LbHE(2~5j%zUI;0oYQ!X1LU0!O`{fGdGJ4YvgD7+etU z0vz73_dEqx4|f(WAMONP1nx2%*TRm%RlvOfmksv}TnF4GxJ7VJ!!^R4hua8u3hoHp zYjCvPo8Y#>eF<(c+@Hg>zb~cKfo=8`wO^MxPOPc8SedXyWzeG zw-)Zxa1X=%9PT=}C*i8#{sk@u(*U>%igWCo74Y)OM&%yP={S1z4 zgYSZ?g!^Z>9Js%RI{^2eaJRvI5RP-3--X)*_XW7e;C>CqYx2!-#c+QIcNg48-~w>} z1~(7xy>MLL{yN-hxM$&d;QkAaYY6Xz+X44aaCgJ~72JbxKZ4_0<)6asgZmEL2Ds0| zJqq_LIIexX4Q@N!m*JMd{UzLfxc`8|i7TEzhT8-8Ex2`XpMg6F_Y1h|;oc2b4fj>J zm2iIp*9G?q9M^_F4A%trGTaupFTyAJ|<7a+`qt1gbRjZxCcXrJ?*#{!X20a zj^GhPa7F#Khq2cv77;pxM@-Bms$@}atUE+{doX`qR99D8B2}b5I(}PGd4-NI2w+!G zFtk39*MdiJKdV8IwcNn&@wbZS`bKH-Rwu`)_hX^9#gA$6F!oJ3`Ch>@nVjF3UX#gR zzoxaozb3RM7+jqfTrYKEL9rDpj%4X^pRgzzpXZJHr{iPtbDXO3x_YOMQv&`Dr>3_h z*Wre*ymd}x_3k>ShE~a2wZ^Fx>odyYt6Tl~-cW&meL;ROzaWp@grNM;+SYaJR(aR1 zU$=UdcU``Yx73T(TXe1}emy3p-?s7^D6wm+Q;>(cYQbXH);uSxORibvvHv5j^{-l& zw+@>*TM7yaur*G`BEDel8tSoj{n{XZ>G(g8KC84o1?yJ_*W`r)*wnUmb;}xdV}jPM zg?(1RK6z^cYXg69eO7CIlGRJHdi(>~Cstoc>Lpn{{(pE6Nmh@4Abq0sm8@Qp z)#GoYPx01b^nd2{)&B6NOcJwjgM}gVlb+C;z`8&%7%EtYje@Jz@pdgJ znLg3W+FECg%}pm>?}_@z>%G|Jde6t!%+=_t3j(VP)(5;Cz6nZpy(iQEcho1=ehOBv zD=5fczuvzt;9b+YHmXmO>phwNzoS0U_LHn$lG))8U0=!SC7B(P>G?a_Cstoc>Sb+` zdQ7J0@2F3-zOHikw@DB1)b{d1Oypw*JmT-j=C3eb&In;voP0eT2XeQ-aa?={+)}uiaD0xs4vrVj&2W5fx*d+sCo|yq zoG}-U1C5*D_*`)t+!DAHI6jA_!EwMbACAwRi{S2py9SQW33K3hBc2Dx=YoZBeEysc zw*rnv;`8Q>aC~lD0LSOEX>h!c&Vu9f*bQ(TVB8Alz>)YIo(jhSMmk&pTm~G=%<@qt z^`cJHgR+SuvD_>(`LQhI&$3e zi}|P%bzpwth^LO^Lmre%qFfU3{7pXOM;@A=nU_47mpsUq{F#SxnU7)Sqb%|up1diS z`6xr{LmniZ&b-V+ex_ZBBOm6Y4C+Io49a4D%4dG2GhX90FUr+rqAn!z)pjHwVu>R^ zVl_XFrwnZi>PH#643x{fj3b7;wEc)7FY=*o%*(P+24xY)bmpTBrZJv8h#^nrBY&+6 zc~T~MF&~M)b@??9;>eRSm{;qlc~dUa7*Eo=F`Y8WTiZg*Bp>Re?autfG7s~UC)20{ ziCF4DKFmu#Z8k!pF$sEnUB0FN83~9)8$|q zc~TZJ9CNB7e%#ymb9Ao;YoPEtmX=CyxA?kG!Z4 zi881Y^Ap4TS`Yk;rjxfWk1jX)n&l#%JeW>?)Ir;nJjsJ)BOl74Zj`TiXdS2zF}mE$ ztL;lH^N`4kGRTYZl+C_)Q>!M8OVcp=A~>B z^(22{h}ZHdSL;uH#4(T76F&tXtv`8C7O~{Tc;?Z1;-|<@8oew0Jq$zS`FNnNNX$1mFFn1y2zJ%vpD8j4tFOU^HCP{W0^LwtAZJF}i0xXFm+p`$KtZnCt=+UI2LW3u*Zx1Y({>tyX|vg^Ta zpZ5A^E?=4iIl-<_kKBTN2=BGh{DP3I-&u4R!S#uf!=3&E?SYE+7QO)>iShb90A@Dx zZOrW1Z1d;!w7sHyYq83&yx3i}=9EO}w(5bG= zb!xcF5TFpZvtzdy-!cey`ClubxbZE!B@VTi5EtD z`0BYJ^_=Yldqd6l>X7O#y+!G&V0o#Ux3t*9)j!lvBviW1^& z`8MCl(jD+vvE>N6263(Px+mHB^tygcWvpE6g9Z_1zd>TTZTb2=tkkMlKH4K6VFx~m z`82M=!iAIIeAV&%nh|!$3`BmK%6_8uO?_MTyT9*w7@OZ2C9mc?QeOIts=xob^d05< zl;5oUu=4wqKcK_kkom^GCH=m$(jQlR+1{HBJv}1cus4dhH_Kc};Z%?RmKd$69#qa@t80&pKAqEfl!=CcGQcqPi*7xOm zR-U4o8g9_`lvP)j64QWJl{yc>7n@X@c9+&}t*$F=s>YAnax792Q(Z+K_ygLDsG^Dr zxh`AU`LHgr+21`7#`=0^H}+=k@5Ea*LFZwA2f7VxY30jLq0WcfySqBs8zG^)T`YNt z6FLPckBusza-my-fm@8b?xhmo_zScdP9 z*O%7SODWh_Vc3gtlZ9=2PZq8ys@lE_@3U)pHr|xSd+DB{+N$!Z?U?TruibJ7ajbvF zS_Qgo9GcRLHOpMb+110+M_IB%j0V7q_d@kLKKzwvMl0d5`OrrP$_Apy)Pqq9A7y7} zn=Cyy9Bfs5a14Nw7dj-`OF4gNuakDAQPY{xmg)61QgDIRO_q0c-D!!Jw7h zU$B4X_-(}KcV{4c+3I(7Ki*gq-#_;wY~Cj{ZpgxoAnbrIN#7Sz@cW#BBj@~`v&8t+ z#Bm&a1o4_UR?etRJRg9#QN(K^uA?rIAIrC-K8Cvv;Q@qoU#ImGx9(jr9LL5Z2$#Vp zX&mJq-yOqIZpEHi9v^&?iA&)}i4;-pGYI#qFmaucwH(%aYJMvF<$+h_ec_A>kE^h@ zvv+TdAM3FIVTTMrB|Fp41HyEIWHuPpLC^_;?Nq0jZR0fl0bF6xG&-DWjl$ACbrL_?oe*7xcAMDuNYMq zXkw~;Vfz{b(H3oA&$@p*ac``Dj=U&Ki-E=8#vB$W%(0v z)V~?=nwak?7aZ+%%D_>N)Ry>mQ1CN#AGpoEpO4l*@@XR9GK3u!VcN~U|3;GF1}%O= z7Vf0h>rSbc_vcbi?_DySOt0)fqF&VZDa2FH4)|=R&m_SW1SKvVKJ8Tl-+@mu%Q=X6 zP2@k63}=;d)CI@-^n_ykSfA+#JB$ZWuJa37{`5>){^QCXzGX3cP>%uRBfbs37>B_x zLzu*PO%vX~*XBiU;PO6!&J8!N`pO#7|LSp0eJLUo@jX#-ui|JQ@8NdthlgRgcubUM zL*Ug)fOshAL3H~E{PGNmgiNwebbbCFCiF)X{zb#f4}wPC3-x(L|n^LAmc7;ysOEO23f0;P=WEDo*)$ zK4rGdApSEi=aoq${a>3hCXU{kznlw;dxio`&X{f3kEMv5CjeB#}Q^Iu1e&s9JE9KUq3XI*v54 z0}3@oaJ&JvaOE>qTtEyWjW(8q-&Nvpo^r5>7iu#76u+tB)MYQYxt3S)n-tfL!+9Ro z72wi-rk36$Pu@(Lf1-3OKTRlq;`9KH0FGYCF7hW!m;Go{04FhZVD3N~)P>JfaZ_z) zQ^ifzZ%>*Y-=5`Bz%J#p+Oxu0=Z3oEn_Bxw+D>J5)jVAKugTI2Co8{vE_O^R&&Hae?YX2Q zaXy&1KE!JxzhQ)ZD#9#B>VbG%0m3$p_>%^X7DMlEW~Rfzp^B_ zW((Jcuuo+))W9*vc^7`fFA2x^ zvAi`1JMc-GALX_gILaMF*ax4aar8$F9Qj>BIEx^V#*tsjLovDJmyfUyKFP#2TDYeW zw)rvtDFes7J5G0E`y;L&@tTMmcfnD=hMpKs{0k6v;FC0t<)|@mW09Yee({_^%E@+0mk#B1WO3rTQg zZ;ZzcBJ5Kc&GIgJQw&GD)FW)-2GxAqn3`YnX32Rr#VUGUb3v<`FNB|p#2WaH0#RO} z3XENm^LO?>n&#z_Z^NrHz4>t&9#!FyM`QDQcmzfyL4t1PES`P7f)Ir@aGpCEkJ&ol5H_$1mh+gU93gB8Ljom2drcf|8M{+g20 zAFB`Qm;aV{`;{QwkF?yzgzX@>2gAgdHjaqCV$-E$uY$ZfPfHK>DLkN`F|TkErmdvg?=%oApP2M=W_y zSn@o-QT6g($)9?VXm9S@ThuS>|1$D%J?aR;X8R_Vr12GRm-r>{iGK!uGCcL$_>P!8 zX#Wy~9rz@sYtrqp&A^N25`-~bEXWOi&ca`r0MGg;duL1^_G`@u`viBXk3H{ihvWCf z#nu5fZaz}DV$0&$g9xAb&%U@;%Bqej}e9^2lDdBAqD`G_*iIrgUB zUNML5wtmX~ApKo2`*3}y8DR%LiS}nb(Z6iqSg(toOvKT?*@)M~{$(HuZq&jRygQK} z<(xshChGfI65OJJcw9Zgj>@RZ!TiSz9QC_`u-TqMku^buiO~g^p2E=P3|0fW3RD>=E^?usG zaXhx@sl;(U@lPOL6ZsuO*ijLhAN^AnZp;NoyIe7Fv`g0e-1*fYUK8bpli>O-+;9@y z84EX#u>D-aa&){uCYSm?g|Gvkq|3|r69$g;a|vO)T`@lGk7N8;Z_Nlh@JX5kB_Q;63@{gynPs2_1b z#A_mM)CEUG`Uhh;wyTo}JMc-my!4$9#c-@&AHoiNlE$&T4F-;Osrhi?a|82VLA)lG zf8$Uhj`a~iye5|848r#H#`;bDvlx!~du;dl~VXSYIh0jmJ%RpTnULhj1^)mGj>P#;>Z4O{{gHb*W+yJ1OCp##`f0$K2{N$`2C4|=&6b2 zt+({n{qvB8J8j|Y@^Sw)zj07mT~%IRT`RulWrl5hIqtpK(}g`uVml(2qVW}tPWb>vI(oy{vxygN4)pR3Antd=)=wAwW{BY9d`?kk&p{NZ3~TP9TEr(%#2&Y*u1mEChD#3Er%V-5iKHT=>HI-`J0hJ>;lFo)%5>#;P-NI4m{^7C9~0 zP9e4?*lhscGLhyIBRqL!!Jyfq%AqFPBvr*{UYN*cfnBnwJ$yN`gE2HeTPx1WhSgjA zfd`$KaaFs~p0G3boT4GY!%~ZGaelr=fikg$>0KGvo{t?Q!63grgN^A}2aXy*F-%%6 zeAGo1OMDx{-x1!Mv15d^=*Pi$&@Y*U8E@RIrpR z`zZvI^iz|idvnD<+*nv+n z^{cUPkt8_#J8#D=oNSlHT&iWel>N8bqfMFi{nBnv#E|s;ebHa3=X1#6cqAWThX4@u z*QD_y3O@*+_B%HLp7nh08OeVbKChfB@E!Ohn?Lb`e=YH0_|)eld_<1d*r)~;;+eOJl<^aT9bUTXeEmNyGN%i9LOOetaO&+Fk7(lyau zFCgrw2pdoSCBu^cBKYLr2tOHr*3T)VYvOn}?UU~IvBxiQ^A@YT(ed15-)o!fdu%*E z66eXu@2nZ$SxETqn)RVIJ?}d^?n`5MpFi$1W3ljV`PP%p=9w)nu@JrzCav-R(|6rA zKxK|U%WIk{%8N^@@M@%f@6n7B1zV}U+SY@;g)4Fqkj3Q~1p5lx!?_W8G_2@H%EQXa z>ZS**+28Q{a>;PG$#T5Pmu|Wb@Xcf1(wFr(ZC$vNMfY5A7_UCWsXk_cfj(YzLhctO zgSa<{_s>(?SJ`On6W6ErS@cum9| zbHP#0xPjw5P{D~rew=3tBVN-|{5ba9Eb+|(#&LW?x%AI|I))?vD+oLANpc+1C)N?h z_4?Av>3uR@VzmBxeJ=PJIUYR<-;t1n@gMCnigZmJKaE-VbDmj3PR*J`dBkU*jL%YYvqgVeA_aFDUfpP07Z3(%E8O+7?8-Gh|geIySC$y^yOj}PR-8A@0f~- zm;;yz!>kM{wMUOlAl`$wWjLhaMs!YcaB>NAKgQuU54VR7I=ivqKG@}ygnHWdcRF>w z*piCF5HJ^lHV_PPY+AWheDkwKyqJqIRSb%6T5V2Wb*M>)YDfjcnaO_4MF4h92i2r+YBbfFVdPrx^r^VVi8EPEk0F`<^JX zn5x6~32@{*27b`a&xs=Fbig?%-a91|8c}oCHoDsHcU}#i3hQRoql5%~8^h=_uTTLfzzm_mHq#yQe+aL{K?C+nWF@FA+Gm>xlA)AYT*v z*$b9Fy5C#$#Tbt5y8>ZHWz^RZ`#byl@&hh7T42=RHy>eVB%WVQQN4I<)bdA>u8H!; zEWB+uxAIcI)W41Avk_rOG1ldvA2e{Z(-Ri1Y`VODn|tK->pUQRpYofP->3W$}c?Kdk&w<&P^r{UM3-D!)egVdW1fe^~jW${$yLdbi52{2Jwll|P{T zVdal1e_Z*x{ZxD@zTO)VcB}WN4IJzJn1w@>AiKTA%}1<}`zZ81lo{~Wp`5Um-I;tU z#rjLGc%>d+p+^4}I{<>O!i&X%5T}K+9V~G02r|AH(Ayzk(|w2a1CV{E>)Qz~IZ1ZIMX0aOKK_2M^}*$-DDVE|zjus)ZTdEqZ*_ za=*;R zf^_vxQqjb5Z0A&>N^%8n8cSy z#lyZ^Kg#|w{qJMf6R(qv2;0vE#GSWrY5$P8&QIJEh}T4Z#}Rf^1k?5XbHu`3vT$;| ziKWoGI-CyGR90G4Qd+C>v;WuQ_kl0V@%u^m4&q6aqbcJW*}sQBCi|b!C#CO+NZ5nOY_-!)W*Dw8=w@csi4(SgozxkaqoPJdLBgzlIONJd)Zl_m<`;k)QTV_~})Q0-;pZzX@dfA)XXU6zSs_*vf7t!hi45O+u?Z&XSaKfDYau8 z%}FFCd+O>->gsDtiz*$D`1a^F#NnsN3lHfsu^zw8v_y6}f}faI#W<=if+g<*atuX2 z=6ILk*t}{GGdGwfiEVvRQw!LqLKbSt49 zxYsOP*1sgm74uSv*TnMnSvb4@;hHwj*Kuae75xH#BMeBq^_I*o60vkO%wo!~`s7MrA^DH5vyS=tW(}uU=2`RPL21h~~2~81WbS zx>$bKgMT5H>)%j=JN^(7N3E#N2>BP|yi~{|Mx1M-Qw+Y*Qc)Kp-c??dn>d|GoT!AY zv6=;nhDEc9Y$M89TD6;HLpjwi_wg3n)6=7|`eb`Mjj#isWVW}muf^lq5VoIFi5N9- z+=mi*F@C=?+d0Q;i@qM?$Nk;|2%G#6B}iXC`gyb9>?{x0bDH6s`|#~{7dMZzN*=8F zIw)72@tfP?7hCso0|$Z>1dmD1%7Eip8Hk4EIwYr@lI$1c>Gyt2I{8~TDUV$b(HSYd zvy%HB4`N>*cjEHyRamHIutdu*m#^gT^7gK-eJ&M4T^0&U4w%=OdTp^T0`!K9q4y zpXN*Xx?l5tSI(m~z;_T&a>I=(+!YIF_kYRyIouPlxvXkeL(?8i8T0&bx##KshyI6l zf989!dKJH3p5-|wtQE5t?N)|(P0SZT*ijKo_s)>@)wfjEhiyOJD+uRxOZ-ub->}8+ zxZ*duO!D()x${ldufAsScgEuNb!JL^$CpdKx}Dj2C*xlaewt{HBM6(hJ(8-e{2rMvH@wV{%LY z@sKy(e-P*YrQS4-kki_wfQ;us{-qC{GoMg zb5^ZxT_0HA8e9_!w3zKSVZ(wHU|+8ZFmcu5I$0MAcnenhy*aIUYw~jP{i|@r6nMQk zp-}6({MMG$fpzN&OnGc*IGI1BE)-i%G+FZx1=p-!Rgf3VS-lpQV17P|;$NG;K4;bX z{FXJr7XLbbXuZjQXD{9+iX7JV)9t#px06%NIH|Th&{K=I=tQp+vtwMks6O@@3H??> zdpJ;s4L+gnVxhUx5_ZT71pRC9ar2zK)oY<=L2yluKYvXKfAU-NR|O03BHCJ0&lsm< zaw?!~sMC`4TglO!T*`BxL)C1pT!Ks}SL^z`RqF%(P|lk5p;bBgp*3rB)}w{x;B)eM z-eAG{mf+gB_SBHTQIt>BLtUV|Jshd&=-uDmSIi?{LZ!>;a~y`kPvT=^}$oNH*R-BndrUsPY$RK1O}r|!?=91k8d?$ap< zpS8vT9FOq9@v?#A_W_UntNZgt_V;7=eLin=And>=aa^OHOO9E%3rTQ`{wU|k9`P=9me&Th-i%+yjzCTX%AxL z#q`SPDY}@~FD>3xiwz=-uiIOPv$**Szq?u^8qY9!SG2bz)Gtm@4&e(eo|XKH5^ySv z$*@qMR~#A6+!&AVDBarxQMIL2^-a6##9o4Ed_{F}5nj74DlQYxB+>ZdilVx@(z>SF z>T0e#B#Y+>+G-lnlZDgBxocxNA{h7kkjr{Y){ZY*?aBF%_<1b0V_wHKh}XpD+v5ly zQW0i5_FRnN*xr{Q>{vL)ml-(DryWg#yJX;a{TKWokste=A;fE%fgk4)RztqH>n!Dm zF&y>qB5cq9usmfJ?uZMHa-TAAlzR?gd;Wqr&yQkqiSr>GW;{sSkGM7qcMM@SJHKGy zSdJ?Qd&vw$es({`Hb6?&4l*vq^dmnX!VWWlO#K=R9NWcF7aZH|h=Jq2&LjUh%hL>> zr1>%bq94cP@>c}mEP_B9N4ty~Z(dWs7hG_}r@Ru+FAZS_@g&WUeusf0zX-yn{n+kB zeIlOtVfd-=J>!Y|h#NpWac%Hf{*y^?%|DU20{F!B!!LtR()zKydS1ozQ#oIp1>bC^ zcKzD*YNrbUvu4kA_(S=b9f!o3gw%sX`J%1%;rAQS|G>!z_jW_O4gNf4Z`S8Igzfqx z-zx@=`4;^m(TyP>S4IKNeQH1UG#qSWO{yHW%fN(xqg;~$kkNGOD(Y1s<{0O(r zoSndrdWL7kaMa^zgdO-KrVpxlnBniqd6;j0PVURWNVS90|6+N_(WgJFBPx(D>smQ~ zGxl|v&v#b(Ln=O9g>}5P>!_iRxSlM1GEya9eQx2H#AVExBEKo(GSa5VZ;Ck9o7w*?nLXRX`*Q_+2R?~*w{b%X zcV-gYqB)XZ34DjlKyLg76>ek_-261jZzFt1aC7H3pl~NA!KGoDm*vfeKM}uvg&Up( zmvWutmkr;IUl{S4czyI)xbStdeup;7_O7q1u^VIgc%7fJ@?BKvSB&rY}xoAnVvI&r66 zaBl5o3EGbXJc;F?J}5|38(WWl;Kew^%4g#i-7NL!fNzf*OnuKNT*fVlIO-cjye8WH z2*QqvpuXD9CoSBl3y%G6Mn*ipEQD=-Zn$s~oE}G>L^`j7F&CU!-i?@@Vf`G1Z^}iK zptc+3_3zvw`y+j>u=c~#WW4rARk+WJ*Z2VyPdiP6gtKCHNXnvp&s%!n{0*DS1xF7I%j3aj+KRWhvkk6HY+U9=p{->c+= zm9P0XuZibBzB-#){ex3~HQvFnZPEd^mm6^`w3OvxXaA?<3**Yz`C)xV?g$?9LrKc_d4K^Em_ zTkvv;Pgr^oHyz5HK)j|D{5YKi z=UEt!OGnsI8EJpbuf)RDTR1-N2HJ1{8D1=I#cnsnB5D49D}ORMr>2M-PlC%@6e}O= zw*X;BDaZ1S-y-X4Xs)a;?{(52OOyT?l|HJ%kZdUmng*&eFADBe{ksK+<)_+{-JDL8D%5Ur6oJ9YTY$>l#@lU3| zZfE+wd>r+|>tocaCmWZ3o5U5scYr6czBMj_cugFKJYnHv80D>3e@D{q*@Vzek6aU!(Yr{~*5p(IfYz^QE+L(AH{Llh?kS`bcVQCTM%)*PI||={PttPf+xMZdB)HVOV)f7dHyvRIK8bu% z7s=~kWUH))h6OS_s=|F4GM;uOzQE$=v-n-SP3Cu0Kj~Hcy|+m|UKOveTU{Uh;6r;3 zTl{R?xWZ*Di7$uNuNm>0cpq+a!SOj@1o14-ApBJL<4JIbG9|7CK5@t4JMc-&Pr1}P zb!kj4aajnP&sFl?j9q%_8-~g9^;`Mu@?S(c<)tr6)Pv>K_N+lVafe)REdK?>Q~y)& zsqg%(M1I5#A)dHH@QE9B!BLJ_u!Bz`?y2R8^SExfaTgrrMpne^$?M=4!VY|rwmat+ z>hG5G94YYUBK$P`GJ-%R?nt)8Wy5E_)9`H^uaAZtSza%E_LpJ!4t$d4N8AO4JEd^1 zx!}zDIF>7)KVN|FkQqqxGjU5+N?ZkeN1!CG;C6Xkd3`B;%$KghJ{8v2X}^jeQ1Q(w zJfyeY5fe19^mh~JriFXPm zzh?N3%E)+K-s6bZM1E%xw%3b@&)*P_Yed*l8BKl>3-@#q+zAUehOk**TE8n6ZplV> z+(yJ}VmaE9;QB1wGcGvV?*#+L`c2!ExIRYQM#O6(?nn~cGZyYr65OKA@%$PQw%7S+ z@1QS+V|hCecHooD`moPoekuvhQxwlH6=6qki|3cNH6Aw~;UNo0yObC>_KWohI~I<5 z4j4F=V=xKsNO8Q}ClGdPry~~b48m@5Q%d6br6KIr{>m&|4Z?2xZ2KLVB5t5Go*zsg zNVoqJ+v4>+g|MSAv>$Q2pI@F49vG_9E=SCux4fjVRn8eD-hWTyVrMxhGz~Y=j-elQcj2gBETm3C=$E+Os2( zAN30(o_x#TvmE{K%ixo=T#j=tB3{#t_~EUXov=TLh%v-#nnzsa?700yI?cW>#l5GO zxAymT{&d!b?$2d!`R?bwezNw!2R~k0^nnfce>t4;$ng(9J2UOMg+Hto=TJ{{E_EUH zYu46#3QMa>sJlMER2?k3NteesVpqf&2l1NWXf}l}4=N7P^IcWA6yK0O9OkiuJW4mD zV$r|gJnbE!!y?S{nllRyMI9~A_xGA4^P-w^_j8_!)9?EjdW(v?+Wba2r<|WQ)AHjF z??s!Mg8s}OJ1;;kd*X~j+KWdnVF#o*BP$1Q<2pFVFw}{&yTn0EUBO;_d2RMAqtJY# za`r5v6mb!%x(e}7uITFZ;}<}bj)%Biy>ov|MGWG9d%jqKjByeL#H*)R&lOCks;7Ks zl=@LIaay-BpVZB5yvY09C0y5m9@njN>lF096}BR@*%V?SbF@8xx+O*M$;%T@fo z4BxXN{YHAPEB(9qLJ3Q<)`J9N7bL#Lu}x2Mv7*mF;BCtQc8sCU1oE)@1u2O@aq z{9#W?DBy8`GaZ5+oY0oJB7l?Gl*c#+uBR98^@4K`&P&IecH&)lrm?kRnq3^zURW5t zeqHs9b^^$U_s4m}9p5+?3%TMOGX^u!GWb~;ap*)_2p0msp@R~t7vT9CyWEuSxV?am zDiNghc4p1?FlwTDVLe9Ag_uIU5I-3|yIv;3JJ9cHTG`=v>V`o{@4U3P(5gzIPVrm;pGZ-Jz0&xyO;0Fy-)aATMG5h)9|V6CHM|}665u_F;X2ZirBX@h_FKtNaNUFoiuRFv8X0-Tur$hh}V>cAEyvz zzeO_nE!i2v@mD{>Xg-28j{Z3VNB*ALMEy7p%Rsy)&PSa@*dF(DJegG&!|~TJ!fh&} zmMi4c$8a1s*C6azIL7xIxa$zk*p>L4b3Jj0C;zP7vpu)NzXX2(K1s{vxbgfRiK}Rs z?cx6AbMS5bSl&Z>C2kBp$Cr)wV*Ug^N%Ir;9mH$m^VO1l?shIgye5`EjIjAU=}iSmw2fTz7LAf5S6!Kb8@riuA? zAYBvrM<&42KF16^<(;tb;l;APY8J}+(!6P3;tQH%@)&MF*ij(rt%-QrbI`!E9gZTL zr9d`b@b|~~v-}MRA9umq;~n+>S4p)vjkT#^S9wVj&eAHc+TOIgsG_{2sJ^_q3Va^* zD$1d6{n2TU;p{COIF7+C25daUHqzZ2h&b%K3k%8GY3;^NGel!hH=E~yFHK=rKDON+Y9UJ2icmMU5u3 zt8Nje=aw4>^cFf&HRy_0r+Pz9olqWM*pTs$W_k-6ax%U7_8-Q>9&Yyy*565^zSzFT z5H6D}MLT9YAa2~kWwa#XSpQjw*TnYIkFeRVXnrFGj_v*e!j8&Fd3O7Vd;X0*{>t&m zz3pMX*JY1`$*wLGdQctwQs~r1zy2tq`L326B1ULbe3LILGpug);y!=%e5=K#5Y$R$ zFxx57CmvPu1a+qh2i_a$(oV_hWqu%LN7^SJVTT0*(LUOa9Tx6r65JIFwj zg5|ZZdt4uQJ+iyoe^}1d@VeB`xy)9FFi(&Q_6E@1@{`()U=S;eccvqgrTH^_5p`if*&pD!}1!hKug5*+-yUd^cUG1|w~uoV zM5|2fQ@nz(LjZ{FL*p_YjK>uq><|PpaYroN(@AhAEZkTU+!YJAq$9rn(E4peye78u zHiUgD!ql(N!ab7&_kxAHjId+z#U!}&&Uk(m2>Za7q{~6M z5es)5VTT})i5s+q;T>?0= z73YP9LxJ|z_JBj%IsS(+w3gFpm?F#ML5n=BF)jzrbPZwpH5lr|cORGu6LIYrhVzXI zbk^#N+o6uGgSo1jr($pFIu$$qw)UPfe*n=x_8cs9>hR4A4DDFnL-AcC3g%2v-^FNz zvcD~Kin{kF;TCJFQ`M8EE$KZFX1|U5NpWRKQSJ7+^2!=~Lzxd4ycbQyp5#*%{iJ!1 zC~~Mr4035|K~v$gF^&&zFFkmgaju@v(j3eeAKaH2ysuDv8GI8O?A7Rz)5`piFIkAJ zQ_-JCV2XT(z^UYOFd&@@Zn=Z^r{c7lT0Zt`xJesYO)Ve9O-V2Ehl$l=6rPD&51^0g zru8;0&I~L@4yI9d?~CPM?vjJdZgtIvF;rpx0(AQ7|ztN608k~wGG=N3%HSx|!u7~BoF6&oz8=y!w0*%gW_{A`pFzGjCUQ3&X23_>~@BrKsW9&IN}O* zsr#f{Pm%g9ItG_=QZ7F>qhYu)=^1#jM98}pO)?oyp93kDl#pRpXS52I9a$D_&{_<> zt``Z(R>)Ruv}=4WSfX(isk@2hL_G|?rPUQtq}hB#^EGhG9#is}KMsh5xRZCK*$6Y` z81*KuOOc*vcZ{rX1@X&!{P15g`DT2fp_j>Te|J}JxW`$RwOqH_cz(-`daZU_QdAz~=+q*I1hHRdB-E$DPPrh_! zlwm4*@V*BgPypG*T{|-Y5D$7}Hd|yC*000}_9+`Ukam&A}YDZP|o+`;sO?XVT zJX6Kt{v@7q9NthJJw8`6Hy9Oge;@D5YS6^TG@*$(PsMtjgpblbetwJjjB#csM)Ejv z5yN^pb4<~={Rs7V_mL{%LD1I6k)Q4qb4AG79)vVgzsx{pfU-zeEe}I9@nO(7?uq4) z++%i#DU6K#dP(#d$jzcoc_eE4mQdti2+y{-*Yf6_$8b!jew0Hr71>S>7YY-(>Z!(0!h~&p&gO|4l2x3`X{%WM3J^!(csA_9Qz2)_VxOVG zW>cjKKFKj@I~4Z+Ef|{ZhIJ~=`RwF`1ix^LFYRH%K@FI@!t#?Kyhso$o4B3d=vTA> zW2#M%&$Js_Q0P#gqc@1_k=KMeDhE$cN~lZyO`x~BlwH-2yKY#1OAoeW{a`J6NV~ii z{tu_OVLR$Mi5`>cO&%oOAmv5R7K_I%-DpFHaL_cj1-f>NVme#4?idhtC)z5m!d5=# z;V3BXqZT_z$z6O=Sijd9BD5q)w!ZqomQ%j41?>FEQU`IXp_ z+V;SBCSl!oI_wjSsDT=ObKlSq!Zq+o)8M$SO58aE$92K<-o*7o?pr88ye97Rc*+Gw zzLyOg*J(^-Eo=Ac_X5cu!I^%+4{Fs4b zyUys3mn+*{d$-;JW!K9}JoV^L&;!#g zSTV+0H=ghC{!4A>;dVYM6gqjic?F{1Bzscd6S+lF?+Cv1;l96=DPn6THbPOutHoyq z3ps6-tLLt+Htc3E+Trp$WA@^>aLLj5`Z%Yq&*mBOerwl<8(-E#ANXiuJq%fV^>uR6 z!kxEpw!FA{Sd}m8Vdm|{9*)gP!me1Z#Y?G4?_&}v|HjtUS`J6B=o`j9e{pl*0x%{w zi0DTkK=2sm8A8ZAEqUmG}sc)UPLUAauZ0zu35^wL64e zikPVpuP{3Y@l)FhorRu)n~YyQJ|rY|7X-xzh#bEoK0uB695Tb4kJ@(5&#JRnmcE6B z*fP=6Bc_eG7>pHONeMWxKhV_E{zyn}kee76z&^`Ka4mfCTQZC;LPIpNRbi_H6EQ_5 zJ5Fs2YKHW!;+1i9T#ke5sfp8-v2|>u*LOuQugYj3GK0=a{>jP=W@auI>n5)K{aK?O zi2nZF@$H~y*?;Htw%5n9;x1P#{qbUb{e=g#<>mW=hY&WezX`7+y!X3AGL-EU6NPQ+ z25FDW^muw}Z{R_ELmjVacSf-C6x7n)t|#`_CoKKWBkU-dOh2dW=u^*K`h5l4ugQ}3 zuDL^AcVo9nf8ciM4=LZyH>z+G+QE45fZx@{8x6IkMHLl$n@Y+{m9FBt!=-s(zZ^o? z_9;?tP&@uG=TXJo-QmP*cQ3Zl$afRum7OggKzi|p9N)d!i5z`@z&da(^!HB3=`(lNy8_6~X$|{c702k>8Mov+GA*w3cAIe93WRHaYnRuSn~M!W+!GY_^=}=IFv-rRug9UM;_Wel zup@HBkAKfuxYsP)sA})&tK9E1@#7Wi`AU4LUJg@C`*4g~6~c)F^08F5L$&`(KCa^a zpr4Gz2kh0lauR+l7w;o>9u`;BLy~+BaA1H_HL&=ogdtwPQ&3Y>FQ%hv{1GuC z(D9S;6(X^GW^%?V9}be~>fDd3Dmp(bfnv3d^_?j$y&l)=f#ZUU?~Bzd+pqKf_Bacma{Bd=B%Ht40>QiAHv(hIbWcU@8o}SPS1qgp^{`$O@ z3T$%PRkU4qYaZMdu^YL)M-96?cDfy&2%p&wJJNMZE#B|F_F%UEx*vaT=d3@u_4I*j z-+lRk@S2YXe(;g^1wXW^|2x+mJ9+-_?LYX&SpQ2e{_))vpPu!FBcFW3wK*sM<2|>1 zaUhufncIHq3_noz&}aYadqvMb@z~jKFZj`g?;hCs+}X=te#_V2ynC=?-pKI>gO9x0 z^6N`q3B9AbaoYTsI%Wm}o38)m&*rAS>x|R6;r{gYiVH=}-{^j5|DS*D!ZjPWpH12E z>$l8(@xkY2fAp8fpIg&0_^GYm{L1IQ<9qegGym3cZdGsN%cWnP`_1!vH+}7|p7Fl4 zw9xatoqapMb>?RO=og>6eB#c#PCvW*Pu};ruU`MrFP!|)$>~|C{WF?A^-|hDEcwBl zPrmI)*UB3z+8X}qHvivl%4z-dK+*Y!XFl|uX{VeQ2Unzjzv{XE$>X!$pD}pdzkYu11CQryI*|Iu9l`fZYYhDR<(&^ch|jDp zI_C|&rOMOt)Bk+m%#KGtI&I>pkl4%PhNGdKS58b>?sD-~ZR6C!4?Blao^Ne{Q>GbyLOckALOJ-0yCDXhzM)i>5D2PoMMr zTb;D8WIorn=h?S(IkV2Te)hu`{Qq*@tKYkS@GCDZUpzQEeB$`GzI@B3XZOBw?upzD zjbHf8Uw3?N#Ch|{|NQi`U;IGY_dk8j2VVSSWc~JU{P1rMzWBkLmVPJY%{QO#xp7Y5 z-=5eId}{Lp2j(8X_kl;|eD%5uAAEh*dzXE}Gy9Vty7sY>_g+8#;zv^7*7nnBxBuo# zGu!Xm)AEad+8^qBXl=)>|1tBy&W9JC{mt0jUw`|(k9<4*g?qlczw71CzWVAb&p%oG z{AX_Y#*@R%7eDgNZ@%HZU;DGuUpiM%`_q@Jf3pAcU)#Uu)L(pe=5t$ito>Bkx9^^N zu43Wrzxnw+*Q{-RB&F!@UTJ=L*US5lzw%^f-r?ulw;g@f|4$3v+2MC@2jKFz4Jpa zW#9IR@9i7@Qt(fg{xopu>5n|nJNvx{rhjbatf#lEz3xYE*?(>Kygi;7Z@TA;@0C^`Z~ULnymJ1?oiBf9&e<2g{?@JEKl_s_&wjV9^UdGB z+xcSicXAk}O#kt?Ae{)LJm!7?5y?^Qczx>6`&7+mu+snUmuygZ04}9$t=kNXI zORaNW_Kj~ix32CJr#|-gANu_F9{=j6w*TPu=e&!4`h_pN<4d3WNAG)|t^13QoLDkr z?da#;JM&xrynN61>c6=ErMq$;X?^zOJ^s_v7q;E^)ZJYx);&Mx#GgHx_IC?ko^kKH zUYVX_-)HsxvHwG{ew5>d69_x9yAaP;8aMRec-$z$Sq83g%L);)`#I*~Gds^*X@qkN zGfus~;K{^qc2|@2b9Q-bxpul9_f#CCG)cT~96{JoVLd*gf6BnoE*FyEmV7kEkGKki z9rz@ZU&O*aodkE=w)6MA#1$rEzDee&n<9&^| za9ejYDAI%e!y+QnyQ(9Ie8R^@?5N)nr-Y zPnGh=w}^NTd>R)tS-soo`un^3B)Xbh9=DVSC;4r~>o0+}!uGps?p}rCK~}Gk(Vm9l zx_bZq#P|}7-#V~F(p}gc>cH4Z!EtWZ6wY-jN_wo5W;3Dx`sQxGW8>0 zlT5h-$TNcUK?`H!&l%|#jo-wPhbB`l`I=BGivV#q_2SswB=$&@?5Jg=mB&RG}}&wEe?Fq#VRJ6YL@ zAy3+hWa>dVCYgF<{5i^x^kxfVQ7=k z9Q?Lr6Hi{6w<(|eP0|>~6?`Pk69&IBgTIO8Z_4jC(ub1BCoj$0lu!O9X$<3q#~AcD1im$f923jm#19zhL&k5WlZPg={N!tr zDc3oM@+19>g|YFe{}tnrVf-eJJT#ed$=4)P?jZ8CfsfDPW#VbWJ|le)zirvXlb7ag z$|rx5G=_1`N7FnPkv?K!67ZK}JkpKdnuo@la>>^uQ*IgLHiJ)r#mmIA+=q~9YUTlq@T1fCZ6)I80qOhbC*wEnzt#R{7upr#tpOl;8y^bj70g3 z^noPu$xHJ#<&(cj8pF7AEI-muS{NID#Yj*6c|1Sz&}7ObUz1F^S$~n{X#gLu#mmNr zjr2a_H*w^l$&^dJCYf@}kY^O>$1RMFzi6aiF@6(A9-2(K?H?GI2HF??&X=W~5D~OU7TixBFp(hbf1;oH5dpmD99i4P>Ogn(7%d@|isOn|KEp zOQJbg%SurM}$&`3XS{3eb(G?{YA*CbQ!81h^}`lyAm@#(*e z@o^ z3`v*gxW$WUX1Qzr3hf`5Q5T%Xa*j3q*D;-%@q41O_ZwL9n2e}{=5CgcdYPpAl|IW3 zZvBe3gD&5&i%zryd66{kToRl_VI1{LnkVZw(2Fh!k)_W_uQ7fz3wdZV%PaWm1o%od z5i^23L*R4B;$^@E{-lw9#`sMfd1x}_lCMdWdr?L7{dJlrwCyw<$HXllUk zKDaTs;pnb9of`AW+wHzec_xhl=XPH`ZsBwu@*FYJCey|FM6CZL50<9}E;Whn)WtE< z3h=wx2qRgL$=9^E&9@EmHJP}67oNnieuj)RTeiuMc$UWpXY(`L*8p{xHp_F);EODA z#ByfYA z|AEh_#fxd0h)$C6GI-FOu6K1k|}o_dBWgRX7Mudw0FOeK7`+AjIhR%m*#EC zCx4TiVRt>pEu79no~hTwc-XSFOyV2B+Xrlhf!BPrPke)s-e&wJhCDQx#GAMw;KqPC>4MX|*>7Ai(lb(Kd6LL^AcI9Ft5vQa_3D5BU1vk`eKJ zM*4v9n|a7XlPQ;cO)}+rk!K9)CoPPPzhb1P&WPtn9-2(KIm<&v*SrrZJK8AbYW3uEIi8tGSz-^7uJCQ~l?nqqQOWHNprhM`@Nn;r2JAwK~`k;j|@sxkgNFT>{6_jXe!IyhFU{MO zPyQxp4C988$2S}0hf7AH{6=~hzun}Mm*#ECCx4SPM#Oyv{6_jXe!I!{ zfS2ZN$|rx5G=_0C$m5%X^1~$~QGO#mjNfkZ$xHJ#<&(cj8pF6DmjC~?a?ea_iu zsMF+cXekqxkJ=yP*I94pU$$b~p?}#AB`%}1y4v4I`&mYlsQp2Hp7nPAWh=HF`j`Ds z;xbChY@+?-Z)hnKmXF#W#gS;mCNG4ZTo||Di=%C{)s{RS#Le3sBH4u_I9TS zbyY6*t@SE(HjtlhDWkktqV@;*m0(?EWFwYvd&+Jopd9uiIOHdkFpgTK z&!v(*ZbwU*xV*~hUfyEL#FE!KbB6G~<%S?XF4s!=(mhZ~*iZ5wN_<~%I?ls#?d}Vz zj3jPHrNx%d^?#$e-ax}wiuKX(ACd+0E_kcLz3PKOAqXe%$AZ^?K>&T#Il(6R^Q5#t=M+xU-m}L;tcLN?b;1Y3g)Oq5Umo!tzo3DHF?L*4z1) zt=M+xU-m5=Wh=HF`j`Ds;xbArsQpuEe@mILypAs^ z%EXdkeY7#CGP0?dC1D)$6G|9InL0)CSGAN0%PWqJLH^CGk2V%nPd3}dAwQvnanx_& z{{J-2r7dOR@+zzQw`t16vX=GH&V8kzTwH!M%D0}mNy2_pJfXzTg)#&amTUK1C_WFy z?Yg4%XYhX*k8;tnkb&NL4Jw# z)N`D>WlOf(#Up>Agz*$^=e{xdH@B1t%PXGl84Vk0)(g)g9@%aekNkxa#*@5*_aCso zBJdZMl|Aier8fCnNlVUTyD>M7=if#2O)NE*=PQb#-TtPyLMc(M-E-^3ZG0(i*~|uc z|JhjM=YdJ=hWkV7^T6==o62f?wtKD@J}-;QWhmS3d0CotNxZ$fXEpbY^1|(!`w92I zDU)tfPG#fmnh)|fv0h^duVZqv|M~4H-|e=i;t8crxpv#L8=tKbZ_iYa_n(cme5XGz zsqe$>xi0V##-SKWL0*ORXM5Hh+n0@Jv#;&8K!uQayKN2p_?+hLt9?P^J~?OSzLLFo zyu{@ek5VqSt!<%x&y7+pjxR2^Zj^GdJy-2>TPRa*Q%+^nmx{a|}-O4wg<+^dMCRl@zNi0w_3DYhx6dU5-zL4GR5zVu(TkI9E(XxBc)6-qe1 z;<%R*ORK0{%@QkjasPud`8MU^dOEI^gZwS5Ki58?y0WeQk%Zet{z3`+d)?i<|1wOz zmQh6IGeLefSXUL}u*4z!dB%d6cBC=*MD^>+7@Wh=HF`j`Ds;xbBGd=J+@wqJ3dUkT-oE^I?`&yK&tT_S;=g)dCwTTcupwwlI!W z*ls7XSqSn*iy^it8$0k(p$^|lZD%q{({W-;m_MKE74*^U$A)CP-El(xLJ8wZ|BUCq z*ymfNVUebF*<2OmuVek$Vv)^u{VhMCgmI|v)z5q*GAt6Uhvja@w%d*OpW2j*E2{i- z%EYoDSXWwP!!pC|EW4qEey88d?|;bWn{Hu|rgimGF~~2o{%rjuo9+5ZenJW3$Wq7c zPWxNRw3eSvnOGJC>q?7kSY}iETgrug%W6OQd}Av%yAz}K2l-{zpRN6}*|zzuMeSHZ zJJcSiv@~`kS=pP@?dGhU;D(m>(UxARj_v(-SkiJ`E~=5!4*&NYmc~^_=!`)923g`Tr7A0^4H$`LFFF{_2rclO)o-yr~B8Ycg*(s5LEejQ1&)dya&XSHO6?rs;o4 z7OG4hs!kEA&1E<+yUPt;nXF9APssIU$EUSjR{Rs&rC|QPVsVU}gJEPMR2)Y@`CbO) z`!p!uAA#!EFPgsRT%W%fs{Bi!+V>$0&&pW9>2G&g_cR5!eQikrP?&?UF^)W%iE zR@5&W16%1`ye+q@w>1IEjwE^8=oFwk=tmSn11;&-G>%_m05l^WCrN7Rz<>eQb9^#j$udzkOZ`wLMQU{hd(T z_5G%Q8mj!aptkchrauO?|LnbcqrcqkU8Fddf@)*Nn1ixeFqWX|R*W^HyThlapw3Pi z({oV!QUMMv>~({QUEPHG_*zeOTxD#&GuM$Flip7Mq;4PQ#^Ct*_RW8`T;AG#9hBS- zqjqznPks7%DE$Vg_TLZHhrcy_>K?uiPlW2Z(@nn~jzhoO^!`2lv4_u|NB#D4*hBu$ zU@zQi`uThL{ClDLYti1$>Fj?rlzs|SzyA;_o?D>ey9Y{s1+}duCHh)Yfhtoox}W%M znKEXeZ03vwIJ7I<>+a@lvy^RfD&_vOeV*FK$9N)?tb~g1n^1i|;RRk#L$&cGP<{S# z)6a&A_gbhH-e&qtKDwzs-wP^#kaL^r&xNwTr`-%b0Qw%{d`nxyc}t!)#_h{lxr?mc zSAu#~)!V1n?ZfeD4~|dsIX+F_Hc;)aOo)zk8v;93tM}vm2m<*jl>dPloo)ul$DVC| zezM_?ajw9(s+3o@Z&qDv`Y`qCN?XKnIMeHfCw97tYsR^;9DBp`R+|-U%3glhvk3Fp zP}*0a%AKN;tS_-{zbQ#l6G4>|@aEf)FHvh@J2DdR+#ytB(KhP4B|ug-&f=3Y>~l`okM zRe!PRL*qN#_$A}AUFK`C{7>(s4c#McP+Bap|5XPz`_Eu+DTOlT?=Egx?XLogr z_r)6gWa30vplwlKywLakcc5gm>B^UU49do}rYrW;!OV|XYKmR)E%#$KOi%Q8GLPtv z<`Ak=r2I<`VgFrB9gXwtypOlJw<$d_rQ1!REmIZ_)cUIv@_o7SS=CJ*%Dj&ytGa!i zu5V4h>s&dpDLtX|MM>9pWp_3ltLxN9!8MHZSkx{pMeJtcL|CP*8mAR}YFs`BC36n* zy7DCtTHpND^w4iveup^bt{mTVy`pr9{EjguU)bzFUG@IuTV*9l(qcVhajb=s4?@{1 zTe|8?4ukS>lIa7px|zTAxoL~WyPjpySd7NY7Gjd^Jmm_9`!?PHC6Adtz`0}BMSX6{ zvVnXu*B>1R+?{s(p~@3O8D|gox!!xmbKLB0%1`u; zb-hcvDxLX`+?Z_hF)WKee++3H2bCrXx6S4F$waCCPo4NQHsWoe)GBGQULiKE&pq0g z-v`RxA*Sb`@?}Tz4AeH-^)9db{z}qc{q)yFH*0mHztsNXG0Yi`q{R49x9zbC*x!I5E{t+~&{!>hpE9H+O=M=Q1eyp6R~M0Ar=! z^{j7Xzc!&dzS5KL&Na?aYc0M!{v<^xA7v;X zRjBytP(G4B_3>q(YUJTKSTen0`88NK|Fd4<=SIt6H)UUE`nREM+yFHnowMBQZ-Q#a z2OwuJx8C$`K*iAiO5g5-pkllbD&|X|DN(0BjBF_&fD;f#I!gu2b|^3guQ z{3-hy#tlo>%7(g?O^^7tdrm`>@pm5APCxYf_;cwy)t|z>vb)EmRX<03>Z{Z}%qgR} zO5-@Q;Fpd0Xyx_3+|Qxp@20D6hPu(5{mSM!dzSii!^oUAwbI*oIh5RZy4QaOCEcsM zzAKdMVftdz-)Xvh=H!!mt^?P%UdcJ9Yq_=fX84WxE?M0N%+_sh^u8W|k~`ky^#`Hk zx28W~`f+de`3XP29NB*9w{Wk8C7JQtI@FuS%FIqTbN#gZ)ZCQx@F3Tq+nI|#JkIsq z)9t!9_qfjGNms|tE@$w47PA%V3C%_9THcQx_K-ZsW0rL)uVXCsq%UCkq|!9!+1~BO z(pO5$vTQWlt$I^EbpT~!+fD7zxs3VJAakj~Mcgx5n9R4{i`hwU%qep|_HI{sr*luT z9PwiH8#~XUmb7H2OWxDVd85a@^<8{VnPp{EmhU(Rdg8clcO2IuNy;U$kzCkUiF~YN zjN-jC45l3wl8`FQ)+?JZvnejev z7E`pf%$#+nk0d>l+oFTGLABiGOleIp3MYy6O%gJr0dyJbNJn* z&+WqgH|OCVH-oX7 z|DNR!lU8MYJIj0dXKvBhThrB)rWmpoLmtXbQL*su$c*!*)(2~os*OAGzRhKh?Q!3? zvT~u1?RQY}1e8rz^z)-V);G3IMSe6tUFPSfTLQaTEB6K{`B+e|Zt*7X^YNzPv&HLv zGkUzKPxyF_gOXQ4#rt~5nZSMgBA*{04~F%ua7|})zo|mrv7e%EkM_rpW}Vp~<=mn! z7p5l;8s`q;oV5qnDarG=7nEh+#7}91bKhZ^uI(hx%-x#OrgXX~q>WuPrYU`B);Kre z3(@lcyL;^CVhm62k#L>2o%<>rFUp@`o_Y!Q5|;W{Lp?Fn%QHfjMLlk)KCL#TI=P}N z*63WjhI9F%gbUBRL%XtXa-VN-eX>5W)>rMVbmzzAy0V(XEyZti&Rx^Ny%}G3XnwDo zx_pwGx@f>nT|cQ7KM7FzjLO?w(#BFc;(CA2C;dGRKP=(+4b!YnE}CE1eZbl#j9yJ! zU+UW$>NaOehwT|j)AQhkJP+>Y-V(?9evbA1tH=9!0>{Dh5BMJrEUV0Js9PK(bFuQZ z+?!_ktd$M*);Wpx!JNkMDEAs%XZOhdR{EhIj}Jh}zCW_@8Qt@;>w_7+ZpIq+U&h%C z=0-CZgEJORtt1B9%$EkoxWQ$~bYe6n8}}?n$|y}^mq9j?HZkEQEZ<;?X=XuDFd8WYfux^i5kf0MNB zW=Hv<9@g>OoBM^_kM;Gjc_Z^UmP3ysgj6js9)b!#Z(WNk zM`hQKsSHo+;#|*pJ0OWx|-0W9g`tPk!P8|n9xwpeNOf$~GWsg9e- z2H-eHJ%@dVeTRKNXK1z`_m?pr?Xzr0-Mg>e?%ZEkZZ@Bx9&J1Nf^FybMamcMaPD&~ zJ5*j{e>44sZ>8PI(s{g(y;TqEX}>G5-$_bP$Nh@-!>L^yt9X}swZ99d^Zb@zAGG+h zG0Q*k`{2`1a^#)t2k;`ObI7fx?|_ndRiD2vlpG8d%Th~!g{7Zj=`H=1R@s{3+@;&? z!oAYj9J|A36UniS<3-^vZ~I$N@+;G2gOBjodoDdA@3|}v>L%~@bq|D+V@ywsc6^!B z=jL#noU^i*`zJka&LZZU3un}(SEp8nhMC`P8(-&4Rf(T-#@GDO{&P>iEBws4r&+E! z!Ed`zAL4#olKEixoK*E!5m$6h-rn!JA5Oa3eVmiG@Ci+`5$bAlN^K7A#pHta79a5K zy&OuuZ@Tt@D(l->!t+p=HnNT4b6L#bxGev9{7Z@>eb0M2_Kwk(-o|!|$5!z{AJg?v z@=K_(wZrtpFMa+_=g`=Hd^~;*U9puFQ}oVIAM=6cd+=_2BevYHywBTfiir8fue~lC zRoMuh9V`gzS-G>JcqJA3 zMpA<+<9=>^Y0MaN#-gzd2WBw8;T+nxy1UwCPb_q-F8vquX~AN=-eRso#rFrOK79tN zPbdD?>w81>;fqW!n|_1ogIj%{9u8IiOxOj_H~pJ%9Qq@sPyC(FABHM_095`_rk@EF z&wHWd!_fCA=LY&Fl3(~fO+nenK*gMcLwk>%@`|QI|`hzgahicnXP%`!pjkXntT~dN7Q-P{e zGr9+D{1`LFoUv#u!+{k4muvX|&y13;e|_T}9F3v+f58}P?YE4z<9sMt57mb6K(*mU zsD8N5^glz5sqR1e{C7j?7nuGa9E<*#>3xs+F*6s+-bJQwH2rC)F*N^AKL146js6zX zKL&fyZ!`S~%kQfD@-w0G_cr}iQ1QPGO5O%X#vuJ0$uDgTLdBFZ=8Oen*;s`G^ZR(; zZ4&Rh4e-8O(k0jT)q87xAJ?{--q$!6wf6Dz)k_xZ?=AK}L$zh-ao^TGp|-_h(_aPE z_ir%$cy<)&CquRCTTt8L9@9IY@Y`Y#D*we$egCxSbGQ5aeWCJS0+qkq^!Gu<^I<5t z2*!Que&zc%Wy~0JP%#&vVk<$#R585{2lkra_F8#>+kN>Wo=xxXCMWiGjo$V5cQXG4 z{ad$q$Nz-@kX@kKlQ#VfsCIt{D&}uN#ryzN%qKnR`)3VQzpR7G{~A>JckSR0av4;= zv_3B?(gvkxm`}K;e3>~=GR#tZn(GIabth`BNhHh1HmA+$VjjzL4$}3EJS?A>IL1v} z)|at$5NwOXmU*QvBWo`W6I;SO2U8NIVTk`~MpN9?qw>0R|UX=+c3mj#bt z3FoIxX_P6EzqzGMQ=05_V27p2PLLMGra08bn7U^Yx2>h^+6L*G&eb~KOyztt7@cpl zALj=e`*Eo&5pAzY<1|W~J07ZTL|Fk14HJ)z;Sc*Mc?{tj;A+^5xh@xW7c}+*{$ju-JA?`KZgsY`yEp z^LO_7-qrqHzg+02-^W&hlG{y>?ToO^y*BwsKIF%48V<}G@8+$X%^YMFbC8*A!>P`n z<@`2W7x<00U2VYo|H35i|7s|^cbT5#dAxG6Q0WrI8B=*xe8;-_zaHqC59t3IEr40l zmqMkvuW%0uB>&w%=U0+M+f6oCp3)O-OKn$;0i~@a&A%_i{U+=P`-B`^!l5i+Nd^2PJycV7O0rNVET70e>Uw>+{Z(S>gHK* z{teCek>Aj431TjquP348g;Tum_`b4i6=f@U*5M{M+FZBt$*I2n45&JZ>&Ln4*L1s; zjK^{3>CJE-SH)ti!KlW=Hs^)viwsm>7l| zZ97zd?tsz0%!iHSdoxsj-eLNEP;HVFh)Ys}vQdGuQG>GKenZ?m@90|=9nqq9-Ln5g z%ysiMX~@SiZHA9+7brgmLix=>$(x{$jB^#fCD}GHtZfrR!Rl@_Ti=1QdaLOjGaI(^ zX1fUGuOc7Z+nBX-2HR)Ijc5Pw%=>-6)W%o5jZ$XwI4HRoYVC5qfmarakmw_ zxmiB_olsIRy>$+iK1!@_W(nsNO=*-# z%}Ka@S>Ahe<5^{x=HHFtUMKe!^&a!|h4xNl%ez7aVyX^%-%mivGp2{fm5r1eijMdG zU90%rZlz09Q~f9wUf=vqqkClMcN%N9T`BHTyLkUgq2%3AYacQFV#}8u$pk20^Gpxp ztSZiy{XuDxFvfX2Z^=Zd{!g||jA_!eO;n<6F;p$4ze3sT+ttT>vcS_HWR{tW?{k%%g3>){EQ#>E4T7J(w?{6|xeeb7vn=GZg@=6v<1?H`M(dvH< z%F?$@zuEGm^UbM^_Y8~J8q)h5%tt5R-CvL2MAV#Ac@o))xBYuhYwp+NZ}~kM`HDwn zB{kxS^~`+Vj+gA_+x1?kwF^uix4X}m9myOhUoSU3-1csdc#KYD%yS-H@8={+mxOW7 zdqZ=a1?(-ROdOZW=SYk7^a3BpYAD$bwKlMa*XKZ$l^w|iP;p*jx*d;3u6yF=U~bQZ zo5Zq#c!pIm(Az$v;`(7|!C0QTT)?}b>s&v_K;7F_$^AYONJ99j@nz)eb#$ZmW{;gVn)}g}Q|yFtn4 zpw{j({TG(+``VskulK)8Om6ktG7VdOEp6kgxS#iRC6sK1THAl2*AItkL+f@`TGo8! zp-LB_#!p$k^^D-|G10j_-^Op;)|hqklic6?oddOYJe19|pym!AH~rr%{~J)U2`VN@ z&Ej&u^Kqr%z_d=@+fFK`yp97Ko+YNL+1g>YyB7Ia4uFc~Gp28Zl5a!#jjs)~p2epm zFW>5mD}7&7+W1W#;Op-LB_~3yy~p$qT7J82P&7Z~7C&3t_{kpV{hR?M7elRm#q=vI ze~5b{{VTa=%6snpSB}qXpNo%&Rr!goqnm$op5*yoye%_}y`L{b$*)XLoZRhBUen`V zxqO_P$@A~%T@2k%ud!qA*z1PC`LdknQ%Mow!$Hq`kEbMd( z*YI6F+Xgj@$35D-4Yst2rS>A<&iEsy`(}JBOQ0kR)kYuF#OT}>9ZPwCAWzScp^Su1xIlw2IttF+Wx z8`P^>xu>k&&=C!PwYZ)gk4iy*X06=YpyUTZJ@*I3L-g!;JkO4s=Xy5>HfmONldj(S81&TzB-WzI)!zsNbZ4qkQmSHp}{eUsZfs+>X7!#iJA1s`+dU9UUJT=S>t;7u z_x+!S?fSdg#!ozMa%S%;v%l9e@9#LM{<_rkjZpGEOW*4i78jJf0jm5vE&W_e|0s;( z%@Us^uQ-GL-O(n#vem1aoqs@inzY>eOTpM~N%m%SaStuY{e}LSX{N1xRwU>CFt{ZMOnByagFl*rF>vJ`6?gF*-$d})s5Iv z&thXkU?XjHE`^dqS9lv1cl69GgDpu;HiQ1J1a{(fR;>PmP||avZ|77f8HQ?O>ve1) zwr%Ivt%2=|)p^it{N8lgDYeu~?$+3L$$#;_UI-;enI5*SVr{F%cI;fWIIt79&7I`! z&4!YfLan{Z^w(K_Y`@(#mD}d~G6hvP12z70FuL~P_b=UdH{Ko1wTUB+CuZt3KCWY+ zWChgPze3r*3hG$)chmb$_W3iQWIj}14h{2eG0*g)=Sa*gqx&Hg8RmJ#r0omb#E19b ze#&0_?q@%jrOufw^VTxIjeak>XQW?3+M!CruJS`YtRsI#>x;759zFkT+`CxUrrp(3 ze4N{$WX@}SI}U}CqoM3ds%E&@2*U9Lj<7M82d zqJQl@cIgkYTob3Q>5TM8NUJIhd&&>>raI(@b`^V8vFo_V?=i8L9`Q1Dt z+?RW?d_QK^qA9L_n|}hT-##uN*0)nO-j=Nl?~|%*YktMr({;Mv=F_0|q4iKPTnZ&$ zgNprHsJp6jR{4I|A4-3P>905aC#I`S616{V?ao5~JC5<(PwI8yHzTrbVobi#`}!P| zTxI%Cp;8_*T|V-%-E@uB_&(v=vPPQOA)o)L5&UE^5rzp(08C#p^NC=z6y<3wvSS^q58T38YIVvXs&LVHHZR!vUCl zvdc|^X&9H!pvRIWeJP6SOlBEmQQH=u!+!VzJ&SxL&l#5UEHdl#EK>X7biU#Cb{Z9r ziT`Ov`}s2e9VNw5xBh=^-fy3GLiP0pP<@;{)9=sop!VPUp!)Pt*attcn%^qH??Cn8 z%`gccF#QqBANTe|)TeWy`t;RMeU^i&|7NH@UIVr5-Vfz}J=7TZJk*%@uI2yO^6#Wj_zYm{&cInBBX)&lHrr z0BY@ID4QRGiuv=Vf6MZ(g_4`0Vj9|W9N*CxKZf^s#!RQ9#xs^R7fP|Am0z-$D;9Ij zVs=k8$6S0KG3URjXfQrc>hP9QgX|Ab^?4)UfBpbKI=EIxXw8g#0`<@LY$3d;V*7P$hzxBC)p68G9Rpyyh z>TGZ0R4BRB^kLTX=Oo;EmdzP^roV{iwqY7&YUqjgHJ1s~n%>i1-Ta<*#o{PhoAa=O zEyeZ-lnfPooA!kg-xhza(z717r*DgU+V@)x8|m}Bjn_fddKZl9M(51vet7hpC~b8s z@@sV-wX%OTeVB@=_b1!|EWdca&HLzwFwKAai{Iw){m|C$KUl|tHc|Tu=isZXca-F3QvtDDp&JvATbgloLWy1#=+is^c z;tcDx?k{PJrAiFh5BV6r2qhCg?DcRv$16L)&_jS{zyAHN0ivR3a*DDm&lAMEt*jK*Br z%9bdrKB+*Jtifn3{exqu*|^SZR84;zs%+nW{@xw)}4Z4&}KI{+N%+U(Woc zu&Xi|C>uE_e+8Je`X7aoFPeTmRLX-eifx1U*8>~=4#wZ&5r4(Feb|*$%wG*=tlp=g zbVk_4I|>W=UWM-Gtl_um7bo0>EE_(;{!hJ7AF0#Hc3i?f9lQ%< z^ZCYaDdIA%4C{pJ7RQL4_WH;-DvF;=xX-af3$VA&e`UEUStH>L4g{;;0+6@9-U7q?H_*#A1nxfFX%LcXB3RSt%8 zf$|HU`+6z)c|UIUhmymf){cg<`Esc7d=ixJIF6lY+sls!z8&_3gqy{(@dDqcp+0IK z+P0IQqS`WBze{L-Cvr>Brt%kkOh1JZ)vHiXQiD-y!uL%IsvQ~V&vlLWG9CBgtUV*| zn~kRDzT|y=0!qFNwe~Hj{<<2<&kaz=tUI9OE~xkoSmb*oTy>#*JXqYM6t zIP2zbuP=N5he53!4WsP}qwNY6=V?&#MyMF$eKy{n71HluS$m=1o}u2fJ=^bp(es$p z7~k$J^mE0=cbT(o+LrpNkL7SEc^%Z+8Ky^lX*Nr;Id!a?aB9ob6D0CefvXQanvl1iZR*Yw`m%-zSm!B6I1JWtKmy_r+m%Fwh2mZ zf=a(1YCBH4%x}ltq4b4NqB7YwzHbhEXDO?4tAaWO>PSjZmMTzoYqF(#nl?|Z2XFJ&K)1uY=j@h~a%IaOFKV|u{ zoi*Ees4~S7AB}epGHrZ}wC8dk=MpG69ct}rsCGSLdiOVc{t76+lCt@(nQu4N$B=@p z@3^gcmKfq#3TFEf^HqcLn)#-Wb;^)Pi`cR_=%^y`9UT zuSFlLUUdJY@y(O&{Tk!AYGsGN?d$FX1e(h)Q|h9X7$Ej9&I)UT=jAanLB z$5rC*;ix?ugZl1!zWl|Ty#L#v{98NIiHY3%=UpM*DUZG>5}lujrG9n7z3Kbh)BY64 zXU$3Zy^QqtvZRzoUo`9Nu`TuFCpnH7N9G-k^HVj5G5rG{)2pGxV#~)d%1#D5lKhB0 zeXpotZ%1G+-iDQHyxreI$)s!jHk<<`vRgE}6=Tilx{15xo<(Y5W1Pi4TA9PP?4Dyj zzGObjrr!*8Y`e$wKS1q6J4{#I6uu=Hs7P~AbqY{rOHlh+1#17Q8C{RpQ^pMR`%2?^ z`qJmohSoMcm!A5eZ}SOI@=2(*FF?ip4XC&`LB)OHkNk0A2h)mS$s$J6)odfhz!Z&QkQIVP`fe63vjX#T%pJ3QBRs#rffZvC>)^}e58 z2qi0^`r;i>{dunGU$Ok(L-oy*P~)!S2CvVB>Z8-4dgUFa-v_n*eq;IroBj5C1giYw zQ1<=?C9{6)?^E0ZC1Y+(ME4^mLP;9x+1sI}pAGfw>}u1seT($FBn?$73)QB)=@nxQ z`t395x6hT$+h@anVEee6{5X37l)MOP?PRDJUU#$a*ZZLKhoJK3+~WITPbhr}RR5k} z`iW4Y_PIXaz7$ky2Fg|rYMT{cyK9}wKhd5d{^aX6^ZTI1@mnZgk3;$TE7bNId#jJH z2dbW=OdOIbRBj!rZgPT;GYv=kR^`@zBG!!gJl|sY6qLUbl)uZMV!a9~)*nL2_0UJk zF@abl1sK(Zs#}37Q-iAOCfe~8YW!xPYUW_OK2Prd+~XnTy$#YEf6x4mm4AlOgg99^o#(HJr zYi>HG=7Kome*5oc-r9c+RP0-zw#)BK@4v%uuM|{%7OD?Uhw6hfq59xGP<`+LsO@qg zRJl(;)%yz6x$k<@?}a+{)u8(1_fUPb9jed%4plGt6aBTh4*e#n7;EasXpZB5H={mW z_bh#w!Irl3DQ5FBDC^%f{RybH|HJgC?^pZpt+)a2I}KGQ3sp7`eGH9nWt5*KhIo6H z?)0(z5K10{TANt)G3*VspS;)fe}&3l4<%|x(PFA72F6qL9k})W3n=P~Ep6hcP+xT) zHamOYdtS{4C*CvV`AD2-CvnVL7(tP<&mz+W&r4 zX%bmfpNU8CJTe1gDKSRE=fz4dkf!mh^$PyA{sfdH?)CjU6)IizCEtMZahvIiKer{} z&b`0+8EBZ67}U3@C%8erLp;cLhzD2mzpVy3<8SUp*Y@jZgZ$LYuA4H>l^*cEpMjD| zKld^14<&~~)rs%fYCT20@SK=Aps`O^9`xmZ4JFT*Zu>$yk?C}qMeL&s$29$JyWM?W z`O3&wv@IInidhx<`?dG?l83y%`=IKL`0Hd$FQKnv#`loheKRc1Yb_h=t&Gb*2mO6+ zp7Z@a;mRzzujrluTbO5C&1szXgEAvA=Qy9Q>2@da9?Hn~)K~I%SUJoVjH+^IqTmKKu=6^KuJ+w}qz3SbxB4y>LiXTZG%0hCg zXBzt7&Kp{!KCK1)5yw@t`V;@`?d=M+_EytZLvNjJCA-mn)VL4ZeMn>b7Om{nR`(9m z<35(1vc*`1@>3rr)^uPujx}cfFFvNvL&^7`)^3C9v->UmK^VuLnnvuSe9M1D;LAPf z>lC5n%ch&}rvGVBCGYnvTfgS---WvTX5=%N_ZI>`*&W``nNac}(^W60dM*9DF{oRz zvbR{>2Tk|$zQ+IOs!~Vxvgb1{p7Q>Nq2w*5NAI{T;=N^#0pa;8b13Jpk^79yC71bc zoG4u)E9z^p`|B;|Fyi0o97dXAp}4C*?PHnwS0BsXP-};oek@ek5_KfsfbzB3^f1o6 z;%xa2kJ2PzjF-2>SjSdtj8)R&7;_fG`z@x=K-v4c>Cae9gVTMC!{k-T`wh$9m)kvF zj?H13@9XGzn^XDp-xBUXmTUIY{}R6)oY{pjx#*(8wt^JZBO=UAM?w<;AYW+_zl4pGXOoOt2km(0Q z$x^8DvM)IUDu(k-58F^x8#pH5S=RqV;Uk8d12{U_<{;X8uavN9X%ZgLgOn2aT@# zlrK?)>Ra*9Z#8eXJZ)J)y5azlWJrtkY{JKQxK66b+o0?eOn(fjtn5h6fhu{0>8;1c zy7ghj@@ufr;cZ+FB^4+iKe6=IcBc-f-6{Gn{$^Fp|MyI_S$UGM&FOM;o6|FPZnGmT zZgb82ZsvD@lBb~T_452kQh+KeJCehpa?Uh;K-*-|r^P4?}NHVyvX!hEdLfh_!vB6uj348+ zUg75hp+251|D8*W#ABXnqadVe%qTKh~#Ke_u zTt!bKIrgyK>PL3F^XQ~_E|g+^p?YUQ$)%ILZuukM0rUS)m;a;7v&kv!&;0-6O8jiH z%>NmBm}TRYKJHL|w*Px9zcu0&9p6lBH|LywRAYWP67#M;#*?AsL#BTWYP|f`^pR^9 z#>dEahj^z?{!;i8Gx8hEu`+G^)#rJCGv|AM2SL^S7bt)4G<~Gc;%hX%ak2~V0!-ll z8BFl+v}RQ=hfhhtSW*npHB9sOl~%QhCGLad?mmX|pyZQKv0P{RjZitu7Wn+rp?pg! z=C=k_$IZ5V6l(voH_-nAM;T6vI+ko&xt}|l zb80{RYVUDLk43R+S^K>nmx&W$a;~5AmG|>8{2WT2GF|zS*--TlG`)3Bkj9tVkg@z6 z%r5jc-wajvOelG;rH}T1ms;Pc%bV?j*)BoZtC%j9_V>OjP2f6e^4l=q*45>dV+G2MaQ?*b@U0u|TEP-VVz zkWar3s^4}xe%f(6zIT;8WQBYxj&m2V zg!iPvv=JNeIb;-Dq1~o+s~3$6`eyJbie<<5ZQCwtP2+qKl-X&lOy>7;OW40Ba=-pm z7{(pz=aJsSeg0UFsdSZ(`GpoePXC|gsV(hT^1non8f9$zN7}F zyJ4FnLFp;j?%PZY0{f%QPfF(J8S~qJia##y1tt4J`FIOd$~vgy<(Ez0X!$yR<#J=( znJm%qOZ)g5|9@=5bbl|f@jheb*lt%o)%&;~N_Ks{*Q1zuMjY)AyV&*guGVH_1`f>V z)Ga?O;xcuT6>eHdE0M*lJiXeG}QUvdeiTQD)B4R)dxBAS&+|3%ulxYXSh4s z_)EXR`#BLx&Nuy&P<}pddh~z4R}OL zy1pehDY3hY|9hS4FQvZ9mKht88dM#(i=88(wqpiH^J`H1d7bG>t5H6Zd2H)w?%22&UBPzi_{tH3 z>YZ<~Tnc6LD$_SvzRILzGkC7FHLzE;a&@aW{!QL)v=5y6zvNtS-p+A^?b&pK%{^(S zBqzSzw__HR901in$C;kB{C2;st(m<7c5+tk2UhQ1)BQZh<`?n#w~O(`HFo@eGW`G6 z<~ow+hQ)KnxX-X`(Qn!{dbz*YoW?i}%8Z=DNBiHT@j1TbUQ5&bD?G2O5A}bs*G%Lc z?+N^GY~IsfJ*76eI#Bt)CS858c>@0jx{v<@J)UDQ`s%6G=sM#6hV*D#1<#FJbnUAp z?W?o-)+zs6yl=h#c2q~sf9tAyS>rfRzR-{F;i4bkk3!XtzRSKWdVfAWEc@FzFY^DH z$MRddEX+|-DS(Cy7RVk zU+H1K1Iu?GN|b$wW!{ZG{$`ycI%OSY9c3ML!+gbAQ=Bcw+!aA=^^%We%IAG-E56`$ z)lJT)Zi@TZjsLq?(XZ6hzCvK5V0Cu?qPJ1}inpQuuCV?ymhhUtDUC9zuX6pz5`V)% z>xZ+v<|f)amh&99OcIspU)9&cul@OEXbz{56+c#$Z zdcU17f|A2;usJGJ%1NfLgcA95yZP~%h8mw)82Mb?@R@lQp9O5m&X>*RU(H9~X76)4 zl-*${QQ5rtEXo&sr){8P!@4$p(m(e0kAsrapzNJx`q`E*yJgvHIcAjuo4Fgktq(xS zznLD!ko*@vZ>n01by%@-zqEQ!Ld7utCU2)7%743g(_*ui+@0f&m3xoXyU6rtyew}# z8?x;g?dNIg$#xbF?Agn6)9G&Z-9zOr+t75j6RK2giK-oR=F7Imx zl|Mk7 z+uGAlY4l6x9v{PHP;%YR8tt#jMszQ3BKP7ZxSrKxD|~}6I%j198+EI5(!JisiT5>Z z)So4eRe_DN)tRxy+t}~^hK=Nc(c>rvHgZVkiRK;H z*eQ);Oi*T2I}c)qr5@U8O2bZ|k80GGR6D=I4oe}l)0BptKyMz? zU2Vp>rHzbf#`{j=JV@K=er+_T%tigqeedGKW87sd^QwNn7wS#dWjoDHd2YRs|CQO^ zep9-(dp;VU@wd1ezgt|FYwTyG-}*6fE0mnK)y7PhT?@txecH8KJZ~%hZj8H>Wrx}` zmbo1Fv7~>K#oguG*s6zh6l+nja!%%05S?GjL5%MAKBnEEWRdCdHD(+~p7gU>3i4@j zNdFkiI*X%K59=t7itUdzsPl%~!!r$aZzcY`X*uqm9+!y|VTJx!DsJ=so`#Y;NLTsCp=6jP{lpk|EK3<1 z!*jaboO`<5RK8;{fqM*Nc}^APC%QXa_oXZW8A?k+vT5lLOmJ-d$DbI>vOxU8Q|QObaR&XN52i4u)e1@t~%C#U*Xio zwyt1H^83Nl=o4 z-a5xivtN|`-Fw{bysI-gG0%;>iAcZ6PxtE^@y34gX6H+0_q$Nr>Mql7eA@f@4V2ve zSFisbN^X0`>%W7NO@H^gKQ}S|V$M0pHL~VlinpQ|`~5aiOz9w|@;`jtpF_!?Ojo^{ z>J94uVmIF7UJ=wyGSXFUNi^b}d!^}0b9+;FMvogfbwa)I)ldI_a1}!#u#>lXpEo<_ zj`4P7CxsnJ#;A2gnhSKLNp!4A?&Hfx3H({{CH+dzxdP?aMr)0A2Zxz^mq3W~rOWZ#h zi)Rg#+yLd{w@^OPJ-(e^gVL{p%D)~;?tzL?k|G{S2C7U>@$J*gcAM@}+jhRb+VL#$ zC40Tyqo8C1l+8DCFGF$6?(_NrC^-`PDCk@Hjo*v0|NEKZcQkRE3s&~?R`>CsZfT@$ zzw5oyzfZq3sGFML{TvP@pE6y(t0Q$A|8F!O)U8$uNLR{timcc1AGo8Hg7LNU#OlEa|3_v5BNZTVdTK7W6x^5MBpdby=d zi-TBFlYE_hpk#&Vs#k5Pmka9Ut=!pG?~0&aGVS}KPW_?%#<{sGU&K41hx7ZSgI)X` z_;uLg^ARKCFYc3y*?HS!-`1O;Bsu8yYoSE(W$@$A2aW54au8#Bif`L1pyX?&w>vL| z_h-A`(0qSZdMxUHEk``qJnzX7i|)_Xrh1>_r+J@4P_Zgsat2iWkC;BpdTDwG|Kq%) zX)Y6{g|Vd-8_&Q8mQBd_<;F*I2c^Xl$M zmmR-P9Bn7AbNn?*uS?JBaPMMS{7cQDI0~(4P2+eOlxdxV<@nv&IV@|V`Wznv-Nr<8 zf2vA6>c_e<$+#Liq{r>C=)mqNi5GM?p4RyHjTfKZ?M{91&f_Z{XW2QvA8vt?Uz-+V{+K61nn`>fCRb&lE1 z`#ceP3*1AIjh4AhIjEc3-IrYkC2ur6?jwz(^nwnzoF$wShiP$H`OLTRmt56|)$Qs1 z?GGhKnjYIo9Ngg!UexJ-UuxIcvRl-4*{$*aZ}skX9=oSvW27JU^0r^Qx3_&iRNd&B zWS5b0e!F8&QdYdWhr8O}!=0XQ#2VXmFYtDzLdhOb`@>15%SURVx96bpBw`j_wenDN zkD{?`tQzZ3<&ygoPxLOv9!>9JWZJ|P$M?^&VD0&w#ebEx=MPXZJPj4=+IG2x z2~cyzlT3dbRI3Z77omA!^%^;??uO0veh+8?|Zv+7yeolN`f z@^&aW7pl*zrf-EDIozL3pShneFTX|eS2k8#{1%_ZZ^rC=5lXIr(KcV`_32Q4=bL^k zlut?B{3W?}EJ;KE?$M-?caJtbi|@SIdeCe?3g!E-{k`v3Lis+`^mR~vN3P3t4`>m; z?P_xmOXaePr_Fn6?##x1Q9H=n99Zmq9snaBvCS4n$MoggccpfMT z4eEY??j1bb>H2vOq1Wym6b|cf_p)4Lu{P_Be#$$_JIXumHH7*8Hz)kogf>s1pQkLe z-<;4lg-nOLk!99zd>@2*bdO>Q_b7N?IXt<`P3BzKyOO@+8AR&E9q!dE%T-U?_MXm2 z|0roWv!(ooPK=b%`P5;%nn5KgxJo5R9kvOZ@nG9h7{) zbd9OvDD|^J{i>Bu9O3KFhtYTp@6QJ7t>?qF*iw1NeWLV{zV3-oa<=JF`_|CED}#N< z?)j>2S#fH-tlaq-&dqOc>^t?Ny#4t{d;4#Osz0(nM$cXw^CIO{u`Q_^lLz=~(li{H z)8(clCcBCG3Aw(kpSRTmf1{ln3QN7;&qK*ippGN+Uh4IqK^;eqJjNfx&xg`K2PNMz zy<+-q$9j8;H><{Z=4H2NTGW#0`YSD5a{kiVZVJ9+F#icqnY+t|$nc3az;InKwl z3Q9f#W#_vSugYY0Z_4j*Yxb_O6O{~?dk73EneT*xiV*9q~S1$AU@{yNq?GOI>Yqhu1`0mlB zz;?~*?E4CD^Bk!9lA>%p$Ftm8U@NoS*Zn$_JZ-vcm95Ry5u5yvDE=qgY<>@#wD*^~ zD*pdZcfX@CR^u^Md8N0VdX=}mA5_~5reE{wM%;CL$Y=5(;@3BHxn~spf5vT%JA~2w z$u@rKX6IEayq_;Y**fw>@9%q1x+IN#F)KeQ{qA;}i~l!a{C?SrHa>Fy;%$5zO2(b! z^=qKoboy%=KJv27Z)j$)9s5={kC8%P+nwy|91SHGn;wr(#aWfDHoxDl26n2acsox) z$zHE*#8RieB)J$nE$>O}2yDdLENgYwK*@Pf?b~4KR*rW&nTv2AS@tQ0yQ758Qlx*`&dvlGf?y392}U&Zw2{&O5?7)zi*U!=gwm+j{85#OwRY!S}6G< zRQy*%9W!n>z3X-Un0^dYJ61s5H~kFM{Qe54c5H@f$4{Z=?~hx4>QrBE36!Vfq5PZy z<)>i!MNsk;sD70c=@UuWST)wwS2M@DN!Q0`GT%>2pGQAcWBcaskk|WoUJ51ehKlPe zrau9d)3ws)4?@KjzDJYCZ|XFkejHT$a!}&G>p6jax=;5@Mt)nSeR>1+qINP?D^~xH zR_~i{@b>-&C5N4E_Mv2z>F+ZA6Q+-RJ8pI37+NtuW&9*pc{@cYxyG5hRwu?Q- z&5dlA=I6Ldk41558Qj*~jym?1lAb)n`#T0oo*`Z3=O60*53^n%?-G{JVne#tGjHWS zlyqI|aQ)JhrtiEw%=gak;aI}FVCNT1`~v&yh+uNfc3vqkh>yK0E zXS)uG;@0zM#VxkR)vAYW4%g#$#|-nr^?t66Id4YaOAFWaT^`bTCZ3PpU4O3iB>#Vg zGRcK~yu&++&9F=uL%2R#-O=I+>xS$9tew%yhcSoigQI+3WBJHC__=6&ui?JH2f4Sw zviQ$_9|-k!&l{S?%JbdcVcY*R>*M+60l%|~#$LESip?S3(Vw((Chthj;J0T}x!>u( z{ZV50H??E$Ubi=WF@^no3VjscJK1SJ)p%WR<9{Ix{OZ_NIouyxYEZg3a!>inF?ron z?q1vNmdU>#`y>Bfs9N;LtL~5cNe{-{&27AIm$)egU zW;{mIpY=9RfRX~#dHF)qud@7|&Mm>dwo~5!^l>PR<^Q>L`+Z{6uK%6u8h5pk@z(gw zYc&{e^(*{1n{uTeXD30`lO!{Y)#w?-WRCTdT=(7nzRZrGe#y#TWA&r)yV{QZP+P&U5{wa-0d`kyRcWlH#y zRE#yKvhKw`J!Q;5U4Q3HFBnTuGwO=zHKSYNze|*Yl8iA2_1&C;=_O+Y>RZk=)7?wV zKO9tj({siGoJM-d^op?tXOQlWu=>UfoK14h^n$SjQ>0f+uNmEuUB_ZA=JM0nCaub>-VuqP$e>^9}guLKwYaoVEPkK`_q{3`TJRW zL+LMsl6OOmpl_Of6V!foFVuamXH4(i&V-v+2}tN+OK+bzHE`yFmF=@}?F5o*6&1*gCZP5-Rr{{l`WJ^2G)eh;Yq zbupX{Uu*hXEdO#iM0(Bir=a%Z?rS>SOt>$Uekhc@7tVrZ({F*=zqi0S@E@l4UF*vq z2j`MrF#TefLf;67;hm=c!t!VRu*2;_dKOCF2zP~R;XL?nreALPkHYz+&;5}vzZmK` zaWvc=zSZ>iSpGF|0qJ$qdnz5#@nbsNlk^vvejJos0QZ8|nf?IOapiY#ADFz(>$9Qc z6!-$T-t@0S9dEu5)9}}(KW6#!ukVPyYjz@(tcE&Hp9}T9vW=#H$MW~Np>Zy(a17JA zqz1K5xTC$EGG?I0e$MoQu>>{7E2h_sd`vPL%PAmp`M9+!1T{S?N?=} zb4JbdKUn_WH~Di&4yyiHQ0I=1K%FywVERp#zsJq~7;rLF`FBF?Z|k7W8JkSsZ26tH z_;bi&sPZp|+7Dk3bHlW=zk)i4Oup5Z-y3TGJRItr@mAB{ZTa7aI)^-F`ncQt zxnl_G9Pv`qUkT;^BB*o7Ev7#NwLfo%I%mwg-Ro(n@_DFp$d^pN7HU7g6Q?Tn2ZA519Ul<6L>&1P zD4ULmSP}5|exLK)%$dog3$1+l<9A-UdCzl}=XuU^)_d-`=K?uqvu|uTXWKd6wnHn!Nu76Zdi;-I(7SdS4*j;X>QbZ<1f*^P7A; zW5;{8btQV=D*YVCcFJpdak26_o++3T}pt7DAKy&`KqknUSTGn{TPkUS0&+O~Nlhiv*e z&XHYJnwf8($c~AuUy1C03C(c2c_RDl9J24oA)9`Vb7bF|B|9Rr-LDxN9}c8jA~eJ4 zt`gaI<&d4oA)9`Vb7Xr4XXZODvLhnvHj(|J&;#BpTKas!9emjNNBsfJzwwJ#^~obM|Sy;%zXPqc2HzpBC_unn&EWw zME2Q2XRoc-LKbz6Ae%R5UE@Cr)Uw}5m%5xNq; zgRc)zm*eV*@!J%bSzvEihM#^Ondz6kM`erX>z+4IdEYR4eho3s^l=_>gC(;~A!gS6uqoASNxV$FxV9KW&f^MQ7pA2wk9^EnW zndWLBW8Nb44jhGdAL#w3(Pb`>ekTK|O8`jMCgFDb-3)#a@m~vMy8D1k_q5PkfOM|G1vs<+AT`)k zr3yot?YSrd`}2&Zy7YNT^m80JkazxwzV=TI&$NFWdC9haNc(a&*?P7R~_>NFdEJ|`m3e@b7Sl=vk@r;-0L_F4&~%^6NN7)ZPNfYg<| z=xkk+Idr9;;~ZV{NB7k=m8EMO{Il&B62G|U_D|8Z{$r{CqSM!b)b*!8>PlX8W)6}5 z-dNFvrd}l_T-BNQ9$-v(J_IC>O+fN|5lHurglF^g3Qr$+&;^BOg!Csy&wYU8IRQwX zQ-E}}5?fKI?(xzgg%PBz#AF zBjBq(HToS6q&pEvKCMEBCEPCaJCM#kCy}({n3mVm<(c&`37uKCG2!p`x*Z8kw2I9FI_Y(&~|PMuqcvt4OxUXEWg{CrMCpdWIw>zqgY+^&4x z9=-&mYY>{@bcX`T`zRoFCNDbs42_11WG;oUsHDG3_{D{`<8QtgXL08nxsL(q-W1v% z4{I+eQr-d+F94+by3jVi5aQ{66!KFNo>yq%9}Hxe&38NE2gQHA#Cyt#mt*g_=TgHz zA$d;$eFF{OAdq&s97wlT{QFyLsTE%CdSgc~FfM6c7hXe)46iXjt@|+6k3)2y&bAT~ z9)95w0!Ah7;#n)TwJYrlUL(lJd`^4J4(ml{4t0Ga*)p|kJ#)*x+cu!;L? zAl*Rh?;}4mULKawzxys@J4?4g+L(ThqbyzzkIigXDd?ozyYSusr29zdkA=4Tl~&4f zn=xC@7jwv&FfLP$8)G}=xUq+jdGG+~zAE%tLYq2gor5R$tx?EeIxqOp`GHAETZ27^ zbYBHBk4uGK1!Njq_sHeYy##C2Gt=j{Xg|G%X5A+vp5vT8$uquhKUE4ppA!)nlYH8K zA^6oYO!V0(`u$C4hSOaDWIuHskoh1lI%AW;So18!m{Y=cxb+(29ng{ai%YyD(1Z7* z=(h?;cO8(tekAlg5^mchdPQHGXum9*(9dy>O{VPI*CrnL`J9MA?}EO4w}KySk`R5i ziGKSIGx{@}ZU~Sz`7)6CATK&w|Bci?tM1d!aZJZ+W0qci@M4|xi_Aqpy1Rs4FLWjR z;`se8uJezTbrI~l&hSGU9SpyMX7q(tTwBj1^|aazX~#J_p5e)~T}X87!M(pOYarc! zg#J`$>gdOw%=39!I%fD;I@nmDqSyPP zXBFPbrrr#vdk;uG{{^I;(b`j{t{PkdN5D^}?0O=kQ+Ma{lA@L*N=SE+_w6lS9 ztwP)Jw@dsO;`d1SR*7GPy`)U#mq8&{_JL2~UY+Ke|g}P~-dq&!E%onel@gAbj z@dU;pOOJ0j^M(930O?*7`X!-lIiV~$q#ftT$)AuZCn<8G`xrUvfOHQE{R^R8m~WTk zo%!;5^R7>MojD!8O!o^5^?k$|$fK^R0rxrw7=FJ5(%E{(sVC3$kTp`$j(#j}{$8J@ zrw=@75AyfmcebN{5dN%(5g?_;fJxCqRhjXm0Z11B@>eU6&y`mKnf4+edHF5-HfyMecq)t9yO!SBYDWm*AqxZf*{;C2}@99A5 zJrhV?lt)*#FTcq-1?MkK#`}#EmGezb&U@Tfn{o<54qXJuv@yXrFfRPw2ht5X$mlT* z$hhYMSyopF9R*U4eGfL_JCa`u`96u)1*E$S$b8-}{(lFOf5{<+pBqU2-xu00mk{{b z?TfVIobvg2|EzLB9$7AN;rBd{Zq%VhUOAA?ma~R(taTjHj&tNR;|w~V<4HVSd8PgZ`y_N_sCi{Tvyz3x6lFWcfrE%g2M?*^d4}_|uLNASK6uZqem% zAo)f`ryGDe4v=;29w5^`2_!F{$gp+amP2>?W$S)rRi>Si;MLnsgfB$(I?7XDm39K8 zUOr$_bSXH@=pF>pEdlaZ2uR%{K!@gww%@=&%1n+ZcuIQIb=_B4>X?l;-wWh#*Lbwb}J^g}}Dl098yrx1s{_n%^T9}Oh0?*i#= z7rNkZLyrV9{9vJ-{0BiljLXiy?Pu22^qxEgXRCh)#;tiE?=dC>27h|e^<*m}v= zcW|+yHoP3aL*VBF?YI>5V*T_v9>5;)qijEZJB~aZ7ZU%d74C#_-x8gJ@o6R>b<<70 zTY=2)`9j|YWXR8iE;_>G-wUK`08$s~MfVFJe{BU)MoRo`JN4wS6a8}8sTJ>eksmL| zPWABffp%Qz%)WN=iXU}zmjUvM87C7$AQ& z1IdFr(%E*{LOYbR&rQD%K|kuq%jt7x;|xz9Xvg({m(y=Wg|8Dvj2&fkc?d{8zXsAJ z#GmQuY+bOR)JGTkW$Tj2(j{f-lE|Tp=V+tLQ9$xK4oK%0f2OCa#1(_zCS3NJav6Sz z<2fc@orkM^qV$P4C)xIM4KBoUEw{qC$#I<66wF?~sOrsUdJho&9OvYBBl!CqPoVoO zxd(@Amh(S>bO(IJ&#NY`zJ-*g@z-t^DbdrOwyDwx(gD!h9{?_>_W zJ+q7+3xRZDAoKVwAoactNSO}{{W6exQx09Oat%RG#_>oT#?y8KO`aj3XSU%J1kx=B zGVD?yhWQ_#m8d98*JG-&5(G&*uEg800<$c8nj*k{uV>Ng%^jqnSr} z1bsmEk3k@F77;oIe~ zm;|l$Ycl8oa&Nj1m=ar@Jjd9q14x@)1fn^Enz3>mkYmF%p;rQ_^PNEE@n=A` z_;-Y^IM#%p0A!vQ1DWTq1G(?>N+9=p-UQ@+&pUzS_Yja8eF9`&Cf4GedEita_X&rD zUJGP+0!UlD1EekTj?35kfXjf~|LXyA|L;Vgwk44KJAky!<-l^#_W`-@cPo%K`mcmn z98rR^;A1!oevL|hU1vZr&u{9^_X&`BDm%f{lXf88^+5i*3&?u1N$7n}H063Cka?^JvYxCK`aTJN9mqVZ zd8VF>2C|;)4`e+#9LRby3rHK(0V(eaAoKDoAnVC9K-QDNCmH%EAj6jfX^ZawX^T66 z+%JAVkoDvVAnVBsK*oOqNd6;EHu;|hWIbsDvYvbgNE@w}aJ$bg`>U);lh|lcKj9VUE5Is#C+exn&z$Pg-=o@M@lTy;_*`(7 z;rkenJm{EL2Yn>OCBdI{+;No8%XBzxau)qB#^cTqQsvDR5#=w}{D zr$pA`fRTMUkn+f%?mZy+7PcCiVIKV6QQoS+*Rfej_+1z@{5J#1gO2)%|p`c-)4Bs1yVkp zYU`gz`L*f#6O%Nf&Ne)z0u2Y$!yWMOSv;bWrtloYqYCKo@a=#{tHmQCX+9JlXoLT>_Q%S(zpb@q<)m&_s0(`opf38Z@zNO`XcogeBe&jUGhzMaS`x-MN` z9D4?Z-z`A8{{ks*{JDmn4P^c*;TP%3SJ&ezuW_DBXZhvhJ67Q=KQiscJP4OgCvh_T z5XBwN$G4j@d1v@ZI@?c|F$Qqz7Dd`0I_V{Dh9C7+(XB9gO6w)woXd!7d~4&7&~Ro|uC77HogS^L#U3or24jpW!F+ zZ9f4=LDV1jRi?bXK)NG^w&OP-eoFk^SDScafaKw{J9AzQzXgf&xA2~t$PKwh4^pF> z%hl-m(O7#QrAFf%Pj?7&{ET<)(rZf*(JgWg_K;m;WV8b5?h!iIH$Z6*-}U*b4wv4e zAhxyr%=1yK?VxYw8e)01c|NMk^oD#D!WF^X(>y!KS3%nk{9~X`Uz4vk&X72`H?sYD z_pMhK>UyGX%vTTMvg6x+WmP4rD!h-H&^!j;$*xdi@!g?PoZ(dvH~SgyaBP8c^4@H0 zar7<57Uu$Ke{-&7sVc2YpKF>8Z&WzPfkyw7vJX%`B0Pu*$k@*N=ElXr=o z_{}+dOSKYbd5y)HUqkAOQ$@-2{Iynic)-K`W0U4xAl*IdbMYA5het?w_`oA2X_o)Q z@ECP>E*^dLSR*`w;1QHGvwmuLl=TP?y_TMCdvT=kOIQbx?rTD`tYY}xgUc>s+t2g? zz31qW3Z!MVl`{QV5B zTA}&-d0bOSGp`?*@C?6A#hOQNy1`iA*orgeU>BRetE@nk%{2F{j$IND<>PFVOuN{A zJNnLi`3h_TgqK;6Go72+PEOqGX52S@ow>iaIAq>CdRT?zrI3rVanntno~K%+L#g=2^+x2q0^j7>2Yu$?I`gcF z_uBNQV;;CRL(D%ebNwfR{5$Jb2-YqLKk84y`)iYz(|~m60?FqJp>LFM@}av5NLjZE zZR?rr)f3-3we+Mvovr8kEIkw8m#t?H{2V=dM30w5ufGGyujDDC_n$T2c(r0WMl;LOQQ6;#a#y8fhha~uY_5Ngf zMWzQb)ze1iVL-aD(3IJO-_PSpQf4vg63^ebxD(vriZJ$&{a&%&3+*#p}xt-WEE^$*p@^*I`9$p}6 zKakQxK=uVuAlq|~U;-EsJ$??PoBCU$=Yv4{OnBb3{iA?PJNkEKz5H+>Y4;z@y7@#P z>8pU0bq|o~p9fNxt}SN$^fDm(nwZc}0vTTNl99iod?zH|Dr9Wt74!pXyAY7PqeAxp zsY?P#-BLiNbf0U`3-m)S%j^=7|97C)=VhaB4UjzQfaK8zB#+P^O}?)Nl3w|$c}{RW zkn}%*j9>9*lYTmoI!;QO{MQ1R$hXz|Su>{ILF9oL0ai>c!SmF| z@l5E!oX>1I${A+lt6zRJgZkMk=W8KWwT@U8Li1BOnQ0`C9?>HSWIj~4(a!^9z4igiMnK1l zu-gJUatvH)$;d9(Y&w-PIt=`~(P1Kxa%KZ*hdLnTd>6;knxWJ(oyFi zbfSv@85X0CT&Kggwa4Sz+GDV1WE7rj4BBA6s~y{czCrLM&o#pT9-%i0{U(qyCjP_7 zKLW`3#{=nqHjw$)F7yD*bLoZv8PA!Y@poMGPKn-}k1(wlNM1y}&VY5<@mPB(d%rj| zC|IQL^)~My501^FBJ)kr;cr5J3MBs}|1`Ru52TiF0qMWbheqecK++MRsk5ItjV)AT zVb>A(9!w$5%w`=(S^A_9pK*QvGJKkWr0IH)o-QPLj0&9;S}l{gqo5zC;{(eovF70# zrpl5-6NBR=q2k~mf05L|@^$HU>*RlT(YxK~*#%_2e*|QC{0d0-jQGC-q|cy#n|^f+ zkn|cL``e8|$ARQi`H|_5X8`G(c1L?eC4W7F2_R*rfNTfuNn!QhMoqb zjO&5a=Pse?*CTo)fJ~DDGLCzNv9DLq52Swx$a+>e#iPnxV^ndXC~kJ!8v90fpy$r& zTT=8ItF4Fgbb!=pI*_vO1=7y{1TtquE<>*c(%yd-x-73i*Ru$a>7E0U&!2#F?*N&1 zx+wDFIL3)fK9fMoQY(#JJV2)Q0a=HGf)OCc)|g-%NIpp*&$3gisKlDXSXpy0_TRV%_TO3gWQS*u z|CDWy|D-(wjIB=t(scouuRj8rr#FDKb3wi-)8RnUULeDd0y6&DKyp|Hr2Vf2(xU5x zei}%-ZUu5LND9dPFNYNv|6_qnR|h1YGlArL36SM^p63_H4db1NucALIufGC*ZS6x5d54v z!c>e;850^%M|hrd^C*1lY-I15&K!f1dr&vB%bL9GvL+pbjdYt5Tiq?T8#mCDWfhS5 zKNiULJ74H73I95fcDWWvyQ~A!E{_12$ESq;y@V%$jQoO_9Q*8 z*YNe)SkVu6e>~1E1M;xTfV8*Bl)(u=x}`wo=UE_ic?HOPz6oR*Y!|wGkO|)($oR(q z$)O&|yaa_lN5Yo_>F$Odn9u)+oyNfiq)!9V(dHrf9Ruci*WH`L7BTQ=+9yQLry{qw z*vMsAoc#3jcz#c`1oN$8RoG?bTMZV^DAF)~LelP2Vrc2lyv}^1mp0!uN$;)M30=vI z4k=J0alP_QIgbp_3vEBUoZ>9E5qPd>=}SL4+MeY^Jmieb^$c}^sb9cMk3Rq?n&E*gIFJ z*PM0zrk~@e1Fw&>^5c{I#N9^kJgi&OtpYM145yn1Wcp^IEAcyuICtXG=f5#GIBPD$ zk89{ze%bBEb1U>N$1`f{eFOT@QJzx{_nw(q4pHdAwoe@ymo5Z9hmPR61b@E-q#HQg zl*33M{h6L_Es!#v7TT;Qm@~fm+^0e>&j^$LC?H+4&}BP$W)(qN%1uH}MAAGbJZ6qG zJni!ApM-}`S*8`*;_^F~IcQcUg4?xCw6G;C5 z6#tKbOhdkO^MI6nj?m_LUs?9PFMSV~lzc}J*E7oSxqY;e*8?QqT>Hk|x5@Jkkr5|W znD`zb^*jSew?zCa@jHs&!^U795#;&vfUrzDU%rhv57bbK>X=hcH}_3MVGa@`+BguhSt z1%-b^Xd-#hIY^!{_(z5RBS5-80V#hwka15OXZ#z0OtS*WG37#`UB!BD9-hfyPd&bM zwJ)BP?}KOMC3sfuoR8Ea`o%?`l%V@UlYbvDj&BW-|FV6JysLrKV=a(%>O~;K|0v;a z1F7G>9uxl{Aj2z0nr~!reTnT{j|*MqdnrN4F?-O;@ZA-+D%xPayAu3SdOTNOGJN(0 z(vfGhpLDCObUl*pIV)Wp>6ktVWM0%oGG76j`^9On)l}RsdX;^@*h9W~F2%j(+4FXX z4((_3yaPxV7y7FcjNPsU(r!Ni(oTN_QWrWOG-*O_xWx8ISrY3KmM?@A!uO+xn>yPZ9}g5(>?;_JCH%{N|U`2GP%_mYXTZ}jH0}Iq&amAa=8l+8Aml$=)*h83p?o(^&6*}1HwH`?K zU!nWx<>=?kQEWXOKPQfZ;!l0z(1$Kby>y??eT`|ILY7WWy@^gVy8RMJ_dJkw^Djcb zF5%?w`KIBo1pR^`U>W+SGOTmxRXbUyT9ZSbBiBLqVMcx}kaDj8(j|bbuWt%n>^1fF zDInc{gdR4@&~{x*K`!;}5&fdT5aQAAW+3JKG)FqmUGl8%VzDFBSDSQ)0GZAQq~jUl z!@JVoHRUNnyf5<$4=;G6B<;w_Ce4LY46n^Vx}y&_^gJNl4}|`y&;zEL@a*|R1Twr5 z)&QhC$B8?p5bs(KQKi?U_ZanvP9f+Nm3a3^x_<~=2_Eig1?oUtdcOthUKnd%*dvzV zk9ZNpxg1yd5_xWj^-S)mv;Acp2-zC}3-?RIEtaKb#1HET}{cbp?Tl_lE)-VKNp5!sDL8rj2+GI?e=-9#XHoF#Ob zb>>3?a#)5Tgay}|@o_1T?mD4+$Axh?TfeI!g(tMZN}ex(=V&y6hFygys423HSC;E9f3Kl8?O_JU@#r>_*K1-RBrI-7QlEyXhcT(~bC zi~GE>)#a&CNwXg@=UzEIH^kX?z5?|lT3AdHl2|MHjE(dt+?#>j%`14P6N(ofDOjLhGWo<$5fAs>vn}Q zvCk$<{Ukls`e&OmJ{L%LkC5jaZ>~6JqxN8lMS|DAc(B$F1)ZxK3i&BiW zCB17FQHw`Z(rgwU|FU>^dwC264}8-U`-kyO*AT~&jTR5jaYm2RfOK~XO+EZO;E}X= zBqUAI@rK7jAk#ZG=kE}C<(NAZ{WM*6$rDVvvJ(xTDqt_4Md-shHzC^dm)-nLeo^Q` z*F&Ak@ciGr=b^`0ucePOm++os^f(DfcP5bi&Nqac|w$hF&E(q;R@j@D?Eee6#d>q$hlXE z%r8*i#TC|k(C=IH4#zL&KElCaMQT_*_L$+m!P#f#j6Ly&0`B~{ZsModm}l5wefT3>-=DMn@;oVf{Lp7h^_{ag<5(|R zY0UeGm!n=^hW8OK?R_87gQlIq+vzWu*5onNn@7&epqIa^KwX4uOSj2mCM|hHT;|aZ z>(fU$vYfVueVE6GOVy0#5_L4@nI%|DEa@5;XaDA2ZRW;ap!t5)FsyZ#sgez5rHJ!| zZNEv6(Nb=H_j1!e?!UtHm-hk5Z?n*zm43|}VfOh( zhm(QS;Ub~00#e>XK+1ap$ThKl3!VRU6YiQ+tR|r!8;(A0IO@XiYO@!IX+6}@THgv< zdL=J0=|2Y26<#X*_}zPyts8lA*OkUAS;xW{2kP-W0C6UKt3VyH+|((XraT|z>GQlZ z&;DI)$&DjD_p^#dtr)yk|ZZb630{qj!L?F7;S^9lJW{ z6Tat*oXdgac^#1Ud|kpRH$gd;owitf-Ip2t_6O3f6xvmUZw)TWPx8ADPXDbgFHqBP z)vthkMqnI$0p~N|-me({UfAcu?Oy4#Ty~^b46Chpj)y6&t0_he3$)Ct%AZ4p7WIY^MwqFs} zCWfeyb?Gx3STAE1ZznI=m=YP|ZZt9v0+RnUAnWH0Alv&!AoVc)KkSY(1u8M$E#p{* z_1_f2Yra>YHmx#s%BGpl4?XEZK=O}L?=P2P&)RV8Su4YyHMeqKlRlS$`fuKW{wc^J z-v@3odJnqU=-mpW-j@mekkF3^{fy9u-D2V&38b3?B)^5?e}nk16aSxye^UJ45r3xX zk-Q}&Zz&-C-B%)yo?^TMITX(-hG1{+pcLK?h!2o=5K}wIpHohrwMMVkfOONpZ}h$i z$b9}%=zj_QkAtI5}Z z+e~=j?IwN^kh-|c-8^w4t>bj zaQwrDehEk$)&IiKR{@O%SeJoJ{_X*?tt6a4r)aSHc8M+zB`1d|x;_LNq-1m>bn!$)_z2m{mYh``I{bYd}u^DkM zG-IeulTV6yD8oA+8_c&1la}t$r;V&zfpo76&2;YXARX52Mq*vfd2V0yT)Hn-&zQ93 zK)Obunbya&mQ1geE-LYEm2~e3t>3@H^98Jlq)L-|p6st2hO@+w_X5n_yw4V>3vg|d zeA+Z+1}T&0LxcxojieN7y}kQ!;;b_bmJZ2;(S6)+jqa0x3=N{C0`o zBQ(Qfl$&EccY4CI)$@kG7f3f(Xr_-dy`JBt*S3RJ+K|M(QPMssbjCfkbsw(l3)a#` z)DOg*5p&gQw?5M<2|1Lft}%7n17yAP0nMChSif_s`19$!^w-BFUNCw5+3!srUjtI! ze}ta;2SdkztoKDPntHz-$iD2rErzZGGW>QR)6)g100qeXT)JOZdFw1tErC(Cg z9rlvZ;{l-Ig0dtZeJ{}WyVfgzm(F8S(s*7rJiZ4c56Qb(E5W^lUZ0WYM(U3R>Qr3U zUW|4)Bz^t}{qDpSqd)SMP21^mm0dq;8bNb!Yt~DAuNJ5}T<%MZjBGlFuuZt^XH>SI zv!0{x1*haI|DOv~1FmM_XVblL^}RKpUk_9<#Qiz0sKmEv+AT)A=V&9fFQjemO&S|7 z0@9r)G}FhKKIynke=&O82&DUk&`hhon>!zI zD}74hSH5P_pA2MLI!{08w^`{!68}L-|Ax>R?OoQj$kW#kPxtTBwoUz?(c!?YMyGi| zrlkw^lYY9DJ|yw)l=ROC?bw%mBIKi=H>B^cgBB0Z>qh2RfONVZJ!bB46G%(fLmqm6 zMdleUt1UiG|C17a!{0Fc4h6E`I7Mjtxxf1lcowhMb~4X0roZEumRERn=JS7Su-AJd zqT7uAPXXx$!=Ldd0qGoDWR#QDFVjXo#5M1<&cr*d({sMlx(#{V0LH!fe9Oq4`L>b! z-FFRL37Mhy3e*j_>@#&T{HWO93RE?&;AQ9=oW9EP%Q`7oz;_n6O4P0X{H~kcU ztM@S%B|RMLfSZa{Y4b4bH7LVg1GkRb8?Mi_D#_sC8ig}OH<*2(l%Wkf%~vlLwSGQREuheFPf z41bqihb&WWj92arrE#W<{1bZ(aBX8czJrS}+fSdD86K`GkpBv-J6CKNnH-)d(`kD? zEKq;ORdof^;yG=21ne_R+5WEKIA^S0zrzxAmE*n{>yG8ffB7{dLM9KUU7FwK`)lU6 z*+Z@CTUTDNa(JN{4jU9>{#1Z<)SgcY)DyT`DI58)>52-huOjdKRyLmt`4F!8;Q6|? z4Rl}`#Q$5MUc}WSJZw4_54M${w5tfv-Xl@|V!B^*+6WHV(*4;@K)MHjF5vS*zbxU- z*d^(_X1v9|0+}n~9Hfw`Bd8zHn{|Y`#IkeGBE<&2(|5%Nn=VSZO^H z_c$QknL_vKXZ0U?ZrWw`z^Rwa+%yUPsewk`*doJYK9I8embvr1XMifi7|-uEn=%@J z_1!$>9#p7~z~#Tnl(S9iy|KM(#d#`$cpu=pSmN4r1@?Rl?J7y>_eewe`b-Pf4el?~ z^?uVZ6)Y}PALHtg^ful990+{tah`HD+O2Neg(`{j`ai*yf3?xsrd^D~=hOW>%c70c zb*At30PQ-KfPJ01!<E_^BS;Uo%a!u zS4_L|R}NIkTh0BF>o!wI4hFIu*a!MBM`^}o&!=ra#tX81bA2E7PwBFag9qcj38ahQ zOd!(z)mazENUQ@4$Fl(ES(&yE?)QRY3)S7YO21?BYtwpP9@5FaJag~BeR)%`KLR?Q ziEFl`v+3Ucl4T4Tx~}mz>It9Is_}(tJg$o+Z8q)Sw@`&~*>m#qNNN&`k9pM zJ%}DwsD6O!3>_a#Ved>o%mJ-9tS9RF%<;pWOxHJ`*VK=5fOO{z&2%27%bFidx6(!> z?&Feni_oHX?>m?5liWBvdI~PPt=WFr{eK1exS_5hS5c}kZccYjk584HKW;5_xnCIQ zDw;CX6@(4e;%c}~`j!kD`9fTUxGF2I)27V$l&@mb(C6cNSoqj9?cswx=z_G1UN4X} zJlPMi?JsG&_O`$2#=dnxx(*=Q-K9c*Tf!^GW6j!ir7B4A$&$&d7gej0?eB%lROP12 zRYmv;)R}8k9BB?a0`D_jkNk43zIC;xZ-rlwe&Ek=o3_)~?TB$xI1_xt(V1mq`?-eX zsUg+HUT3TeeFc3guB{@=rk(e{oVf0qLRE>&eS^`_44x$C&Ikl=q_t^#o|5sKV?j0Uy=V`#E%#j1JzQJKLu^FakLz9Gn@JlRWu$|RY&w^Xg2xuB zvvJklXl!KDx#IUA?t{2iN_?Ak+WKBcW1HT#5jh@nL0sy4MrWI@IIB>d6&|e?;C;w_ zToro09fKXr{qR8C52IhJ9uca*nCx~PrSN1uS>VstXX^K$)2JMbGeW~hs{H0zDq4>^ ziL0J+*hjxGT@`IQLi^2~U#QweKI7Q5ozA7p&N{!^q4n5`{ulc2ekQwbw(Ev2f6NUM z!9q2z5%XUy7v-Jh=V~j$K3DL=JZwL#cM-y@7s`8cE54cXIht<*d?KJSBZa!i&#>Yo*_Pad@m`py;Hiwo5$xVC8C z;9=3aZe4`(OVq^D$=+qn?Xr-uFe$#h8lA zeT%dSi+0*$CDVnuUXQlZU8n}(@=1J~cJbN8^Ek&jQRuBas8}7ewOEbbgmW>mCNvo9 zIYn4wiX!c=afKwkO*^)84JlMZrWA8rPIK3lv|0GcQ--{R^EQg$Dnf`K9^F zSjlfwgo{)e`+L;;$f`p19bE3UVgrkIY~UL1Qp0CT{aLgF#Mn@eIr+(`zs{VT^OFeY zCH=h9;(aqcH;G<-xK@Abx2C>nW0Nn>J^>V;!*G>}hnqf$icNBH#7!=XrI#*n~ZP*f;6D z1miuf`tQ?*XxH#pef)9WkQI-1iNP)v2jZ+BSGh{>axwRD4Y11w*g*2`Pa!SSoO!9S z&HX^qWl;J8^|@v95f-*NRYKKpaj5!+AqbErQ~AIvn7Z==t}wQ)9OAY-hrwjcRq`ME5azH16` z-hZJw&*OkUJ$m?<8iS{ejjx)hWXVx@GQw! zB~!SEKFfb(u^P$uAz#3{IG+9LcSsP=PTOyuocX=(gHdj%Gof|(zAvs#lnJ}rw9`-M z=LqN<-KbCQ>e9G=t}OFg{|^h*Ww<`p=~1WPpXrBkx8ev-d2Vdp!-?ElsBXel`$OnJ zTTC(Z6Y%rX4|*}&rtLJ^-p;xZ#|^AckVnsLsPDLL);w6>2WI(U-NlOI^5iRzQ$ClL zs!L(x3+szj8P?#-s`c)-Jw8+J9=d!!_*DVy)55fOrN<_YspIqpKq@> zd>;jBzHIAyK4<2M72`<{Mc;yFSa>$U{;U>yFs`Q`IU!4q|8B$gZ$P?XKg}(N{CxfJ zTVwG{O8Rf~7=FJ3GOd~Gu@5wQ(=XT$zqrM(=VvDU$r}v6>wvlV?Z^%h$f>9vgnc5F z>2EEB%soHX6}Lg2Js$b)H8LIt(!D3Nt^-&<%)mOKEu?-i$Rx&@#{-9`16K}EUv9;` zuNixk@tm&$dsc8>K!PhRTxX8G)wixC?l*cY+i3Lo8IU~x3v@xRng_%dGLMxy+Pel+ zCjPfSZsIS5oL}JD0-fm0^Tk1UzKCbxXpa>rn~Lg@@j;{c9e8|ex66EM*Gb=vx^)$< zzau@dtQ_YNERwz+a}g)ra|lb}@~#m%oTuUgkgkCP)WDhM8yEV08q6K}jgYZ*d}D1y z$Vq?1qlGGf>vSjmxKcF^^P6$#f4N_O9QxRC)p#d8IWkc(66=}p*K3>b$KLfg`Jm;i zuRd0&j=}G5fe&#$=vlz~9loV`SpO-@co%7q%&8q+Mn6%gj>nZi8lvMqe#rce-N925 zGabvOC(GX(fxh<1Fs*&;tFDE_GlOtZ_KXwfBJMt}~ zhwrYw`Ez1(UuzWM8*wFZ75x}{32`;xqHQC;<7tp;vT|OZDIL~8!^&TcX?a1Zg z*8qOmj&(Z%|2uXfi|K+-_LVn2hrDQxcoTBO^S{Yl;$9_(UbNZtpA@SguGP5gy4nMJ z3$9&tsb6R6&H9qUI!?Ar#xvvj5YPLD(b0|@*^n8J^~L}DzVTRJ80OT29n}}ga^m$@ zUk(x*dhYISGD#)EcP^Si$JpPHk5dp==ow2>V0*gtNAf3E&< zWsZK&FT6BE{JUdg+6L<$!?)Wu4pb{2Nc(;7iDI=4epL^q{T_qgng?)}jFmR@WU<Lt9lxJC;XCDyr-YRy(HsVav%H);z4fgp)@~V z5#G;y2s&G7&w}5!`v$6QJ?VI_mXxT5o`GuPhP0oj6yJ#3Fi@?w(pJH5JJLoi8MEQ1 zAY+S_b|w63k#?Kq*Dwq+;1{*z){Q_~$nDSFKAdkr;B`mAt%BPHitDftpC6--IKUJ-mu@NU8H37#()6ikWSPX$Yh4gU#(Qv_!Vo*@_#yj=2ezTnw{ zYb5+u!5+c5;Io2T1wRrjm3)pBJV@|J!4m~r1(yp(1uqsp+KBk)W*vn4Si;{G)Smcv zl7u_-0jbYCyizbC z7#2KR@C?B^!P$aG3VH?i7aS|-7AzF}Xo%4_CHRKmD}v7pZWeq*aJ}GK!PSE23w8;% z3Z5lcFE~Z;Ai=SM!vu>2KOJoJ`%v&*!Pf-02tFbBu;2#4I|SDXUMqN!;7Y+of?pA= z5-b%|f+^`gUJ%?Mc(vfA(w{i}kze{#hn`}7M9d6GMNIBgq*MI4MF%d@EocDi<(N~@ zEqcmeO3(>kj=2QgdcpCSN6`5NzbCj=!fOR}B>anD9z&NWa{el`_Qbz8Fn^)DT~HJF z=aYB`2^I;aFju1U2z|WZ>4Gmy__KmKGX6y{7o!Ub`UF=$YWxd@e*2e(-XyqI@O;4q zf=3IE7yLAC(!DAe7rb6@xnP6fbipx#O7Jz&XOrNqg8PepIurP}L1@q8M()vq4T6^o zJ}CIE;0WyDr#n$_rQr2~F9?qKm5Dc9@C?C-;2nYqLAThuPVnt4eeV$ed$YLrJ41gUc=Zd0uKK;f#{|##gP}hZ zjJ{~-gSHslBzVS4hWAwF1uqpGFLu&}ihon2zi{XmJ~ZjB7Cc>WgkbESCcIYg-46^M6Pzjd(Lap; zgMw=WYXv`k--OQ;4E^2E@1_iXPw?%(8G4;ymEc`}HU7~-4aW$C2; zIVKc|aZ&&|H@7tPCN`lnW;3)<)r6LXTRN8ahH9}0+E=GT(%ultb99=lYF0FLXUPmK zL~vjs`R=1rceRAtdy_X%?rbgfKph(H1dHBagzEH+;MLq0b+t5}V~0CY0t=z!jM`en zoTOtmwYM~O^v0whqeZpRvL#aq9n8e)D>^*fxpYy69?Yd?Fj(u^)YUY(x|jQLG(q45 zBtJyeENcsQHueVT93F>|D#_onwy9HkLjxx;C4}Q|HpNd=HOu=gSQflGpqEd!0u~#! zpTx=#c6^pFoIp|2-QCpKkx_|I!B~U7EF99-rk=CCG1Xy>AIk^ilC7?q-|+q zxT!Ur)03D`=U})FZ*A;uYE35&=y1(>ybjN-WvsjD`rF>Nh%F*;YPfk~b9=iw30CiD z?`$%<2I^b8=Ai6l+X$douR+<^hosLN$ z;aFI1m*PXlOvvDKGE3jwys5f6NYymAgd3$m(~9iO#He9uTN(c8oKkC(SzRwi_tnMc zbBw2Es+x?ls%vRl*45p%yyZle`_4+*-=~J`UV8Mm>3rl!N+Y2~q{}`lj+2ceRn6I* zZKhw!wxSdw&VR{})!5w9wXmx#+|rfKsV)<=Gh1GEJV&JR^|4%b)EzgIlXKW^_c$y- zpUND}&upZ1XpMdIwuAPHc5mvCZuNPpsdH(ltEIcUS{Qu9hX}&}PrCnV^3K!d;DR;cnmT1vL{^Os+P;y`H{Bot@p7Fl6Hl^hCH8Ov2E` z-k9Ukk5o-lX9s%QWliA#)CfZ)`lI%M?!VD7F(#xmwxjtqhS7~ehwf#IOt=p171JGV z4+Xlz&1kltP0y~D0K1vCc84W3ZkRD=CKT8kc9`s2IK?O)Slr&Yq}ykVsSVKB0eepN zu~#f})tJ%ii)0Em(!fdRXLRA3585htSCC1pk>d8La(x?(`9ZPf#Yie!mN>{FQDQ8rR*}iI@ zFCE5u*1};o(A3%7;uG1%zSC7rSIg4Q|=a6pFFKOv$!9B)jVPLd0 z3gptTg5#4mYI93>Q&(GvoktrwCd|T?c01LO)tdSY;HbB2v6&|?asf-7$C`vW-7X~z zU;;d2cHnrVyHZA^T~CM!J`<{=QCm2g>{?3B%D%x_S<5-QmH=(CX2Rl7XSc7`YD|IS z^}UIndG1nHeYJs;sI4iZUZdwKCiNXv)7BkW844`!>_WHaTN$!Ssja)6H=bsCPL9!C zicNK|(lJ{)nz~kn!Y$1^;foT17CVbgzL%JqySxR{zs`=FY_%25fU$?&7)L+Iy!i8! zsmuCmZI8B&z=K_2IdD{?A{p6EgMcXRQ4E^}^b= zZLg_c6nmYTrC088^7FUX!YsXVkCLCiUSG}9EB6@r`Rf(P)T^Hn^7GdV&+~RVK7RgM z=_hDT#_HZut>+MO$-A`r($W3GeV(gT=LXjR z(a%h!cS#ud;Vk+5nw{4TKJbQYQ#;1w*97WXP$e5Xnp(IN9cbxdt=kK=6*8QPJ82T@ zOoc6L=Mq?l`)LpsYcPHgw!`D0J+z&B@071zMLx)dXE64|+u4?Kz5VDFjMcTQBiy#M z1!m`7nRM~@?ju;q9?7W+d$_EwMVrTX=?BZReUsR;yhk~Kz)6iuTbctcE1OzEVXpJ1 zHL?=#!5Y7oHQU%{57=a>g%01VTYX~)I{=z{dy+l0*Kt^}XEQ}F#m-*umziSZWbPCA zAIQ14sAfego(t`$NaoL(i8eNKHpjc=|BHHpZi+4URJ4uL_F^5RO~G3C)>Rluum@ys z71=2;N#F#tEl}MJy#v#BWWlL3eK;)vHNU@h*jww3Dc;@XR$}7gN$K8gy=G;fJ2U#U z;8a0P%hFJI)m}9vw?3%WLhgv`<=Z9?takKVDy!qD1)JvzYp}Pbvx}pPY5Jdmku*nY zbv9-Ve8Rg2+^Cic>lTd(aC>_D&vHD!Lw~G?pi|qSr!^zXnz>~Z#jYgac9+clt08a# zdSz6#`GK6m^h0;`&w+UA-rdr*oCf^d6=Xkf07mdr105|Z!+~z>(1IG+4B8%mL6%}N znqy8II6+S{N{aNe_$7N%R8sJ;wmhddMuA520^!!K&J}^h*uk_6wF?t*Gj&cInH{;l zD~J@;a1YrYX<}~{Fq=BC6E@w-+ZOMB^U%W!rw$i!WXzEPXF3qlJz8&#$A*PnY=rFS zRJA?s?yjDW3Th@w)0F<_Sqa&#v$b}aJba#_%~lz8u#ueZcXz3=rz@czN|1%$ncDI> z=OBC9w@b?F;eQ6&SfD$EO$FFFwitUBbh~fg(Y>shyJz=hxP|rVIaCNWXhmb_^Y6x_ z6JcNWhyN#~u#=9ScZHc_`>q$0x?Ydcfpq=+d`F3y+}UVPOLj?h-GPqVJNI6CtofxL z&AHyeEi=6%&Mw_VXSUS_JwJU&_qhE{5818mWBP@_aot$w!Dhf+?2(=BKpn=>G0g3t z*34+vdv@|^;Mj2uS5dlKG4^3=zB5GZ;hl+QuI*3&Ef+0Y8fvmxW82cAWs7$w$9l$9 zH4r;}S2}Zuy>uHW14JCF0eA^1UGjUw0_e3%On>p2N}1h`Qy{xE=bgma)ah!bJzkqx z#cr6}8L&Uix7Q5q7Mi^*!EW2!I$^~%J)_xe$((L+cj*LOv#GL^``usV3Evc>J4EA}koY%IT| zZs^YTf^M>zcBGy&8>V|~KG~mpuC?;GqZ(!^7;a+qdr%K!je~Ef^jhgN^wKh<^S)Sb z6@HF)N!Xk0)VN)0Z>XZn$!qA|;dLx|4cj}s^se{edxsZakyT^&4liAON9-M5^QhOzy~9iI zyD#N<({j!p-Q7#OlD+>?&Z!o4uj<%?j*@dI_T)u{HO|A8y)@!0h2ZNQ6}D`#HQa&YC{j)K1>^nw)?3(N1dTMP@Z?k2v;S&3XtkXuK?& zHcm}>leYM-^ceD2IndZY$rp^=O?%wWhH;hm5dQD?6+d_Yf zFTJh7DPGj!-G6yj8~au6zgQY-)DNfZcdc;xNV;b_RUZWtSm@O&O>Z$xh>zQLkN~C6 z!&J@rrg#)*T6!w^M19oa!8Y=`9k00&G{`q$DLZn z<{=j5Zg9oo%tGD*jO@2&>;^Nb8_gN@drzLa9Buazox91&=_u3&-5a)bEN^UYYYsGa zEm@{Fex<9A9xX1UtQ|yh*!_dK+d;hE$}gh^#o%<7iHA>|q&J{_?3#x&8XA|h%)P`ucS(P>??Z1 zg%l??c8BM1mx@yFhP2B46<*q zQj7B!;)Dk1KM!iOh1y$AUUW7dLrW6bMpUe>Np zli>as#P~6NZ?= z`DyHGYV|jUTW6>z$Z5{Xw(u-$WbEbN>Xgo@=%$^rQP)Y{B^q=)O6N1&ET&S|I58+l z)eMlBldD+`)G=vVR~wwMDe>z&JKI}v(9h)RQ#%?%STYRbTQ&oDNQk4-Ndrz<*3z}g z-_oVcg-vj?IQsHO!<>~$eItKiTgRN0Elui#Idvz^Inil>Znf|vR3EmCIUUQE;*2iR{8`sxwyMs+ z8}Ia^uJoUw=K1S%^Idpqb->{>9QD-Hn`4)?H7nKG-XcMBO886xkLp(h(DYE>xVH=^ zgq${mXB{=1ZvOMGWZtO@X8DisYTobXFKt=M6C!vj`fJx_ep$VIs)iqhEzcJ4^?M7A$a3^zp5tCiCWit@SQ&0ZN3W!b#yd0nG=0ZGt^>s(nhJ5^8!oH(Yy*> z0f{vbl5}NKbMpf2T$oX6c0srk%i?HX&mb4euv@PSeQ5xhZ*E$F8axN##`mYjmz|rs zNB<6?in|MVK2KvizEP0BSnohq>el?lV%Td?!fl<40*i4hky1?sIMuF$Mf-;Vf#uyR z9P1xOyXwN+0v%QuW2-;#w~m5yw-`gGJeNH5v2;qE#^1UQT$qm|dD@hE0%@AtmY@Lt zh+iwsPt^`B>gs2&f;rooW?|ICzKZ}3=tB>Ja%>4NMVF}57E7lg z#tiCMSj74LYxo=M{hl$*Pc@e@JcznI0Yeg)1=c#hewp29CbdmqKnvE5L}GKGV;M^JHI)0(?j_ie%wFK_UfZ~gmEm_ji6=?13JV$+vzC6Fu;>ez|yO$+2$g68v z!a@0jmQ|dt9z=Q;dqsGtX{2Viw4*BrIYZTw=I|}C8%gU42d8H`imKKe^yE)st)LGk zs|y<2m$m3Q@si$jX}=TbN8Z|NhMGaz$aZ{YFPPy!&Y3IB%%9(3rUK2qTYXZYNBJZ ztxndn#NLVWjBZX$>)A5y(&GgOlsvS%R&==d_D)`)YpEWgKEf|dW3dA$(AdP8yPBIH z(9a?9L3H%cp~e**%h6l+bx;1}^g8-pd{&8a0q5fLDZDo0O!;IrzRz-Ui3%>qw=;i{ zuYAk$IlQanHMsEG2aF;tcu~GeJeIG#H^Xl&eqWfcVz(d+{vP~JT?O21FofU1I}is~ z48Ie&+_+Lt8jSx4d=M7D5`MU%xDp>jF_%jPp<&MldHUiv#r*h^RuWfaj7xuuEIGiX zVz`3%I9dGrF6GCSTI+&NNOy}%C2n-7C@{n;fUsK;_7wbnjWqZjeH(mmDPC{7RPqhP z`w%?0gBPyo1biFhs5})rGEYTt#gD*mT)xNhl>e)^@V%cT^z`BKz6CwExG!_(luy>=_Yiu98275R5uK)MU?%wU*?cD|a z{{FmpzxJ~8Onc^;y0f!Ie6eUK>EK%UIPy;-zo8-8H!?&4-`DOLKzgB&F=G_e-+OYu z*bs%#Rc?rU&eQ7phj_O$7({SCW{A!{bnz2u{Sp0~ZHV5N3GYrr^j&6%Xo)4dhg%|l zge7{)EYZQWU@Y*vCTfY^QQYU+$35|~C1TL~27X?$MBx}qbV64t_kP1o=X;C2+=s5u z@grHmeJHT`)}LjI?p1721Ks=L=S|`m$nOnp(NSoN2y_qT+i80tyC1rg_wVHUt$dHM zcN@~UE#Y$Q*o83pEwJg{cI=OG?8MG`v9Z2&D0`DKU5C~HJ8H*bDd*@7gh@H~byAj7fimvKt>y{jo}%nGHADwx+P)X%F_v-|K)F#~ z5z4ENvg%vLbu@V`M^8}riY3~ilY0^Vj{J@eK12uTxSMNd4tiS^_T%rjxW6{PH|2Yg z=v3^6{?KQPbatW7DEjN(4&9@VK6Fv|6}tEg`#9bdQQD2pF0Rmi2KD(aQ$(QV0rb4k zagWM2fxlyKxQ?DUWAC)>q;aQup}lM(@4oz5O%t!rvX# zxvmG%F}n7`ts%|%)Ft`1I2`>^KO)oz>BplB9rlzMA_jffeg1c(1N{hTd*~rxY7l^A#BiFBHuOj4kb~|n+Jif2nL;a~)hAefp54(z8fF0e9Jbi_b`M|#V zwnwkC@zX*ZG7B4o?h_rN&6L*>#LKsI+ixdbd<(bxNNB>Iq4Pu11>GyC*FTY8^4VU5 zJUWl@J>i<2Xg6ptB0JNTajkg;8>224a4o!)zK3?a6S~PWQxp-!&bxtuuIPqde#g#6 z*PWz`tLPw~CvhJN_}v9H)#&Xc^i6sq)FGx9qW5|HH4w(L_~Tme0{Lv@K7SW9A;aHY zGwCn+yO(Q(zYAl?@OKT@j%noY7NUELwr3#M&1id~*y5|SN2EJ{G55)4ReNQ8t>FDPK^u!#k{S)%thhBcEHX!;Ta$AwUErIlOui*YY+&dFpzQErPP$zaIjXTh$Od%|0Xq~mVeMLD?_Oje% znalF-B~8(}TuD=O0at!U=OM%If|E(-G34iH%AIQmbf1EbpbrX8B|lpb?)S6}kiV?Jls`J|ToXN`?*jRI2kfefJbxir$ zvTn4Kt}fcqF7nw+9t!7?53YT`0_7N?f9|}UJU}}opYx)nCm zPMEYs9n_JIo6yI*#Q!b!i5(Z-L7cR?eR4d_@5p`R3!;#}oN}VS?%359OKEqHspZ@8 z=ueEm9v}jJjMr+oMt{>qJJ+6_$p2lW2c7pG2Zxh>($p#4D8qtnXutR!!9D+2%9DG& z{4L4|lQt?QW%w<)M&v!pJ|DexFutkb?-=2UV~F#8ba_1S&L=F|rk)wZJCDE7L5wyk zI-UFv=Sq8Cb0{*s!Yjb9 zfI`A8jPSQ?6Az#-K{g*h`P*V!+hN0NlP`!s7wwn)7I`<{A8*G_3%954pO1b!0e^+G z{gofABIdwEh{AM;K|9nycMo=b3FUDqdb|ePTS7g;);lla_r;Xi)r7_UcEZgk+#2%K zNqQnjktV`#=i2!`bp+byQ2w-|?T4aw=%DQ^*qFYuo+~=+l)5C}odvXcvd$idKB%7^ zr}KM9>dBMzv*bgNk6x}dT=Neko?+y19rC^r&zop%vd zH+rg}F2x`U?NGRkGI$wVp$w7d7m$9+v3+y;9@>CNC21IkpI2!EFGZgE zkbf0=6McOumDZuPj#9ilC!T>QZ|Td3_T*p)6}? zpYjX2%D(hN(uR#i?m(xd=tuUk)Y*cgvHb=7%{8Cm%O1+NhO+I$mTIu?t_A3WI@yce zM7JUjTQf%G?{50vUfT1T72G4AowOO9`(d|d5iV)$s3iTz6CQ|aep64{=khnd3rS-i z^`(Y3p@+7j;80|sQ?@e@K{o}^EysS7NCWLl{#4|TCGY%>koI=je$?ScxCJ$YNn6uP z7~L`~8Sa7nO&ERXp@+2fp{L$cDZh_Q@fmq*XKc{Uy}qMyKZfw2knjt+3a$mmQ7;dq zJ{M75@6iTuEqoV!M)><3ejmVCoZp>g$gM{@Hp1@+bQ7UHdz`U6L|*0kI(^BtmYK0#m1ojRDq#>iu|7~Mex8G%e>B68@x2fcSpAg@P}x7m!}up<^` z87HIDPYDCR`BF#s(l(7J+!%Rjqpl)Th`%WQc(5)y|D?Z!Ze;Sg7n#L<+za{L$?tC5 z+M!VDl=%C$z_zzUH${YnURauINs>-$q~YHuZq==!VE}Y!&k5+6U!dP|9!q?&f#u%zIfO}(M9C72`iF@Q;^7s(xj6wx*BiD^w2XYD;B zgZRZgihJx^z(P*PQtr|aJk>Z{es)L-puuOt~YW;E{c06e@D6BiF@~5 z__-hVF+du7NJl5>$me&yOfT^k5^o>r=p!99E3lf||^D5A; z)T~CINgXc0uDZDPLD#`tp%7w_Pa7J8_Dj*@AlLz2(pGgqFLXm8adlN-YX>3QNZmda zzp|b!B&-I~c@kIdi_^KzBp)YpZ6Z%+@|(7#<9OoZer!Hh?sp;A#ozhJb@6vTay`;6 zF63{*>p77t;YCkFo@>`BT!}As7T_#U>We!f=iLPmj$a#!`&ZjO>9x-gWV>Rk+A7dZrD<@53 z$Pd>V(pdoQq%{JC*l;0b8joRqx|*=uo^={SYIFG3!f zj*F3B#Pt$%Njwqa>xQnI`AwYBTS@N?+#}8o!YSn1y_B#CuaB^zh3Eik=rcM>`Hd~s zMA6M?>`-3EaBpwQcQE%Mb|q>3i#BFU^i7)_r7tR^FY4I`+uNHk784KcKs)VrK6c;H zO!?2ljeeo$D9UXP`mdr)xG%UL<##^6BXe=%cOkz!`Q43t7xD$j_i{hR{Tk#8_}$0- zUU{Fj*k1aGPU7pKuEx+;KI56*A%Hz}Y>FGy&`x(jg#NPzn~Y$$1zdX;k_Oo?lJ_Xr z&XJ^r`p|bCSK7bGE2IhAEs$*?zdQLI8^(Po{|eD2g6B=v$(+cOB5l?{@ly zLRq%_-NoNAuI*BWw8o(K6XHOoXEA-;<>+!S>7-BV<{3t}?AxI0a>D51+uRzrq8<97TbN0C)%$geblKAD5PD? zhk|+7nyepO`=I7%@(Yn;C=Vz&7TY|IyvhDXUirJ1Yvg!zM?L7CkIada<8BA%H(1V6$0)w!Ysro&nABzy{M*5r!e&<2a(QTQ7+t3@7; zgid%Beum8_aUZ6`DR2vX3>!_(6(e9KoCmkV>ma7&iXET=X2bb#7rY67Kz?1W*c}dq zc32D#ftX5|usa+Ao$xTc3%|hn({jb$Pz6W9x$p>l4r@)%6+_@4m;ooiHSidG4;$3y ziXxZ~VdT(K7%3Ugo)JOUrVKd|BKT(K*ZLmjlk1<(bL zLm%YM$rW2e1uTFi@C1AY*4$iC0J}p4#Nc#T3Xj4&@E2@758H>sp&dHmN%#!3qj85( zPzNW$66l7v;9JN$CRc0&MNkbV!(zA#UWV^r)nf?@c7{@z z49CFba1Xo=-@>ZLlPA~%%3&Iu0GGltcnscxpCP}U@L)7dgOlJgSO!l+FZ=|noscWG zgb38Y$*=^TfnQ+L6RFd1D%=Ghz*Z*_Kg@+I;5qma>gMN)v*BLoh3yvPiZW=0Zul7T zPRa^-j$d`@!LGB3uK{!uPPjX`~GrU?JQF|AXIP z*VA)(j!Aui8{v8Q67tRVicntoA?JmJ4U;*3?Z-IF!^#@{b z89WZTiwGBLVFBC%ufSih{$=C^s^AQ`6`qGLAZIacB^(4t!`<*Xta3T!1bagj%!Nhp z2z&y@6}e)27!GscI#>=`CuISb!xymom6R9U1Yg3wSD_Dh1Gc`Jx(l7~0DKOsT|-@g zbKn(NcL{od#n1~|T}wX-H$xu`xsLV_9t3S^t{4Vqz)R2vt6xu9!(4b9*192A6vGVY zfJfm|FmI&IheKgLTnq2R`Zo~=%z#VbY1s1ST(LjY!pU$AJPx0Nc?;pdp)em_g)MKT zO@t{hAC|(0uvr)VG+Y8tzzWcpArHkc8P0+`;7#}gcDRkO;XJq-K7y@pr(c0H;UV|| zwz&gcKs{UpPr`Sw@tw2*Fbgh$Zuk_|xGPr_LOq-d&%>{<_1)AHxCmZ^Rqi2ea3q`u z55UK;`n~80#zQNd2M@s8@E7cUALAT24_3ey_ft1u4lII?VBiC^T~G&C!h5jMgOook zhL>Qyhp-RmfaS2t!;}Tghqs}i8$H8KU_OH0;T(7yR)3U!78b$X@IP30d9D}=+9s}oC)19 z@M-!GxC8WOXiH!&Tn#V4fM;{X1h@=70`ocAM>rfVf>&U`^VByu2kwAg82AEhHcWuo za0h%3g)cG=gR9_W_!&0qq5Xyh@C>Z|5^WKTfjMwFbi)ex8#a2Gb_OOu6PykAzy~nk z6~-tq9_GLzcnYjn2@@v5$?zC_2OGUc9|lw5RJZ|Ng3m$wAN>{V31eXvEQF=-G<*wN zyiVD{R5%VUg8ShW_yY>xpbo$hFbfvJZSV|y4%(aa*RUVd!aTSZ-iO?`Xy2d;=D}s~ zAiNF#z!q;4HcW<-;d*!-w0EeZFcwk*AH!NJxCe*8v2ZEe4X?nrkoN&;fMGBR7Q<`s z7wqvN<1)Aao`;`cmygf`oCvqTQ}6+pA7hU&5~jg?xE(%#RX@oUJHlu<5pII#;VW3< zQ{snt@DgnG8R>+};WhXk27XSz2PeTIxEBV0fllFV81ND;phQP^i z9dyI{Fz{>g2ZzI4cn1c2gP!4Lcm@W1i(cRuSPldGXfL4=u7PJ^)$fpj6W~Gk6ZZL@ zaNth(9}N6~`V7azE${+-3j=;+E&wB;0d9o%p!6rkSMVWh_%n42-h<75p}l}-;cLkI z6`ey79164GDtH-wfsKBnje}Y^8WzJ-@D;50J8d`2fD7Or_z*VzgEkgUfGc4+d#8fyAu7wxj3ouv76T87oxE{WO zHCD|N7v}gV*4DSbNPpu?HLkEwB{cgFj&F{5&xN z>fj`}3Lb?Opbel5;Yhd;9)izcjkO354uLb_Vdw=hFpqt)lna~y@58{g$q(EJ%i$wf zW1T#)GeqG8xC7n=Yu!At6V$_na1ZpsX6xmN;V=*Ggg)4GebNoha1J~SAHeDx5H=hJ z?XVOcht)UC6P0i*EP~tMIrtoMH^L^M4BB83+zW5Rx*MZ+m<{K{L+~!Fx=Ehc0Vcp~ zI2*2oN1zw}gv~e26Gd=191S<((-9Jm#F z;0wsxB2Ns0D8!%xmcc9V3v9Y&o+yEta5=mV`CH|Qz2FEq8WzEF=!Jn>=ZSscFjxRj z!go-x4RsY}z(TkKUV)!r;I`Bmm;h~X1w03YZ$mMWeg1;4_M`{juWI1R3YCtwAL{jpOx z0BYeZco;qb`vB?;jDmXTfG6PxSnELIf;zYm?t@QZlL+$A2%YdSdic&99RrD!%OfhY%n5E><`s&3S0w^zzSHcjQqneXo5@OMObwtHUWpjx$qpUIST#4 zv2Z=S4;zlo6GNc^I^j*Q%BdeP1D3*Pu)`SaA8vv_VANRJTzC=Ih|)H}x$ruye^8z{ z6guHk*nS-KA1;FB@EZ)N$P<&`TzC$0D{0GNGF%G(gKr^se4f|^#=|La13V31Lf!;y z7be0iSO(w0c2#*|3M_`VVbzJG0S<*TpbI_(>tO0B90te0weUFn0y`Z-T3|7}2q40sHFgF#22M_39!LUA=|g`40b*sKOWun?YxoLb_6 zX1EbPh3zL{tFQO*7Ok|mFa_qrQg{K(HuMS?z%#J>EczNa8am+-_!L&1 zO<6%X#Nd3m173sQVaqwB0p`IHcpUm5e=hYKs-YcjfT!V4*mxf040Ui0+zlT<&e4Pi z2S63H!;SDJ=*Q4L!J%+6+zubY>c?UeFdfc;2jB}>`#9o&Cb%441mk$ZfP>*wSOUwT z7aH2Jakw3N;Coo_1kwVB!*Q?_UIFby>LZMU`EVn=1FM{bEx<4P#ba)tkf^AP@ z%mt^zP4EPK4g*fdMqnCT3D3hfu;m$y;a~~;345MNeSpVdud^6S!Y@#~5S_wh@HUj6 zjSazS9n=9h8E%6*gT-!Q2s6Sx_~PtdVsEjJ*q8G~_7?|;14Tp>iJ_ucl!#I>Obizz zIALR?7$ruFaxq4X6;W}J7$+)3r5G++(P;rcx>_hG-BoMWbjEF>w^%?`sjQqK#K%W{WwTbu*85t&b7MisQubqFtOIP827J z`C@@MS)3wH6{m^Q#TnvEX08jx*`h<7!}%}giSs!p=0b6ixL8~wE)|Q!Wn!_oTwEbK z#g*bJakaQcED_g=>%>xVJ+I{4C~guri(AC4qDw3jw~5=C1K%m`5_gMx#J%D^ald## zJSZL#4~uT`hi7mta@#S`L5@sxO4JR_bJ&xz;73*tr5BVH0Ovr+j~@fvRozb@Vo zZ;H2=O~1p7D({K+MXy*PJ`f*@kHp8~6Y;6|jI#>95MPR~_@dS~;#<)tz7yYzAHKShPI}buMN=F z(gtd4YwKw1YU^q1Ya3`AY8z=AYny1BYMW^V+UD98+Lqc@+Sb}O+P2zu+Vz z+RoZ8+OArmHb@(+?WPUUcGvdM_SE*$_SW{%_SN>&_SZNcQHy9r+EA@nE73}|VcKwQ zgjS}F)JAEewQ_BYHdc#j2WjK93awHbuT9XZw29im+9BGZ+F{z^+7Vi{R-@HwleEd& z6s=C1s!h|TYxUZZ+6=8ho2fNwO1 zwME)x+G6c;?Fy|^yHdMKyIQ+OTcTa7U8gP8uGenRZq#nlZq{zmZq>T9W!i1p?b;pM zo!VX6-P%3cz1n@+{n`WCgW5yd!&KA zwJ)?UwXd|VwQsaL^grEjfoqi?Hkr*E(Cpzo;fr0=ZnqVK8~>Vx#b`fmCVeRq8ieNTNaeQ$jq zeP4Y)eSiG`{XjjU7wJRwV!cE!)raZB^$~iRK2jg0kJiieG5T0Nsvo3}(<}5!eY`$F zuhJ*#2kVFEhw6vvhwDe^)q0Iyt54D=>r?bPeX2f9pRU*IN9r^527RX9s5j{`{V2Uz zZ_!)zHhq>pTc4xP)#vF)>&NKF>c{EF>+Sjp`ic5U`h0zXezJaweyV<&e!6~!ex`nw zzED3~@6gZD&(+V<&(|-|FVrv6FV-*7FVz?6m+6c3%k?YtPW?*#D*bBx8hwd=t$v-p zRKH%oLBCPINxxaYMZZ<=(wFJC>9^~5=y&RO>38e*==bXP>G$go=nv`-=@0AO`Xl{=VL;uh2ixKh!_cKh{6dKh;0eKi9v|ztq3dzt+Fezt#Kn@AU8WAM_vfpY)&g zU-Vz~-}K-0KlDHKzx2QLe`xwOLpKb=G%Uk5a*S1sRgKk*TqDm|-B`m|)5tdl7;6~= zjkS$+jCGCmjP;ETj17&AjE#*=j7^Qri~?hGV+&(TV=H58V;f^zV>@GeV+UhLV<%&0 zV;5srqtF;+3^sN%h8VjWdl-8fdl`Eh`xyHg`x*Ni2N(w$5u?Z$Y7`qKMyWB(7;cO( z%8Zf5C}XryZj3R;8d2jQW1LZ8R2t)r2}YGM(Ky&R#5mMA%sAXQ!l*WCj9O!oG1-`6 z)EQHaX~uM;-Z;{jVKf*sjYgx%h#5y2%|?sSYP1=%jM>H`e<}d&l%4fFBmTx zJ;qDM%f>6ltHx`_|BTm-H;gxpw~V)qcZ_$9_l)u4Ariu4k@qZeVU`Ze(t3Zeng~Ze|vk zo10shTbf&$TbtXM+nU>%+nYO>JDNM0JDaobX-Q2_6)7;D4+uX<8 z*WAzC-#oxP(2STx=1{ZPEHO*XVdijigjr^eG)I}E&2n>$Io6Dt2btr{3bWE2Z%#0) z%!%f~<{{>x=3(aH<`HJKS!33klg!EH6tm8pYECn!oAu_A<_xpJoM|?iO=iqI%4{}U z%vQ6_oMp~7=a_TNdFIjPG3K%6apv)6yLp0nqIr@z-&|mxY@TACYMy4EZk}PDX`W>+ zG|x6W%yZ0h&GXFj%?r#6&5O*7%}dNn%|+&A=3?`5^9r-mywbeNyxP3RTw-2pUS}>f zuQzWnZ!~W*Z#Hi+Z#BEjW#(<>?dBcko#tKU-R3>!z2<%9{pJJagXTl#!)CYni210w z+lv&Ckrw%`eO^&9BU_&2P+a%|7!x^Lz6L^GEY1^JnuH z^H=jX^LO(P^H1|H^KbJXQ&^g%TZUy?mStNx)+*Mj)@oL+m1nJPtzoTc4hSo;b#?~g*rq*Uwfwj4{g|(%%m9@3CjkT?{owdESgSDfzleM$8 zi?yp&XbrLkTf139tlh0WtUay0ti7#$tbMKhto^M6tOKoxRb&mdimei>)EZ_Dw?a9c&$99cmqB9c~?ARa-Sytu@J-Y)!H1 ztf|&CYr0i$9cj(58myUCqt#@^tfQ=EtHo-y+N@dDY-^4+*P3S?Z5?ABYaM4DZ?#(| zSSMO1S@W$0*2&f>)~VKM*6G$6)|u8>)m}=D>lN!&>ox0t*6Y?A)|=K_*4x%Q*1Ohw*85hkwZi(q`q28w`q=u!`qcW&`rP`$ z`qKK!`r7)&`qt{RzO%l!ez1PDezJbHezAVFezShJ{;>YE{<8kI{;`Cu*}84mrfu1_ zonxh>D;ns&ZDz+TH9Xs>OrW3OwkXRmK>U~gz|WN&P5VsC11W*69- z+gsRM+FRLM+uPXN+S}RN+dJ4h+B?}h+q>Ai+J*KYd$7HmJ;dJK-oxJ0-pk(G-pAh8 z-p}6OKEOWEj@U)^P`lVJu}ke?_HcWIU1pE8N7R+P=nKVqa@tXD_v{ zw{Ng-v~RL+wr{a-wY%(P_HFj<_8s<}_FeYf_C5B!_I>vK_5=2V_Cxl=cDMbA{iwa% ze$0N{e!_mze#(B@e#U;*e$Ia0e!+gx?y+C8U$$SdU$tMe|7X8$zhS>=zh%E|zhl2^ zzh}R1_u4D$59|-^kL-`_PwY?a&+O0bFYGVvuk5ewZ|raFKKncSd;16bNBbxHXZsiX zSNk{ncl!_fPx~+XZ~Grxi zIXmR+n6p#P&N;i}?3z=UGbm?p&TctFa(2(zBWKT?y>j->*(Yb;oc(h4&p9CHz??`< zQO?ku;+&G4(j2~I9_0+#+DY{d^{sQ&k&qk%dEizR>Rjca^25+25h>vurKJwHcMezV zkC;4{PWsFRDR^Ft+k00ew%Cg+L!P2 zxZqTlgg~vP+v*4WKfcMr}#;+?i7ji#vLje)MBVeQYod#1obSPgJmeyl2YH( zie*<~YUlwi;vA;Npsx%jotiQwoT{jh5JOZIl**>7gh>vqogz;Gji0)gw!&u;bc|ty z{GtI(NIxVU!Q$!l4O7OpwekV6w9%%KaWa@a)u9r>_L8Y!-Cjk>*@n-~rkEU9am>`!JeA!!5-+^U5SQPxeVjUn=ofGL) zn)P*e370XPvzamV$pTLCy468yCrluryJS}<<`H$ZvGOL0yQw)mX+D85O&kV3W4t_3 zKW##@i>Jif?*LN--JqoX_*5D&$q`A8QfZD(l3kp@${P6!DQBQp%5yoxs{u!xS2ov9 zo>7-ZV+r^EC@ibAldgnViWUO-%^>Fn)H1E4wzbx;Fl9)NQp$1V2;$n-$ zPw@*nA&lWv+Ng6FZuYh*n{cp=>Zi1m)+op9kLIHx{uBmu5hmbd!E*^W`M4{m=yK$8 zvl{^)1u2rB{u!U_u4=p2O(#2MOYzxfU(6(35x8Cx5}6`MNcrRNSKQPrQ?JWw8`Ira4T6(1 zWj)>)%gL7V>VDS54M9rzbM6;OBu`pVSP-GU!%e|Sx2ok#wVnFs4^z6R2vbty`0+m2 zfHh~52r3dysc^lDnLcJ$B7F+tmD|y7inS&3mn0cX5vvb;XF9F&9p`YxdtQCnmmls( z2&bee=o~LZ_j4bSh&$F%B^$q~6zz9$S2$FY;LS{xm!Lz4m*nO^d6+ob&3$TE6DNi` z)lI8o_LfHP926dO4a~Z{6&|0?0v?hK7&rOtDreN}MCcZu^I05!7vN5+JiSScxM_@g znI;?SVom{9UorPd1Ut9prnG`iP!)V`LVgs-AHD0sFs`oE{q~XHIS@ldI;lkV(~7w9 zIA)tJ3$AJUoJUj*DN^T=N0?)~rjaTo6u^@s(xe zUatM^w^T{Mv08o<*s-&cvT?4Sl6)i_WL^?Q%Kd}_QvD>{QoL1{RhEt`svMg>!eoiK zer0);j*%5AJh3V6AwCl+OGP>%q<1^PkgVvOFiG-7HLBXG#_$ooj&BQ7}vSZjP!62EU>d;k!B&VcR zf>c-EDnWA0DGLFP?~qx3z(Gx{lEVs=s@v%L6z0qVBaskq%td{(^AtbvX?Ys2&c{g8 zrHR0m4fy6PZeG-c-ZzC#i8wexR?U1+#vP7_<;ihUiAweupL-_f$D1&vgcq2Y`I3-s zQZ~p7$uVnxJlSScgVN5Z24$WI4GPRnd{M@S|H;F=L2(zRBR(ZLw-Y06C&SL&q_7&w`%Z5m?AgmA0h&fiYG*aCdwalua2#4GIo&d?t`)Jfp%N?CToccE2L= zG0y`J^t(G9SeVpqVWD^*Ddm%;KIf!B5<)}L4x$*Z$tUP)pz00-vkE&itgK@3acove zZ%&qG15406)>-Tf$A?c%Y7P{gBNyGO{X-Y4;^63f>%a^vKv1ht; z`DPMn%P959w;NibIY?=-l85DC@%udWOFTH|2n#XO1SCv8F^veHZDjV-pG13uecvP@ zEKpCZAEg!-_3CtD`Vbm&$Xr6nIUR&sW7U8^_#88hken|#BZv$Fi9v4~A>Y_PjYRSj zyL4h|Ae}Wnr$I}Mw=;&8I`mE>9v@7{t4^v?-KR0xB)rEjX<~97(YViH(#iN9xvZ2( z=t#n8$(3FBX8=yXiHeU3(mf?nqDkYBbi?>CSvlzT4~SFqghYI7oX#~qrcEc1G-3`F zOAL|InSNk|ojFe5Dr0D5!6n5|5#MMw)qNuEb>l4AOk`*2?N>5Z2PNjZLY0vZ3*rYPmF10B!hROozD`9_n0Mp zx=V+$TP0J0S7%XcwWHU)(sp4(i>k-?hq!yG_9xMJ`=8;*Wt}c;FQ+jG)aE3Sz55;S z-u;hv@9gmo4H-<1P}9k{OVV)8=bAJ?lP#~~)oGfY*Bp3|s7B|}*5=B(76t~9LTA6v z(C`LVN_*i19s>+5ITA=-k<>o8kw`CTqDbSRVQ52b z;|!mQO5>`F#p+0HlD|Ylq*OF$uo_zw^;1@ARaH@U&Zo4Q&2Nbssb-BrraP@y@Ff~WbDoRUUG(rs=i^mnyj)z*H9HW=Xm@~*!6qUKkmsS`e=u=+$(BeX2!6o{o zGHDV{zgJulV@q~j<22R`5(3quq=d5f;xyILnenB#%BrHC9i*>}%1>xjR323|EUsWhoN|yTc8sL#C^$ktDEt2}k)K!$$U2)l z)M&7{!7r5RD7B=DD`OCTspK#y)^Zfw;u%n~^#n>^Rb|gds;yLy36XASkPTa+Dyp%f zQxkdFh*v$+w=pB!7Nly3T+c^TZBciJGVZM`zWsw3H?+e4+u;6>LX+)N+5cf!|1zlm zk__p$|Ix;qcG;V(d`YKz|8GUzukij$?)=GICsnGsy(*9dgvPYe+;;Xj| zl}>`s>SRw{RI2*xQuR8aY?x~6X`q6gqniFWJwQ|AEQYw1O(jijyoj5=L2%Y_g5^-8 zR=9~HeGmcV_ah8v0-Sc>6dHmv;__1QuR>4{8R8iU2_kzwvZkURL8K&u3IEFJ!X1eD zl<_Zu$eN7oS;?M`{)7=s$oPe+?sD6`;rPjsVlwLpd9m?uFbq{8P3!TctB z2+6S*a)UE5Yw;ye#w-)5I+K#RhPqZJ7&9k@sU=NmI$4t*C)75yxi;@tXBvOX3QFpy zPEDTSk8#(7d0Rpr)iG4g3mHz-H?m?ftbR^h{XvsaYLo?)&6`g@u6Rryis3s)sM?z% zN6MYD;oUiOq@F>Sv@zrJ)iG(Q@|o3tct*b45VhD_(iZcTi!2jaSEP1JXU1CRrt6m7 z=O7_6zP2nNBe6jCtX$oXKYn?x?ofhRUW$$g2o)5LzTxMpd-U z%^Y0fZ(>*+Rt|IOTipYHB8j)jq=7n1A-pnE*W6g!AfM7o|IwmK-cs}yx}EhIWHrskO}rzRDi>_Zbz-Z3c|;2|_Jtd6FL z`>e=mZ5{dQs)Prg4@V&B)w|R^C5ecJmnU~Tx(SnQLMeg-?c3x;#> z!lX&fb+hUj`b|+SY)DfZmZTBRLkkr^OH)HzYn`g2{q&viJh_!;u72aJP|qQf7KCLq zJZe>|b4ia`;&};a zoRmd|x;v&O?NT;^QlxF_)VgN*yf%%^B{m_Ym-d;Q60fdu6;WIptDVdNvgrd?&sqpM zTrv=FT$I%kHU7>Js+T?4Muf-)!{skW8EU&nRKB$x*p?wz@kV($lE)~Ht7}zVw;J0@ zS+%mQ7W*=asjVOB#gZZ|DpDKFq;i;1FaQq@r2+*Ll1KmCTRpE*sdXQEm&6q$Co-87 znL#k9McHfkqo}S@gI1ONlsJN3If>RQ0j3&WOB3H%Qc)xy-Hn&`8A7-Zm}R)myrjH# zj`N7o*{)7`gl(RYYL8ox>#@FZB|Vm;*Hq}*ms)`%rAht*xzFs;dpp|qHZc#rMoo|n zh3|w&^rHrEUQZ+A#H&-glP6JX6jSP2YC^0c-dQKcn=&q+V4!)bE^VCUKH5^FZ#D6h z5jRcFDCe9c(GNi{KzGn8w<9EHMV6|U6B@Cy#wm5~oF~4cKT+&5y~;uZ36D30;m*#i zg4CAIsmq+Ncyc+DEkmO$U&anNr?km(?Aa)H1VsXRlp}zQ-9bRwE1lFXRH`7Nh7fM0 zlm6l-B>6X%NkehAWRm}3_027<yq1C;V2nPKXJ_I1sU8#X70|w%ITksO&&i|;HQ=67I#R@&@%t-Eb``jl z)N4_lfZ~I&fIcUdm6fuV!*MA^o>@8K=_)A&l@M%DC(FjCt)3~!!jyP||7O8fO`cFx zh~Mn3n$L6cTB5(V3T+YHF{X++*>m=o6TW2&F?OmlW;KCGJM2l{XR21Fe__P?+01?d z8AypZ(UY!BD2Yzh^);HKr~hM?`w>KPj>EmIWQO|_NJ@U)F?i+zW^xqzcu|_TV=Da$ z#y{|JpT+;zU}a4We~!8mX_JBci-e>W&%e`CYB>KliVB8HCeuA~&aA4CVA3ngIS@G# zzT`(co%$p|8iH>I==Qc=0Fji%j|vt?8AE&L`NpStejmZrkgPs}dx7K(mxZD1r;y8Z zB-NYvJasfLv!o28+%clNIn7_l{&BZ=o~?3^A6%n)K((~yP9Wkga;W4VsBrFfZG)VJ zmj!k(yV-Ios=M+SS6+GTD=_oOPUzRR;YIu)B))6foAgD!Fehp$JeeFqHJ{IxoO4~mhjcQjP@kG zRMfGHP90O8RIe(E)T?;(1kt)?&eLXo+L(4XvcI*8vb%383#=+Zs>$-r8{N`!7m7G# zbS7)6N+_@%MBd|zVQFOJi$WP>lU9aYW%#~5L{FA@mo%6*_Ygw$oG!jjG8>^1(ngYlj=A-F#Yn19BjC8tJ(M1spaxvVfr-_s=B|ckiCvP!o*uga^IA9ZRcMH z=|s`rzyf1}|DyzE3o~m90|IW7?AM&sywqE~lMX1WKfcul80v_(w;_F@c^zW7WFV^v zQMRD9)FrmBkDV$fA}wW&^4Uw$Ktrb1S?Y-I6j15vcM!oOsKKFULUrMRjA>%9|DTf* z9!iGP$c^HGwT9qYgxW|Ra8Fr_kgEYrY({auY(O`rR`bL9G4_R&dL5bLE71C<^(Xs3 zpU?OU2rFNL{VDzQ%1ZGau5JG^g5P}l={-aIt+mb0jL^|;$nv81%7EOY!vzfs+ zy~{FEPBX;_EU~7QVdK$IxvR!2r}To!!!@5L=OB@?Sict%-IGU}o2Il)Zk3~;_(0E_ zM9D%)8Lm4GxVPf^-vW`gsg{i8V_CKvsIJV}OHkn~{PWUmx+ka3J_H0ZE~q;z%hHCk zOUls=QswF9Z}Aw@4PqUEGTCCw*FzFjG4bk1Sy@Y|+}+}Hl#h0tCF(L~L>209OuA4V zGcT)bD)NOX_p&*AA>yZ5CC-v{rsVEk3+e85ETLUBCg5!ANGBMthyh79L00g2r73Of zYgfe@zJE!oTm~E_?%TcOi8=dH{C48Yh3lBI@DeH#Txp4m$X8C&4O!5G|II+wZTcsi z81g2*hnn59^P*^WIcYqql?@Y(myOy*nl1I=()~?-l5f)GzAM^~gvBL!8A5LQO5XV; zSHje;FZGN;?e)#Fd#kK*7WvP57O=18;@O`^LmWiwf^ z+{^0ucbY)?hN6?4mZAps)A?$j^B!s$_hZv*!|u0CuCEWdUmWsEpxj!o%)h0W;{^Qe zh8Ih5GbamVPh?5`G(HIBYg^pJmo%wOu-+Cp)f&n6l7>ocwoICE%Z|`Xk^AV@Yq`CV zs(fs$o>#lUIGY_qrII@|HHal<&r4a%DY|q)r*BGIhBw#7{BP2F>Ke`4rtVW@cgC+C zF_*QBZsO6O+X=-NDZS-OwV)_vX7h9~Rf%%_kVIo8ZUAW#oLMf7HpaLcrGv?h^4fG* zc}CkB*|nIO#0p-PuWevob3M}}FPUCv%g0VskykW1-w*JH0Iq5nV6(G6HB5QXQMsxb za#$Q+KylV&%38*A@Isij7+uu|)_DC!l=o9CZ}A(`(JN!XIaa{bhhpcSLBMO zv$amelBTG#%cd!=mbSXFsJOXazM$%SP))_-sz;9Y_?WfS_voUA(9wR>F_s89bXQNZz<(8qagr$N~QOXu5{GDYtO;TB@W1Dyc>0f!Pu8N0{{JKa= zDlk%Kxj$rkesz^+W1>nW(w8WWG=jm<MCZ}#?-o;PaqgUa&zqq zKDCV0WL0*VP6wURVdB|Yc*rCp2R723FMl@IHqZ6m?DDSOD;Lh{Kd%rMynyy|hT5*Q zJ4*;_794AA;poMt@=08LHZG%yH-zP!GB#}V*dlq-m+F+=Ly!lTGb4yQvI8mLIL38! z-jWPBRgZMt+{LwkW0}t_Im~J~2PrQblOZ{tW3pG3930aor*=kNacv_XyvCkV*CE3n z!a7^$%kk>yH_0SqzMPj7)Y(=lo9^&TT6=%|NfS>a7bxkKi6H3Fi(yP{D}&tr=G^n; zG=D3f?`-}ugda?P*i2h0EF^-UM=yq|=K5Coo?wO*jLf28!OCZRi7qLzl2tw_q~})k zuzb>Fp8r%frJnrb^$Dtua&AycO;zJBHxN~ut1^kyPiFP9uAx@Feq2&IT7J-u7xYw@ zT3+A4BqOckO!ikNcKIi!0J5o(-DcnIYwkN;mCao#SmH=$=r`&KZqLHI_*qSUc3g$>4t)jnq@9HbBt$@ zfrEEeCP1%yc9!h02cBL3i(q{fDosMGt5!0lDM6-5YIwj+j5K*4AC= znNqr(7^@fiLe_o4Ht#t7##l~rOc`Bx6LP;}U*B*Z`Z5~W%rWBU$o2|MkDdxE6WiCjOM>ML#4waM2gqirqI zGvp&av+?B8rramlDp!A$*4FG0^dibn^3Fu+zWnmh zL}$|D7fG(@Nn+^^HkCoJ_?uyL$t_AwJxdwiTHnASD#})QO33PRn*N=qZeio%aUq@pnMvbIe#E}6*;`=nuHHg} z^5lE!FZEI86N;zU35F+It+kD$-TRSrQiM!+a%gIeU~1x^8b<>&n`pLHRs&f)xz#aM zsy}+l=F1ISty--_!lgzS-l_4gyXLj>z8#gVY}B$Z>}RT_X!v1^p=r*n&#YPQm-n=J z&g`3~n3E9E(xa6lC)QMt>g=@T0C?k-QO z30g648Ps_Pxw~zkdk~CrkDvGy?DEt}F$lEHtjly5N%*?1tJT@8FNX}Q)q$|%>in)r zy`m%0=AMBdA6+Bhtl-EkYti5#x2nVTo^Qur%AoCby7IV-oF0dGSF4jwilvvpqx5uM z21iEl*pL5mB&;?&$K;7ysUKzVb!27LV+IdaRpDPmQ$0q_X;r5BnL^nR{69{ej3SXT z)jRN*HlcP^YK-;5)Sg^wMH4t8Sq*WVe%jU4n5HVMsA=~9PX+U@qf4k^rGxnQ1@*6@ za#LC{Molo1CK0?Rtq$#$Q;CXj0hwIoTrs(}G08h!k8-M=Dwn8y6Bmp?jm#@>DPw~~ zVlWnWshgZ`#Y^p~NgZO394V&}@pG1(0jXa$xm8IgFHdVoyK6@d7GsGRkR&DB88tzvsB4%iHzqiB$USl}+{HULO)h`95vs)E|oMdCe)@S>4|UQO^x z`U=M{k#5KpDw93JcyNfOiP3%gHSO4TV!Y0UNv5lHuB@s{tfow3@hZ?JBz9MYXQFC| zOmX>Gj(t#J@K@Ye0)BnD%Irs;<`c3C=PzotkY3v|gEwM1o<}`GWoaPYt7Ed(cm>OQ z9raV)lmFwU<~04Syn#tEIBN{@q*$+U_NBbcGo^Gp!2GFU`F@%^N6;>p+;XY*f0Y9iu|M5a{4g)$@~F5`>b_mgzU-C15jnnRX)-GPQ$ zlUIfjtgY&P>Uy85$^;DhMQ;!AO{dTJv{<2}rTSBQ+dn z;ajD}+3ArGkt^deM=C*yko+H_^D?Bi45>i;u4(+YB=4L_JdamFxSL!O2~lt1rKH1s zUn?Qz)V)+Wf0ERkDMcjH^Z$yr7Wo0WjJB3$)0?|$Raw{25Yo`7wG+QvQY+)SH_zk{ z)K)g_xnC6unO|3rah{K+7`A+7;S@02AVN2l^CpDzWvt-G{$yozUZ4$o8Y?ScWmED- zK4FFbAyUXYaMMXrvZr|C=J}FOxaPg$go3H8mlAm@ArQ?38ga*-9eZ-&6e?n%Z8NgcwX^J z|KQdgY%vb@hLD-Yz-rah9U{wTY0g*h9BW8=O(JfrjJc>~Lz|q}RT7W2CuClDQe&J6 z8J3=0f$+sAuclOGd^w?NrCv^`%KmafRmz5>ShWjtIYuwy(f(yx~jGD>^lDyvYEZpV{oKCy|E(&*32303`h zIiV`^%L!GPUrwkBeQDNxdQ(-FW`mj>{;F4=sxrQuP{qv6*A=Q^4DEz8gXB(IR$A97 zd2S!vAdqC@u8g#;76$HOa0HEgU3m+?%(mC62cLRI)fELR~}UrwmX z`f@^5@|eb_Z)dluv+LAXTCyQ?6TrS$_ci3?o;LX%E{ckiIaLWuPw^KK6Eoxq3^|*c zi=ylWP)8RJou~%E?v6>h1y`LU7WQdfM?&;d*W@m$NXjB-9dQ2N8TjEPnLatfv>~-Jw z4Gq%Wu~b`~BIyq>F4Z!yhIEus;De4Etel;VxdU6`(hhWRKa&Q z+;M!7Oc?&BOd;U%TKCa&N+e8d-A$IRnA$|-_^N1|q`uSYrq6x*(u;sr&5gh}R+l>n z-9IDh!#VPSn70R#rFS)`Uy03{BqUqtK6huWHl3fuLfrqOLSeKe*)jD5XPjZ!WjQGm3y9p>WYdtt(fcs!xy{~`Sd(HFN-C`;>`Zz!r9XjD$$Q*c>d&DZR4C8FZ0M}clnfjeZ4c` zcPg^{TZ(5%@T9tF^7~`najJo35$|ppTm94`t@A>G>xK49R(e{P(QF2E65|~Om$)DI ztKLQU|JXYpIJu_#@1Olcvys*yDs7_%sphA_-W5#$15N2 z&))gobI&>V+;h+U?>eh~sgpiIT6k90aQQf0ay^IjXZ5(sF_T7BPGq{&hO7r`LES}5 z+u+Ut`X=kLoNc>sXz(tPsYRNftLqwDv$qE8-h12TAQ>zWZA);D9&r`k{!MC*p}9%* zJaalZr|@)=4LvNVQ(PvIGiq9A>c`VZpIAPc*C*(m%oy3f$}LUOU&}^)V)?|tXfDoi zQI1Jdm^5nAapNY8o#^-LAxdq7V>+^3TeB0+yakdq$;@i$t|jQPd~Jh6pcKmbRi6H@ zW}hcrerAAOE0blA-DY+L>26H_GROXtZ7(4|n$NS0nOj>QN`H|jJyg&UyeWapC|ONK zj}vS^X6=~tXhClPlK!lEeXi1N?2@{DyjFi5J!>Jdx5~K_I}>I5_=YS`-y4$sYt|{@ zRD?OhV08s%5MqBoK}zmCeyL^F)|Mvo!U(wv$KZvlmoAB9cD1!~#v-R+IemcMO*+NN zickMo9qMT^`H?vZRr6`h?16z>j;cfXvAKzzxgCk+#o7|%!gjSZRcXX*P@}BdNo(b8 zU#T`P+gYkcWU_ABsrb}MB0UH9OPF)0`UP9o4dlLxQFM@!p!`e?=-;eeQ`T>(6RP@t zakm80dokXRWjgq*MD$)qE}`iJ{Q19G&CvcUJB;@FiPKN#>SnrOu^-e2w=_-ZwX9n? zeHFT0)X9A^liNF{J4IK?ZEAJ;Daz@*VNwP$W5n?TM@79>#ZJz8Q`PVoQ>DdCD@>M;9Yb5EzNb49a^=Vf9<b2NGq$KQPa`HfGhodQuiQT3z@hUr%&OfPVT3k8I^5UH%Z56j{Qc;dw_jsEiW_5%<8aJ=u5MDzpWF`ib!t}`9XRg zmp-1(T1pGoL1)n4v}Jdd>0GpgQbU#Wa3pJf9%JF*9x|erVLK|98mMp9&y=TX$YEvY zL^!T%*O=^8U9VrF)m?w$7L~5OD$}2Eu5SB|5%df@a)jVAWB11gG9xu#@aVu=4RuZa ztj#rj@2u{(hv>s6MpLtRS2+nz4vd;Q!RlRQ%g{+=|Cp|=^zU=!fT-}UTSo2mPH~b* z`p4?#mg-vlZHAdWO|QT#wxl!rt(pD$&* zx2MM2AZ2Jc&J4zEKiQsIBZZt1x8%~2K7Q9j45<)_tkw@r*6XLLQn|7=GuEA)U6CbL z={`Zi+vZ5#IeM^$|FW&>{y-9zv}MG;WwvtKeOh{`VKv2$g4Oh z=1ln@DVufX8y`6pGDWJm2a%>;Y{5-UR#N81#hkXJcTTCUGkwazEJ%MXg3GM>1wFhF zuf8Ufx|t=lud?oWBm3^LIU^poIUL4P-G{oRJ(_+dd&8DK$gDx@YzuiF%^8}k-o!CT zjxN*t-|T%yrkY2)MCGjyxq{o;IJ3d6qhLF;zS$u8({K9CKRDHILa=_%=?x+IFTEvvXkG%5B=5=>n`ao38ep8CaL8 z!jMb9J_RN@laq<|W@UKsa?AtD1=pq3UpWQ3tCbiSdT&c@^ z_?QMnl=VLQbYXHkD#|`}7-8uzoSIYn)ON`R$8I)h;u;UN$tfYwI9nQM{MP zAwBzgV2NXz^m&X_0kf_*WVXABO?5n}W<9B6zja=Yqn+;WwB^KM)@m69>a1Dgey0@V zOdK!2laVB~2AdeRNZ?QT8UzVhQT+f8o{ zmtePybQ_+Ro9$cI`YaslY5V3fD>rMV?Pwd03)N5Ibk{7bCmV9}+oM_SdDf>#xih<` zTSQidb7t<*!m|?4R|Opx{jEL~Vy^&u793aHx04g;8*-j_N%s`GF=gc|ub=pKG9_Ie z&#IW}nOf7@T(B=G5b3rN=ck#8%-epeYii}vO!k==8TZHyzN4()%nR(;4!GdJ!vWk0 z)UIDP$nG$GS|+m-FhOmN+2?I+0i4Tiuc@vLb;u4ieGUECQTodOvKT9X6Wsa(0ZtM1 zbL*>TFdpNsjhvev*h{1bbm>F4>X}U~(`%YYSe~e5so7^A&EwLt=!T9Na=t}>(LCo6 z{#ffp@DimLn_YZav1Vjd9jZB_2Z^+WYUVUobLiRJB3W{(%C`2_>gn^U+v<7Oh5fb_ z>((F1$=pzSNmA_WU7hvjClZmtOQE}d*iJIffR)ReoHB1-(x;;SJBzZ72kZsQTMv39 z@QoDCtTAp`(l6Q7%_4O(nw+qg^H|n37ixfO3Y|a8 zWN!AA>+0qhr2A!bGegc&^aT+LBA2+Vg`|cIY>Ph+etjdsSc4mpuRXOhVT*^|ksm@`c}nTnwa@XwZx zJ;Zj>IX8+EpY?N{a%C&onf4%iu2M0zv+DHiV(G$h`-Igb(mAT_XieRZl)fK|D}|if znNrD(N9^f%-F9Y|Q#&W;M6c7Z+EiLzten?PH&*AH&hl=vAtUK6$ZZx`30ZNe`@D4h z1*TZ950`VSWWZJ|`D@7F)bO9r3+C_0tWRyQ^~$eQWA;Ve%qcng;oKWHQVrSqDX$kw z{XBywklcT4nK6SqZ1V$BXO(7{0EeulLK-=vkZDM?fN@lWtY79y-+*IQnJw0KaZHCx zA7V|jwpBAnbNw87RS`dC?-WgK>(ka$ADbx~ed@wn=1hL7rDp%`)Ru{;PaQ_GG%gXD zttT@yAjIKfL23~#oC)p02GJ%@1!nCGt9j2$*3Fu)|pAj?wfDA!ZmitE1iOTC-cM&pOS^3jM*bAF=Rh4kPA zMD9YY6K9!o*6D$#87`%(DK{|Z8ccq;@bZB+7e^>lgUGQYdjX~_O4-I1PHeeC#&qfe-=klU{HK1$}dee^H;{5@Ha1xe?S z6DKDD?dNxTbVaw38@^_=*6X{mvp17ePW8<%gw?n5ineNfG$O^)$053BmOwcUl;*($ zo~hrsfs=lOf7}aIP_DsrDInV2QfI zW#7`1g>v0Nx43j8uom9aG_032n^P0{yI_3|p|NdpyF7MdTLtzKc9Tn&d3&0{)a+lg zoQ&HUSIVk$X=2s2=KW=CPp~6XT z`;WFUb9!r^A?HE*7ftfrowv{e4_c+&Ng3s*PUgJjFmF|p7qt>Mly=RcS z0UO&=fyd69kiHO<8(ondN^0qiExX6Hc5OVD$d1djkW~82l)VV&In(zA>6|ep;vmY_ z-?$Y)2WF?AUEoX+bm;aLAvII>B6?VaIh>VsDTGU>s&8jXS2_!qB(j&CT|Ad`GNsmI zMVCzI`?}Lh(~*UiRgsfw_jOs3-5&H3dfFbc8-xsBT}mNaM!FCZSFj9Ok=>Udy8x1U zPxGI(CFJ$t*=sSU2-beBr^T?Mx4$Uq`LY+(leW#mqyU-MMVblrekSK$nXDq(=FeVu zZnfkjl4*ce3VQ2wZ5BB*JLEvm|8;XvU@MnHep@Hz_h&ZOA^z-bJzb#gw)lc+=2lRl ztv@fJ?C!wIP`8~zek!?nD7fk7)Q`hD>TZk9&p>(;w~Em1w%3z9biYY*!{E*mf%2 zSA3>yRxB+qUBk{DYW9vLJy&*FoM+A6Gp5%@dV$#$nY{+n(b)^inI%)4bbR+E%Guf5 z=geN1OR2Jp)m`hbt)3nWDw(*jCec+qbmPd_pe9eB7 zd$dDpSt0K8zltO=XOr38Ra-SvDT}VVn zbK~6YP1R+dOtO5fRNrf&PrmA&NI!9Hn)0kO98P-VoSCq0Aaab9DltFPc=HYlvYv0$ z4cT^{V3zwF`aGF=z>zj+JITBQBYOt(&Zv%XacBFi62XrorwU^O60O^ohQ=yrod|PwTFSDth zNC`r_+&7cUzGAJb8<p1bh0J2ROGIvI;erz{Tg)1}<=u0phup5gdb^N3HK#uhEyqQv+syKA zp3|WnJcnJ=s_!MS-ne3oC-d*|VSkdjwcmcFN&J14E7S2y!ZRwcphiFa5?M|-Fv z^^}h}2Ry^}_6pZ(w~k`;zO=stKsK!Tbr$rCS9+2JFICoWqE7cm zxrb2t<$(I>_q>Bh>-0b>h3pN`AsN|gbdyHvc(A+NxRi`;p{GmeHn-B)TozNPAaazL za{-$763}YRt7k(Jzy_8%Enr>L)GvlN@8QpnNuN5%4LhTLp6lYI1hTbCa&6Xa?`}Cu zhjL>`_NI}!8sbtJ%xc|$AJX%>-!GK)d)A{n){swURno{j=ADRyuBC(f zfb(-9LFt-s3({B8WI;2y;FR}d4MR^7N!PYZ%!x8wk~A264L&qKBl?k(0>8`fHr*CU zgX6Qj3Xzy}i*t*y<%7?rIi`_W_ax#JWIcCcayueFk@T8jeutkWpXoJ2NPYrRqv?|L zWc)38k+=e*ei^W&8dP3r-r(OJtsjWXuPO5AJSdRN6rAT9d6G0$7MCSS9jB|%J!ZR0 zK)THH&6l9Sn#(F_R$Sg{ONX}b0J7sMOLwYGjv@LHI9Y*h4KlLJOCr6Uy8JFJH@%&< z6*wZyteiqo?yIMpxxUdA)ZTIi50>TCh2DnmsdOAV*z~?tQgHZP7L(q&N{qw0 zr5$I=h-PJx*oU4G3u%*;NO z#!VqP$E%rS^Iy1~bIP?)Xm%d>L_<#tlOLL!)b`}7H9y#vT;Xq%v^u;3!})Z$bEGUt zpDk~-K8qzMxwGFjp(|g$Yh=9_fy7Vbl>t_@hNM%@eIJ^l+zvNS&B?l=JCaD6U>AbNH4ET zw&jST+a5m2$}B&n-*IC9A@7;g?9C#RcwS)U?2)W~9{147U8;1KGbi-N%p`H(B?JzIJZ$2ign0D`Z{gTa^e#1o}F%IaLAKfS?yVN@*~}IQYh9T z6M64cviByuwkhX*O7>s#-Y<~;kwJ6)nf2+nHnOLtn@gWq&S`-TXJ)OQmr=t>Lx%dt z36B|I-mN7&AO6a`dPwi~GOr%8y@kly-^}4LW2b{kYlJnc``h7kGEQ-+9d27S?*dAn zLC$q{FO2I{^2YSM9fx%vu-sDFraw;a z8eqK$+HG}MZ-mtu>i(6{tZ_XGWnWw9?b4Zcmx^|Ko3Yu)tP)=sHHd>$9$sJwD zc|>VM);ACI6J%t#@VjQK^idIE=~kRKXvt32x&Xoqj&BvsY4fSX9b@yh?H)#FDkVLG z?Yf?64*K(wZkNp~QuS`X`ex0j6EAeV+&(Y+k^;|b(`Z~yPp8wc9*9mi(e28+Ci=ru0|tyb)cQhU>RuD8o8_w3^cKmX9-vFQ4sR!7ouwL8<|TT0 zJ&e9YZMu$b-=CLG(BWk(Y^~NO2+~7f>-|F}ZRW_lnJfJ|Bi%Y}^+{CL%d*meI(2=D zhtlRorQY|F6_k48QeKIxC+M?Lq>~CE$GLYcj7i1VUaym#8TJAC%qHpBVzRPg58=H^ z&78`vvAtW)svn){^j5^<<8F0pl|J?1)%>Fj{jF8E9lU<+QQk$?V@K(eBW-6ihVolt zh1GiaP2L((Tc3Trs8?&wZ`Pv_rdO5M9?Bjz{ldenw~P$Ve!EEaYe}plINLi(tnWKn zJzZA1=~I!m;LI|mVP$607pCXb@amULgtR}~teITt;^{Xx%11D|n=9Rd^$jk0El!{L zvCc5Hg_?L>x_R?tiq=Lg-y(GwSPhYn@X4KFgSnW^kV8)EIIJw%nz<;~#!xQA%PD#E zLBCDX`oM>t*ZOQ>UjC#HFf+IFX6LNeB-*d8kCQ`w>pF$g=?ZD?sV3jv!cyeO>$E9YBk(S;YfefjUML0Df6wRR7(H8pQXtH_Pr&PHJ$-KTqvoaSoX->utVbJZ;Q ztddJ)=If_D4z|+nXx2~MO0VVk*snBV6T`rUabfByTKjXqc>(E>Q|8Ivj%LQP`ka7E z5vnpL8q#O-SzgxLl%%tj)6gC5)irJMR>%TdkNiXBRz4X!jo~$qB-+;A+SV~$4t?~Svh~NytQ4)mja=I)aF1wxi^sRGBgwvI5GdNF$I9!Cb6~_7Yl=S%korsR1 zSIIp*?k+0byW|8q-u+RPX;&^+qiuD=_Ai5n{H-N5c-Q%Mxx*nlH*yW$aZO}49|>_> z6`AcsLY&t{W{Z#*r{HSg zXY3Qrb8Sqb6nv^vK0>Iiya834S(#~a9YlWr|Nnjc8t^{)op2G!^!rCI%~Xm9b4)(H zh7W~GO>-HCy}bU(DXxBQZM}7_zi?bzh*w_9*U0O5GN7&AU&r~r#-`NyPHV|d=~qn| zSFXn`=9oL@dKzawy*?^1 zPBOqZ#Fg4opkA{-w=QFl)LhQ#r@riNkL>EQ*4x~_TU=!5v5Kwwckdjg;(M9t z4bQr_2dgVFFD*5-yy#Uc-puZ}evTQS=j65C{No&#evpOHZI%E$0Nedl`9$@9BD*CdJZpRd?z=L7f%i55VuGB3+F2pXU|_~XDj_hhB3oK4z@Db=R4N#9>acy zUc-TgzSQpy+jZgfF{b&<$~HE4YXv)%Gc*5j9W||W*0xifd272%xu*`xz7!~PWTyC) zbVF)H!F)4^%Oa)jWx3&AR{ewwH=6W<=I?-^-*927zRcfNEi2X4$9+9#GM!qg^LjqE zrfzgi8<#dy-D4))aXXpN(bN=ZVL(xDtC!R~-*eB;SZ?UbU53+olcc25`6p+ZsV(Px zr{Xe+`W^Gja#P*1+wZm`EURV<<;%SEUkAqbw94y!y^r269bmYhp;J2YH3Yu4U9aC^ zYyFYlxj4nQvM=Jx2B{g^o46d3+R-`1S65Hq@%6gu`gCPy?sTw9=Ukt)+{#&R?efyI za=9>n=jXCMGTWQA=Cb=wIV`4{Wt%-_ly7d`ki!qJ89Ic{N3UR~a%9rW*_bBP^DUaT zMt!r$8CC*$^K;Oy&v)crwlo!x7q8Fl=kIT)%&KP3>rb7vOA z?C7Vkrbueloc3;PZ}VrCnQA3HoRqWbii+sDoXVYE*{Sqh*ROSBb|yonNv4bazpoMs zwD&2;4A7e(XMtw4@W0dRYukCLbh?%Dt>Myx-P0LMXCggydgnw6v0+wxWVpq+Vb(Zs zM0yyg!be+iNkesv^>=E#yrbjmC4MWN0^3h|Lus5rcUNCCtKgUaY`54+n$GRd>R%eS zKe@u`wY2p(YfkxUY-zLhiniUTQ=D!W<3i&bYwJ0WJ!WpZd{?+qzf{nls>c+a=09ct z9}#M(o>jw#gt?~6k%#s0ygf;-Ke2LJjII7+Mb8_ z(i?Q*H0$@I;TFTH8u#UlmXD=Q%)B;7D%f#7Sg%L0cQ>kPhI_iY{sPQoiZAPW>C7I) z%ZFY~g(nNex3-X>69aCeh94ZnQ0x%yx_(hx_wqPn{XcOINDSsXQh>`S~-iWXenETg;$y z{_@A?C)Ljiwa?RIbZM>}4CIV@O*`pndQ+@bq=!JM`p_o*H+Nu z{Bb6n>*U=g*z@!_=K3bn!8&nDk569JbhNk70dXX0>yI1Tno_$% z$9^~SY3-qhxyw=T?RfshM6kw1%C6(|jk{mN#@emS>-D#E#83 z+_!`C4c@W&4&A}!9lE2-8?uA>9JYh=4d1c(Mr>ETWX}4yEzNB_!KGl{f-ZN=aCkVK zizbCS^;BP#w=0vKmecl@Iw{w~->n?rYq+z8%j`yB>DBpUrgM-i{f-^QDcg3|4GC^ht8s zySlBVqm|nf>bUp}GCi^$%2dMQAFeqsH~%oqIWFfARTk@5j@s-)PxrX2Luei5n4a^YXW@9KGRYj? zPfPT9@aXdv{pRnO`8)M{Jm>c=^S9G_<2bLbKK1ctxn|4_8@BdoKP+`DAC0lPWkxkm zP|d8j_3^rZPRna*naP+(@3V5l9n&X&a)Sq%a?hL7a+puwV!H$Lbz2*$dQO;n?K0~j zY5q={zts!Ydh%>BG=KZd-(K@~!2Inqe}~N9e)D(4{2efV$IRbB^LLl|J7oS&n!m&5 zZ_huibugm=HGk+({-vRS?()=AVf2$YGa?Rf!^LNbr z?KOXQnZJGJ@1*(LZ~ji@KVbg0wjSs0w@25fw|{h0XxNm>j$`}f{!D#fTg{Q}3|cV* z0qaotzq>2V8<0q*owl1E=J(s*wK?RtNBRABho%m>O0WK&)u+y1p#jS$JmC3#6%b=0%`Si^9u78-dr|JymCl9k`?4mivz z?pgl*Q`|O=Z@NNzmY>}E{6=z@zlwLDLY?z(b&qBYRQP0I*4a9@{_MZn-n$(y@!FKs zqs8g%?;BYoS@oaU0PPtHrp6_cO7%E0^|#0T-Ef6<{oC_XrFPDAYby6|^&4}&+;FMk z*S(*pV0+ks`-hUttbD5Lov!N-=fZyK&H<~i{##7Et?b)l!#7*=JC#Gm{BdaFQsl4 z(KnAWPr}q=zgykwqp?|kySSRy$aKt-J00xXa;N)zj?cTC(ij@c87a5xnOpC)(#yK9 zWANbgjU7(q<7q|doXw`V^RV%$zZy0#pA>TtX&lRmTzpF&yd;~^K zogn!L^&n0^ifd)ZWgMOAL9^F?IScT;Y30LjxX3VS7)wom*NRh{-1F_aeyzpZo0;;y zXQdNaZ`}{J%Fq-;1Oj&lvQl(_ulmAkA`M;T71|X-PKxc0igmY2&u-+;L zUqJJZ>#Y)S@7=f;^=D@XRE78V#iJ&C6uyT# z@kx02p6ml91~wwkpfVMLOHn_36b{*o=lAe__-sF=mf#bxWpDC!P?-wDMf)hV>EJRI zfe)g{A;f!?`T#A(t9_N)X+Q1<$NS*1`}3?OJ^+uR6ZZR<7j8uJ@k#g##&#?5A?P`X zXTt_lE_kv}srI2|DhMA!!C_^p3+^(6W#L2cdgS>Z>JvVPq~4P7prJ~|?;y|cBeb6Q zBviwc8t>;RO*j$t9bTqci!a58;2y)38ie=4^U+*<1m24zeb1rPH`41AK8reuNx&h8 zv0S_#-h%vxmZ=zg3MKJE-w37F;f1H8)p%ix@xrsw3Z{qQEl8FdgO8(uhm~pm z;DtlcHsbwouOnDrO!vY=Py#Q!6s^SzBWM*~IQmHHVMLkc-|*X*F8uCDr8eU|a25&> zBfJ-lzzgGOAYM55DB2$051&RG@m+AAqpddYg&j!B5{3sH!}>j(dVuRt44;6v`^nF* zs5kh;v9vd)cfspMThpU(${5yVEo}q7ib{`QUU=wOrRwm)J5V`ZxDpM+$Ke^rDYXzE zf)^Z5`#+L;fV+%mz2b#OoXEU*;bb%nAB2}9y)NJz#wTIb1nTr*V&Fa#sR!b{@Jcl7 z0k$vL8Bi+9^ccM2=j3@L)8U(wh`}e}nkfk11;0Yl#sViP_2^XU^C+gn0dLp=OANM7;Y8tMV9#7E)tXbnCA=S^qZz=z?zwX_3# z9QLiF?cu#}X#;KS80LlNpP|$;yswG%f;|2*&GYg7@WKUX5IzDop^^9`+;0}!1zz}W zi&B+%VG_;7tB_LrqeXb(K(que44_WD@cP+ovv^?)t-}l7X=NSZg&&|Tc-5vV7l~ABUf#a=fs44$H<1=c78j@YK2F882)`5quaPJdZYp z7nYnwo#Tc5(OSF@Zatem0k7s0a}Iepmb}4d&sC}cpMW=o>3{Gsc;NZWgZIHo_pyj(u6M~ zA6_`(SFBUK@bN{|30~NR7UL7}#b49*#KYSb)0XftxYs4jKZbS%KR{u;x>TvZq6EGR zZb9>p>8-i%Wt4YpnG&9geE1;z1Cs4J4#!+Ud6^!7%g{Q!_e!Pix{7)~ju?2t)uf3R z-iQ|Bh0D=0yzuvEg~Y=fmQp|XDEvL@M}CCkenb5*T^K~0@WNK(g>%q)rpK;j{a(km zR6!enP0PqXUfBD3)*aph-@cjc9iN1eW>#*iiY81@Euf+Pr~^RvVX@%;1j3{pMXDpi2B0&;1tw=7cTxI+Yw&43@ygT;KTAa zURd@p>j^L19j(Iq;8L^}AB7crt;0$~3>g_s0vr_c;A6-V5u{NWAb0RDl;hj;7&- z@1YQ0_!SD{J%6E}K@q(0G_({iY(gvWVYnQv#tUCUYw*GiYpH*{@DrrQmudbB@4>4l zl=>2R@t!A@8jgH;;Y(-)UU>LZv=h8=Dr(0IPeTjw!t>A)d;~s;;`lE38Cr`M);>*t zgcr_2oA6ya4&|!W)qvAA`T{qD_d0e@9#K>hI(csR^VH zcY2oc;yv&wREdu~r_}6qv=i}g-t+7uBp!Z&R^x>mUtk^Mg@1pMJ`!3)oM ziF{5h(_HW}`NT)yW2ge(1-BsGf5LrVVYy5fjz@Fx0eBvgu}v8M0WD*?+CbYu%f-LS za?lFA7oLVz;v;bKYt$`12xp`I0m=feK?CqncrO}+kHht77(M|%K_l_%b<#)WcrP4+ z#^e343RU8RaMByJY4Pwb)QOM7v2RiT_yBBwhdTT@d4QeoQU8-!M{w9?(ySy+cvX_} zP9bkFzJ>O165A$xe=B*YB0v99>O|E4WR?XNAURHq!1s{sYm#vI7goLb;XD422wrWYeW9iJ0Bk`~d>1_MKa@wN!#hw+ro+R&qz}aV z;l(J9kHSyUTDs;e+rql$3ZL@E?k{;r;LhRC)^WaF605 z<-vPlIg)lIoQ(!DT^L3E@WM4nVuT-~@k|$bON!J;Ne7lAoerFhLXr-QqM)P$pGOPv z3AjgTky6HX6toNE_!#Wkjk4eq@Y+528y|&d_bpN@Poo~- z$$J*5_G;3E8&C|NghRhy#Bl)2h0(ppGd>32??*m^#K5zDSfn=N!*Guuu`X)J5A^ge zQtR+OcoLHFKoDMvMoee9@KqGVCt!4M))!v52I)2cKSpbrF6{r~BDD(dg@+(XQ+P7k z%yeNj+JFzjvyp6HVHh`FSh7!%?z>`e$-dNoEz5;nNcuFPcR$)B(}lOAN_-3+xIgP1 z?}JaEa2@RdHVq(e_z>*#6Sfh&2l|nuDLnkAtjBul6Sg3k9)i~(DN7VSXM6%4JkW~q z!7=|+q_#3|5MGERJ_7GEz6*YcA~R@92NtQ_(Ner{2#Vr`XQ7q&2>cYSk@%k#sRPhD zybrdb4R~P^ZNUq@gNjtInZ&^5s2@HCUqplO!YybRUihPfid2Qf!*fs-ULDMKfa>sG z_$$_+>Nkrz zf!{rr?G!H@jT-R66VQBo5T1h;6sez$r|seW@X!g=gLpVGKp)sjx!`lCp^at1$v-Fm_#nJ>a*^`3lRg|$N&0v{ zTrh=t>mbjtQg2q=OH@*=WFA_M7lJG!ieId>U;J zFPw`)c;Q+U#&^Nfs#!1i5d1?8`}%q06W&wHvc$vnXeD0wDq4*fzJt2(!u#u}bG&fp zdfEeC_*2yDtTN3*@%`|A`1={u6+RAkZe$sF58UH)+Su8Y5pF}J^O=4IZ2$%EF?irC zwsE`<-iKD<`dwl zuja7bp_TXq{P{fECA=TjqXb@fJKBub#H$O+)MofE)EBSLE>h>AVfYBV z56ONl4tJVw?IS#JpL4AJf*0N?p7=PdIoFB_!7I=j&YugPKyhM(&!QMU0gKMFVuT~m zMy3l#p>_Bm`~%YGPvF|~S$9nDg8N=zEz1WlM->a`C*dPV_E~Y*cLDo)rhDOVv;i;d zKx^^BIcOC=4BtST@JYDGLfX=!WtvCf2P`a8!UohAAA(>0lI7ynh3s?CW_%D{hGd@` zfo~a~guQ-ch5{^I$?}uR|`4|4p_%1kYkx3K2ga#0wfJr3vEc_BJWxDX- zUsHCxa13h43r|3G_yFvG5q%oo3(rB4=P2U)51SN@47t@x|+zTl?d=PEK$6?1} z>f~3{A$;!=%2G=m!WEa&wwa!QCtPNwAApx2J+6SeN2pU`ys#ZDlXT!sm(%|)FVno~ z3fei-g%6_T_&6MPCCl1_F%PUpl4cM_kgk7NdKKF*@g6wlYPP#yQ+60ZgD#?-!{e@D z+=UOo!AohYct89S`7UPO-xR6+(IUJLjz$rD7+!{!;)Q=eQM~XGv=XnbWnG{(ct31L zPvOJxX|zsat|Koffe*lQ(I$KZ-ing=7<>(F#V6s;%P9L|@&->uz3?IUBAxce;UfA@Oho^5Ok(f&7h+z#EVsFI<5t@WL0+G`#RF6v7MNLt%Up9&;mgf)Bt| zXc;~Z4~f!e;{EVcv<)xpK%4Nw8__zvuoJDp3-3oO@o`xETgrF|`Gz!dpT*s3(;bH1bS9bE}0Hz z-Nk%E_~u1>I>fm|9mg$EWyKL?_*i`1dOa?eO<+Rf%AS( z|A-I6`|jsAyn2A~=O5Td<2~?I)az=>4o`oOJmH1&kryxAffo)yRd^q~ z8@1!(uxT~*jE}(k&@i;5$hA z=OmoE-s+!&@cfrpkHiSieVMk07ha7z@lja$3fqFDvw`gZ4Z4N&U!_i7V=RYHzRvMi zg0kR!@CMY5kHMYaAP(<=b!ag@1Rq38@p1Uon=DIW-lC164H5&dMjP=_*o8LZ6L924 z#i5{c$aMG`s=`O!XFEngyzqV0fESkhgY|_M_CXQ67fwb|d=T!v znR>wc;HzjAJ_+yofcArr!&x8F58y*^X_9q%8}$Qs{+Qzwya(Ql7UE;@c@)PdVA&_6 zgZIIrPpMOhhfi-|AK6I^T=Y-U#Yf<4D2Y$PzMrv;-Oh61r>GpSKIb?Tt-yD|_t7T2 z@UX4Six(b+dfmbLf>$9gJ_w0dxF&58IXrVD?Cs_?>VQ3YOj4;q1w z!)gCvJH-d#KT*|PyBeWGCfnTCQcQgGf+87GrL-0qcSS`hS;iV{skH9fS#cBgS z0Oyqyt6smO9^eMliBG^4I~8+Mm30bRdljo?cvV)c=Af1L5D$0nU98sNy>KO3kB`Hm zU5eEvyl`-zVzmt~JOTB)m$Ja!cP&-}@m~1s?!~H7{2s+Bf|lY{-(qz;isEB%BkIHp ztM)8btMS77P!~Q9zq^02O5nY4?*YZC&wbQCoF>AD;7U}7kHfc76FvzS{G?bd#7AJC z1B%sCcrP4=*5Uo|E3^Uc`Dw8V52W5!5)YRgjQ>4t3{F0TnENRs{1cMnh%WenOkYr@ zIn-CIyi6C4LLPho9yQpC_rp7o91FzYSE!O0HH11uGR_RZm1s57Oj4 z^~HORELJ|$A1^!|4ZsT*pb>cCVl*Bfh0mdB_yjz3B@nFJ@8Jn4j+fR`e_e%FIJc+2a&Wn*dE z_%2v-9A(6N;8Ca(AAk!{3?G3HqE+}f`~b!As-jr^6s^Vk;3=pJAA}d7_4o*U5GC*l z*lQfyBi;iKLrHuTu0&h$!k-*Z`+11_wcvQv7cZQO2I7M-f=1wdC$L=Pm+9~}RDqAd zFHiul#cV%yA5Ee@@jiGq zO5h{#6|@PTghx)MKJfu~9omYI!JR9q&()+4XP{pA5PS%E@Le)(3frl~z$1_s?}xL{ zKztb9g?#up`~Z!>tCNb=fyj^d!BbELJ_s*D0el2Lh^p}ZDz;4&#E0PZr~w~?Z=n!A z3HLvl`p5fVEecCKycsQ$c=!&ANIX2?6zX5%;S3a&cz7%7lz8|Vis98Siq%-O3Lk)% zqP6%a{2SVePrw1wsJB0oCcFss!bjjIs6SqvTC6Tc)9_LF+G+Fy_yjzs+Uh^Ua4FK~ z6X2wvH9ZJtBRRh$Tt1z)&VFBbI~s|P!C|%ZOL#wg5y{vf0cX~c|8lNtz`Ky#6B~#B zK}(78)EBFLXApz;!8K^mBdlw`&WsF4QR8( z!%nnC;^8}Jo5aHdT8dRE`z9Zpf%@P>@K)4U_F3>9)E}RO2ZUI!5)WsfK@tydMZ@r_ zjdG!p_#pfWEtKi)tUDz8!63ZX_&D6H!Ga`BKTGd=-_&NJ!5tBsGs&x}`RQ7)v@hxZ#Fhu=Tjqz_w+55Y%_ zkHcN(TQMFu-S`lE)c7vA-#J!{4_;t=1ioo}67F#>+ZuHkfES`Jd<0&L*5ie1Py#P3 zI*;uUFDyZQ9%J3X@u)vO0_(%9Q+xdNCF+K*{7twF5A$?f>YqlkP z0B%J-yt=4Z-GVCcF<5mm`N0Qauf_Cxcn@5KBKSCLxP)y0AA_neNq8{*GxcF+eUwjn4i3U7grg__q)FWQF3RU8T8&DlS87)@LH!(jx3_EY7Z1^~Q z9?5uBxaVzb4}U4s+zVfd_d*|%^T5KR(KMzDn^6T`_)9bbFT5BH#7E#8D2!K~w58jr zw_55ME4aF=_i2fPOBUS&GG25pe(aIgDVUostDgEq@_c)&{fCcF>ckJJ;a3wYS?DKFj+N8iu7zz5*H zsK3O(K@YIrB?hiQgJimJ6>UJK!xd^bI@>F_?(>uILLq3f6z?}x8H&vt=N!rNb@T=*EA_!9jLJ_vvC3d{W)d4tDqU|fd} zz}cu1FWih);e|WD$~waf%TYq&;cS$Yc(@sIC-rguLOsa5z%y%9I~YLA~%n_#o2PJ>h|$vJRN;gD0REUU<IW~p3Wf1;xDn}X z6Ylm;+9A`0L(yuyAI?Szd>H;2ZN_^)c+*$(S1+)>;5HP-dsK;)6Yl<9;_+Vi0P?-eyl~R@N>md*2tP-ic(rSZItp#X2jJDH|0}d5c>Hc9 zY8pNOcio+3;l1!wl*EVN{b=9@(ue!*S)v;7KKLnGi&x(-QP-kv_$W*uITs}SpS?+@WPV4OOzik^r1?LfdSNj55jZNT)Z%W!g%3-&=S1o$0h0*v>YFRulK z14!$2>L2d;(-Pe#z3^W2C{r4WfY!|5=<99in=8Je@fK*XbWB)SfVaQ zr3u;zOrQ~X&(BKK0_4X>;081vpM+lxV%_1@L9AaS$9(~K30lJRDEt5|lbC}`R0~>( z55rPli7J1C^x@#a_%~^9ux1E#jt{|Ghf>D3SnqHnT8a-0W8UEmJ=fXySQ3w#(ZN89i*xCxbRB>(VVs1IIv#Nj2XFJ4%F1nUhd=mA=cfr%k$pbzFpFoRcIy_(u>rUd~6==Ckhm*&asP*_Tyb*20 z$KYSkW_%J}avb%5kHV+XheaPg?08@IF|Jp2A1qyJ(%n$n-YKix0sM(H6XFXPZN%pVB|T4^dyd z@Dnr$FWjkvIJ^hWMV0t4{2L156YvYvgjZ*lsG+DGAA~od`S=+81TB=9Ic!fNd=w6v zOa0*ea5h?j55s%VDtsLNY#wEl7FotHsh0U;@NEP_#k`) zm2P3Z!$aoNmhgUfBkGTj!ClXx&%}G-d1x3u0zX22ygIi;Rip9v5PSwz;S=zX^GZ}5 z-VZNBA$$~mh34ZuVe*L<;luECv;?1o6VIm~!w2E-&DOMeuTR4 zY61B{8}K3c4BCWGz+)D&uJHl*JEZhbz!xd>oein)QqKz{#i+AB4A{mG~I^CyL|MMf4l! zDSQB4iPqzz@NKjapM=9NW=w_m!wbMGNt9_;`f!;=5q;CeRvu5)QwLZ2>Rb{c7q8 zFZ?muh8G@!`fg=ea5fr%55s$q4aF1JAk9aTKil*V! zZR74zIbLZ5bbhGw+~n<3sSUI~o7r{jh8WV*tDdzJy}<1ibAo_PO{N zoO3s05_}kr`yK5QAAmoI(RT4(_yyXGSNE_#MO*Pnc-g)5QQOD^JmEgpBR&YPL~~_2 zT!+H=1nl>F`cJ$Up7a>&>r3L{^UqTMr5r=S-#*8@WxUG*K96L~kbpa{W4q=)PY*oQ z_y9cNdDbcM0eBsfF+k`=_LWF{5;m_l)8U84Ct>qTW;*=P_#|w8*-VEY8lQyCubAoZ zL*tXMd4rh_KQulGn_o54;fKb1Ut=GIq%6Xq@xr^2ls5(wNb;{R$9 z_-KN4h$KD^fAR+Ha2L)4!>iCjd=&2VCjDC<>J4_F`S>t=8*RlW;gq*%SKsZeg7D8M ziSL4+A<3uONP850j~MtW>cl5t<=dpsy|_Vm5sF27Yu=0BN{q1oJ8VaI;UQ=p-UpMY z&#t8ZZizY;$+7}4YP|4=o2+{9!r@4+;|R}{>C7u!jr{m7_z{wHl5p4eEboD>sE(L0 zd=o7b|9*-34cds0!bko=J$T5&<`OjvsoiKx@PZF0FFpcK`;dLa?vxi^jbvF-xc5iw z>zVF_OOVt_6!uM8-V2u)ABBBCHq+q}SiFAATl(7Ml#e1Cf zbl*SOZcrbV8-{P9Y52fr9CLk6T6iz~Inv{BSiY5QM`GZv|0+?zzO)nAinie+@C`J6 zPwEri{{`zCABWGPx%dRU|KGH|?=u}_>v{j~mS)#5- zfqulp1nR^K@A=Bga~y6#l4q|fRh3A55SA5{D$ftdGi*YG@Dca~T7yr*A;qP-uKe(H z<0G)Nq*T|N2i}0>oLdxrgmn9X-!HYi57r`CZU`<$5)*^F?Nq9^uq+?EANBts^#DIY zy8nSwb}m)rOb^16@06-`yayhGB*qU{p=B~JJiJ$_+KBhV%aFuGU{hJC^8JWB!129F z2Oofgb}3bzcpvQ3r&Mjgd*D;Z)1P{P2YuI+1ujF9W)$xCJv=c!xDd_9N8!%9mZ~Lq z51fhA-YgeBf~3yl@L9BiYflMyxu;ZB5fg=dcPmw0crW}bYWgwTCM@5ba^VB;eiYe< zbl_$r^(j1a57PJc*8B~A8!^H=(Pn%Mey4A#8bOQ)jzh9u0&u>FbcAZpQniv8;r=L! z7Y;`eydTa(GH)2JM;n-)fFr(7UF=H?j3cQlVZXho>-{JfJQvCIFx<5t?TzUk*nzg< zV{p$Ol&XRIv)=f>Nhb(b8Xt#!z1DOuY&Sj( z*BPIH!}c}l!zIQ?;TGf7ex#3d`f#Q3aoBf%lRj)WJ`C3xpMb*#nDpTiu)A0`BUwyayhF6dX9pQs;HIjLSyC2HDc;Nu#!~5Vps16^8_a8>R|BCS{Jo|9AU#5rQe~w`K zAlmJb)B}<{d*S6s?>FIYBk4;IVmiDWb>gFN4btb*;m2s$!M!ziKZ<-RsydN$=vM!?VE#s4L z^(f{g%{YAUSlTGQ3+^%6iuc0rm(zdx$TQrGD!D&72}d7KUhx6AWg_ioD0LMmRbL?Q zq4=Mds?X4RymwNmIt@u4f^ZI6Bz+pZ21W2u_yAgp4^1vrS5(p;%5?a^6!ty%I4nDf zejD$BC!hpA2=73f@iF)j+J;wCOV#nnGlG1=HdKxe!<*4~d<YSz)=c=%S3x)TrIsbT#dfrpPyr!4p`_*gA%T0GoPN8Tg`URlq6{Yc6M ze>a0RkB`F}W|pdv_!zvtf%PIDUeU<1BpzOHI&E(x`GoCfP!@a`)-_R|_z=7pt-(iO z=PZ_ukHNp9VMozs;HGBEj!(i}T1wS&ycZ4(u`Ij~o`CuuP2IwV*|aBo7~X}X?Zx1$ z#wXzHR`OO`ro!-QB=33>zJxlNSC~Xg@WQQVAzro7-jKu#Ytd6o7oLt*<3q5x-AdmB z4?q$xJOh>Dg$q!Uc;Uq;fseqOk;DuCiF|nBHsr;t4(bL;x%}|&Ncw~XtUA;3L3jz0 z7&WI<4Mwt!3$H{Cc;W4+5-)rhmE+^^L$m~+gfr)|zVIQKK-=)b z9(R_R4qrktU7gK11c?vB_l!@%gXddg9v_@$d=UQH_z3Jmk{{vbbLn$gZW7*fp0%AO z;H0oAJ6we1os+^x&F~tFAg^wpM*bH zWKH+N8YJ})f{QP*>LCJ;z1XUUF#H5bSr}CFoT60^!g@4}^o6Zx06qfmMN-B%eA9T( zCG?r7l9<i6kZjuP{CeA2mJ+_rH{Fgm@o34oQ3f-h*U+6o)S(J-&srF0=al5WEh_ zJy%iqIg%J&_@aJ@#Czf0Nbi5)1|;zb_!*M%p1QnL9dQNgnCX7_9NH)`u;ogtPC{@c z(&dFCm(W+26AwF(Ob^2cjgP~v#(S)OM#S55jAa)Kv_|jTde}4a_V22dcs+VZZyWcrQHCct1QJ%_k-T zqv9!x@L{xy>B5&#Cq4nkJz$k90K1UH3nPD^&yjTCzmaaY50dwlz7&HsqwpD|>j8djyyr2-{AeNRB;Z4T zp&!A=;YUc~ld%6<%X{H?;{&iBNq;5$y-cs*J)AI(n()GB&}zK!;3sISc%ct1!3%Fg z>+vyo$di)_lj!PZG#?*>&!Plg*!DDS9xpr> zt-^=l3n+J1-&m!eL56uyRH_#~Y43H6B&!r@zJ zGXdHsya@IGIok_-{WIDJJ_!%lN<7{NTfSf$pG2DQZ^%2D<-$Y1WIEmtpZbdJzmn;2 z*OHx79PfoE?7Wj2c@oQo{d?`Cf>opmuP)n3Z8@1V;YGc7;(c-C0Uoi-PHOxwNE240 zMffm$3`Ou=@RR@3-u;Kkc@+fTztLp7ZG(&$aFL*6iLl7h4iI5#7CJzHtxaWspbH5& zZIdz~X*;xOnUEAm2{5$*28ggV5hlqdnIxNJlnPrKWYmg_4KixP!K9BP23%->sYV<~ z`tW|v<`nP|-|PKxJ?|gy6|U>c_q%uRIdkURKW4JsY%!se1@n`iLtSV1^=Rk<|0bHc z#`j@bxA<#l=^j7f@$-`zo#GtApF>OhA%x>t=dZ-=$xqBro`mo-?ZIzC*JFbVXzSoF zVBRY8a_}xD_KRK>zydU8`DEKT^zwweeuY02T=-`dW=?bTRYJRd+ z2frHO8ZYpZ7tWvixw|yqfyHmKKW}}KadeHJ@Z|Z)q)zj~A?y4bn!k(iIXroaxnZmA zHGbmH%uf~+e4m8hioWf^RUFsBzl&2kcsg!x@yniS4j!B5_aUrpjSoF-ep0r*$@l&2 z{JFm$+T!0&oBwszoY()nW2$TX4K#If+5BV|TDr-vdAj-N5Zufr-`sSz1EuWO<1pkpZa2R(!o!|4xQm&!;}slxXL&>cp;AKG>_)YRhM`llDAwk=WpqS zI{2oS%uj}Og+Gm~ZgUUIbnp{iYR)=%1&TU&EjH`mw_&TU@MPG2)g^QOYdvLq@V{Y) zPG08RUS^GSp5KSAZt+uI?%G`Eyy9;ntt+o^og%M;zl(7l{Nt~*R=UOi6I*m@%=6=@ zuJIGE_S)B56Mg{8benJe73Y=i@g>*zXS&NTUTzI_p5KB6H(5j8hK0J$U&A8Z<14SV zhC0W0VTrEsmypw4e%7zLUUY`vi=wXcQ(onHb(&8heX}t+pZ8jHf&Um?-R9-5c3f{U zH(rl$-j?{wsM_A+YhU9!(gmJGT{rn&G<5KNXzJjFuQdl9{2S=%;CG|1gKHQp8J}OU z!nL4tycTI4y!dslHy!*eEZ2E{JJ#z8e+V0N@E1_g!6&gz_xPQ^Zr-}Zzc}tZ(HY*3 znXsLI@AcmMbnxPp)=menT4m0<#ML*N^IIJY{xlZr;Lp6tb*h6OKwh``Ex+L&rBj9Z z$IxsjHeD*Y zM`1_UpFjLo=c=yrH}QZ@Zk?aJ0&Sh=-$q9VfAcoyfDS%~WWyzM&TjDBI>!%SM7R07 z7}e?9treE(JkP(wd8<>rkj86@5ZPOz85QWi+`!=y3jd(++EgDr}!=`ew)|(JM)tlVucR=brf{)JMT6(9sKv$ zrh_-W*Eyhr--#Jr-}8hnR+c>kxZ z;cq$zfIVr?ol(2>2JA5@%m%F*1^Ap5nbbNVwp}pKRpZo{wpj-T1Y}LsL#|ztZ@P5>Fa2NOL;BVlV z4!-E5b5iH{X%Ef!yB5ujulyg@@Ljg^Ymm~xAI6Xl{wOlK&JQ5Gj|V@3yzRkP{-bL~ z=Xo5fb?_ZnuY)(CqU-!esOjJ*cHK*L@K2+uGhD+=*q^_N!FPM@|789c)fv7P%XEqV z3M+Jv*L>OI!*)K0cIbch9*4pASWo^67U~|~cq&|<_Tm4)YMp##e)2Qeq0?N#l&bbsV4ru5S??O{I z`O7$}d;BM-y%yc(H-F>&m?i!Q!uL;tuluIY9(P?b=UeoUF7bb0?)y~V@|he3+k@}K zavl6(jOseSycgETocVgxZ4dreY|+7Iut6t}IM* zzgffZSpG50=oII$-2TCzLRJU=C5CmI{~Z+_e8U;%m=3-ht8|S&jeB+Q+JAQ(b?|0v z)fMhxRtMkwZP%d=Zr}mk;&szw5DQoe#Qx z&iXxSZo0{zMNtPo?|aTI9lR7uTqp5@cB^gMjb#M=Dojh*9uNNNh^Wf&g z&;PN3WZqWe@by@(OZ-8s&~<+1yaB(L(wzB?^9TH1O7~&D58?YrZT^XYfuw4Cnr}u+ zSNQjFM%OPINWO-1y2o!C9PoQ4jl+kL)h+I0nND3ikX(tp4!#$wbnyMypxb-~TXgVi zFBwR->fqO*t_yq)O&$F91p~=39XyGyZt@o%Z;f=9AH}@)`5q}>^AiKfLLIyk!@9&v zpJ2|q&aX@jBstyUJAZN@De4;MpXhmYf&UII-Q_EP>ioJD_z|46Jy|&5`ym6#(EBf$ z^Goz%9lR1*UE+Vkm`)=PQIqdV~f#eB4ZB2BJKZUvu{tTvc@WLT; z)4^}YaUJ}-IHl|SM>wN{{|UbFHRscMp-!GMkURy8b?{4((ZSbZna=YLgnM0`{|zg` zV}E8KxdP#SnB&z5?;XJ(K;1sUe~6lH@rRx|kQ~)b&Mz`IUEsr5@PSL_{Pd>{BxxP| zLM+iaej}FY;QO&c2Y(Lhb(eeCtdpM|NPZSubnqI~bZ`X?-Q@hwS%+{Bv0 z4ql3?4!#yUbb&vDDINSEj_Tlt(AGWv@e%XX!5_!04*oMF+dLP~e~xQG2fz7>fn-Dn zSFl{ycqdlr;771p2Y(Nnb?{)u@zOcI3k_Z4ucNDby!g3}-S0XU{3VB0UFXl>s1BaR13LH{=;$Qt zd`4fl_=+n%*N6Q#GI$aN9sJL~Y+ZElk1riaw&~!X!=%pe*Kn`y@xsybuPgW^XxkoK zLQ4mK4&mNjda-jKr)&@I; zZgUq!9ei2NoOJLru|;P%hiy9ex3NP9zXwfS;~BJca65dhgJ1O$_gfu&1A036tw<(( zub4Mtp>Fc0uviE8F`|RNi)A`_scQ%;bnwv2oQFF2nW%*ATtH0+|Hd-sfDXPHM|JAu z1Ih2;n6B~n&29n!f>v-wf3eS%f zI`|c@bAQvpuOD}g>EKP+p@SQk(y2ELB+tW9o#PwufG+Wea7-s}GzO0A8ZUg4W2f7N zf#hk|xhL!3B9iTw%z3k3sB66WdY>^u^FtWb!I$6Q*y-SxVwEoNz-rfsPVoy-*1=yv zRR^C&T?c;;(_#NL9($wX5}IF)jt;&ZvpV=?Z}#~~H;X=Bt@WOr*E<@I`}Ho!an@Cn>}8q z_$6rQ3jYC`y2X!RI_!VTK=OvtK++2P@E_rr4nB#F4*oh$>mHB1)oa%|UXQ`w_gFrP zln(wD7U|$ekEO3xOb7oK3cALhLrDk!+S|NF9eh3Ny2J<3)GfZW?6v6N zHfD72z(&`O4t^TW=sdp-gC8|#{s2-s_>*sUz3Jflutc}`Z?Q~w`6o7cpVes|!wQ|} zcVd;U@h7obxA?1AuM2m&r@h0m3;S^9HytmX<2T@#4&ILAI=F#Z-R1=qYp#P|u*KIO z^E-rj8Af!8pZPB5lFo1uD|B!j1s!|>WgYw#)O3&k<=vhyG(Yn_o>vDie6Q!#!Oz7= z-JE$NMs@Hnyw7v#;Fn>&&htC4S=abJY|$-#1mW*z1;6(F?k76R1b=YCYtg~`uwFO$>Gzy}uHgN@=Nhm*_|urut*~vo>qxix%{$z;b?|$B-+8#} zk~x1)FVbxu{-`l^hO5Zx8n67Acb@0cqRX6z|?9jm<+v}Xv!S|u1gFlPoy3HH+nX|6&yY^dKog5fQehLdF{cIaw zjfFb+^%&N{Z^Ec<@w$WI^;l=#g-PAyKgE=8^Ote2?(vB!YZ#jEJY;=zjmHjq-aXch zFF)cq>KuO_t96(Esp+-r9&i5xW9laV!5=yny2a~0?$6qLy)Mq)YyLXNzxYS40iEHy zLi;S*~Vh1pE}mIXL!w@S#y6zF7h6P`Ly_wKli-0FX9yl ze~u2`8awq_@9$V;`+9yq=Dx4UFZ&B?@e*sre}$&!YV#~Ae&5Cr^mLmaMqek#jEytTb?$K%=X8$8G4FYP z7M;sjpewu`3w52Ru}HW01QzQqe-9%%`MmM5M5j56QJv#)EYk%pW4W&I1Xk!ePowsH z<8ucSy32jkb@ErnM?A);IHq-h%V_Be@4$?12BWmZn(!>9b&m(X;Qi*g?%{kD zn!jXB-iVPG7>EBHOJ3-){9|p$CF>aR2*P7Cd_9iaUgB!pUgJjWCO;Uv&8K7c_!s}$ z=bT@5ta%J!%sdxkm$(|c#*Nrb{(S5@{+=UZZz`0m&>ZpIF7 z#}58h>>fYiZ{zs(H?dDC#t4G5#v+z&9eSe~GI& zWqXYqIIWvJgG$~y@GPcvk1zd_d&R5GnXkeko#T~QtP8vqBf8Gh=;#)oKv#FUk6E4k z!$9&>^mLk+qOWtj9?5I0Cs#43YkUwX-Qo^LUTaJ~izPZa;k9E_r+F!s=^U@ba$Vr9 zSfOj&z$)G32eDdr`8z1CFy={PqNLNDMOo)~92H#%+fdaNon``P;Ma}$Kg<~mb(*tSq;tFyi*yIk7Khga2Z>4g(t98*ZDKprd#}F?9e^F zwCl5_PVorl?zcRK<8N?%@j48zbUttu8C~NB%DTxjxL3FNTga?3K0o1~%vGm&7&)Ec zYcQtsTtr@%xQcOI;|2=4$ulVGHqT<=8;#F{U-sH{iidGVXLt^#IjqphDaRJ8bec!8TIcwUSg#9Q#s*#C z9Z0ToT=*ykb&F3TrMr9%Lpu42?-d}eGrSyIbe@aYs!Lo&PuKVl(bp|LiR5}?@;QX# zn|#&hUM#!8n7kZed!9GpwCxq%5x3WQI(Cas#_sZu{mc2srug;9uJL+#W87ZhgP66w z$)Ahc+hH5}Z+0wraMnDFjs*{6wa)Mu*6TbMaZ;DKic`AA2XR_A`9Yk~UGAf_)*61z zTB5Aeyc89k=OSixiL2=88aI%Ci!pfyLrDI`b?>bdLwW>73Uo9>!Lk;W6}eo{LCsH9l7{sB7FnN;i21 zL%Pkg;cMOF!EaeZo#J71bcV;!)p;&rR+qSn@@>ZF1}eJAGid8J&!VGyJlM0=8;sAx z$mtA^1$3T^=;#vPjjpcqG%~kabMBy|yWB@vCy#hdIHuE_Md}X6l*a?Qz-1J4g(q;Y zuJbfb>lSyge&Z#^fRjy2N*(@(yG26so$( zpF>Tz`7|bUk1zhVYhR~$1Pz_xYtYnrUW;j6;;m@u8Xv@rZt~~Q)@}Y8I=aUf|A+HR zr}$Zz)fv79J)P&9(AO27K&s+A;b{!%7N0;`clj)ab*j&JKxcRi$8?_8;kYjG-8iZ1 zJdN^i8IwDx=q{f{RVUxE&Zy}Ok73zw8zxi0Zt2=8At{$%VHe<^mCzY{z8uJ0#f z?sE=b9Xro!W0&~7$ZoN=+=$zod@OdGXJhyHva{CqUFONZj^evr7hFbot_puFcAf8w z-Qtt6yWEeRob&I65gwc7Z0sDbie2Dx>ORG z=eZcW#P5$?<3{Wze=c^LXJhyH;{SPm%oLBr&hXW-^ZX8+t2)Pcd)!{<`(n5FWb7_~ zCwB5Z=OE_Rgs+U9<5jT>yfJo#Ct}xwW4HKAvAf)log^0}i;#P-dmWErOy_tN^18sA zF|KR;2`qe{bC^3Q>Mox{NhgmpCdxX^qp0W{uR>Lqf{}W^F?k9@y2;0o)@?qGVcp{e zKXy@)(P@4KPU}1uaYmQ;!&p{xt?@LL>lSwcy2}GUev!X_?|k54%<2q}p{MhF2UdK* z@!}l;UFV~i)Ga=VDc$9BxL2p<855}w8k5H`r1M{h z&-uo{piXlZDV^hSY}N%XPve|!aR>9Z8K3)DppyaPW1&uS7CUr~$I;OR zE@S9-jn5NE>pD-Ps9W4YLwETsW_9YKi;@>$>xYfWH{zr&aTTX@jX#0hM~uTAjOi}- zk=Mzf=f}8C^HR*4FlN|>1-ihSuuxZc0*iE=r?FVKxPuYh> zSgs5Fer&zRoVkH*y2&%xq1!x*N!{bYOPnV<#lyH)XLt-pb)JiOK$p0RW4gu-9M?^r z!AafbS)9^69=z0X*C`&x8J*!VjBGbP7qLW_xQbC-` zM=@`QHQ`lQpbNYi3w4EeV3BU}3>v!4r_t0sUhsIwL#KEIEuG=zn9&8^jMDELlXsx3 z>wFXy-Qts|>Mr+D)5%YGeoW{zkD{(~yb29n;LT|2TG)o{N6ncZL|3wFX?-Qtrd>n`_E(aBF)8&q|gv#9ADk7Gg?xQx24gl%Z( zI!~jiTigj>>n``v(#b+=iy58fQM7fASD~W|ycu0x;T;I?xpn>0Ove^9U~V1wK^(Ka&8Oq` z9zS)+``STc@+iXoIUbK);JdM9$~<{0Zg28T>^7gp*dfR3Db^NqYs=Z#Ilex2iSNUi z!;U+jjN7|>E_U)W7bTY=jFaJwIDN$H;*Z7cb^c837XM@HE}xB^JoTdF=P)-PUK%^c zD`OXUQ|tki z{#dWmoW%y6<8f@(1>S@$y29JBRo8hM+jNUhV2AGU;Lo|=e!{iDFT%1<8k1Kc9E$?q z8N0$eV%Pb;*e!l2c9+k_PSVy1bH|jgjGg0gEclc;a~YkZ=FAgV@@aGCX>8Cf?%=rY zavvvk^7GaNr*xWMfzvwAMTF-qaW!_08?l@GkFmRaHg@N3XCr>v%j{UJY^HLnwIUdJJUEoa^{fsr?2`tlfz8_(3E$+nbavvjq zVoerX6D-kb&SJC9@i@X`3tWy};fdIFp2m_tx8~fzyw6&5{vPT&^$QmzSKyS+@bxJD zh5IB|v3$n;hd+VEe`y@=@}34I{!zna=Y%EY~HjVuh~p z5v%fzCKmp^F?lIY=^T&av@Y-_oY57& z=sCvMDSkFm9qY#L$B?e`{Wzyv+`+srd0sw?1vj>n3tYyOuJ8l~ z|H1ftKbGk>&!VDxeCZX|PNzAGGdjoP7&&1~E@OkP@OEt0O`bt=(wIDptnTq(#<9>T zz7jQ^<8hqS1^ysX51AW3h$-FX*}(rW4i7%pJzuAI7=4}LF|7Yb=NlK%&?T;7sOva} zZP=ijJc9>xo4<`?I(eS^8&>_3*UqoPYMtkGSg%W5#RgsDgV?N_JcBK|%@1R%?(yLB zont!1!`PuSd<|B7+4#H;t8|I0SgmV(5XW?rAH;Fp=2@K7J-&2_*Z#^(k$=qAqubem_<);%74 zp)nseJ`W?SGkguU={y&)LzlRUp005N;r`s@nb>WfMfSAuc`)lW>J*P)i_Y=N@bx#G z+q?-&bcMHLRM&YL%XEu7SgyO=#|oWX>6l=ZPV-e*t#dq%^}4{Du|e0kffe7hCj1~) z={C<|weInyzwEI(#Ulu>F~eiA^SnNGiMM0xx6C*PfjCC|l%Oa1wR z--@2D@E>6P0{inLnAORP?T^)uw?DrXU0vaO5T3Wr_r-4UmoW2f$B4g!w)V^U{a#!5 z;s0=q_)0YTjuF2eOK1px*SgE+kUr-a6_z`eT5XK_>~FEM94pwqk*$8?TY;@5hL4@d+%^UG8I4CoeNT zmgzJv#d4kFajeh<-h@@U!rQT0*LfQ2b&F46gYNQKY}Uy#>w_&i%}cRW=XfQy=>nIr zLsxh^CUu?f$CPey2lwhOpT$v~yxjcpfKGE3$8?TY;(x=lgM5xA+9k z=q~qhPA9K0KKxc*zkAXAu|Vf|91C@UH(`;k@OCWLb)LqEZt)4s&buV(@>%qB@=D{Q zuhYB~$$aDUN(|}(Z$e5}csqu4o$p6lxA+8xb(hZ~qmwb?BdgQA6gi#al^D|n-h{la z@OF&rI^U0iZt)2eb(hbgq?4YUFZAJ)h#}OS>5Hc=;`Ddiq?XOYp#a^oYb)4UWpo#T}l(*@pyysq$ejO#kzkAiOV zX>7aLpK*Bb+Vl6-6c5MF@GEir3GVZJQ|uCNMYs>wcq(?2XJWVcbnG5K@mH-+%AEN{ zv2*--%)JNk#@H2}h+Pkk-Qs`3;wPFjKmJwcJH^8Y<79Yw>^!fHUE)t+@~5mVKNP#m z&&pe?g&xb-#?JFvgfUBeSL_;pGIopq9>pg)M*L{(8md{^umH)1#W3$fcg8@tDkf1UgM zB0rDK&p}UTcno2ld0rd4#2*X&H0L>g8dJK(ov_{hUH(q&{+va4t{lG+6Sfz)9Jg0^N9;P^ z7rVus*j;`UD~64~()=+ue;$pUM8NM0wMx1Z_-qliZmmiIt{Dw8e+#2#VvGZJvUE=rR)D_0$ zM%>=y6S2G8kDU}8ckIYG?tBfx_<1hIF7bO~*Z7ZPxA;WtE+^NWe{AqmW2bpEc8*uZ zE^s+^g}2A9^L?>fd@^>I&&5uzH$LXpftSY4@p$Y4Z;D;vdt%pvW4HKZ>@J^;o!nr2 z%#F`WW9PUKJNSLEYkV+vliRU_ACBGQ1*^}Gnd0Ht86JzB=XJ45d{^umPsMKXvDj^X zIChUOT@%OWXUER)HL>%&Hg<`(#;);H>?S`LyUh>B?(wBJ#_>55J9sR1o;Ss=@I>r7 ze;TP5IJdbIw|Du{H^(t~ICh4w#f<&)T*Tt6>yGb6UDx;^8oI?DBv-m8^W%%|^E%C0 zoYXnK3He|4S&JudOxJlDE0!9EJJ_bX+z(&tWUcECi$;yfSrl}R$1$l3T*f(F;R(!p zk@0yN>vfAe*r2=I$4Q;M#rQa-)11Zf7aO0)u|gNPjBrj?czf(RPseU?Cw7^e`!Zt+)R_xQ={r7e>QfTza2Zd z$vR+eo}7)H1u0xvoSF@CTC;k`1;r-z7Ip!I7a-n zxV^`Nx14`$iicxo_*Jpo~%>kN;fqVrrtUzfOw@@w2H_#i5}$zMWMce#(6PHs16Oz1Sf6m^~Fb!g}kSJBk9 zunm>h8k1*G)oq?dP4{@g9mdotz5;cf;W0FHo{Pw?Fg{n2(=~2jOgH&Kbak5_#;oq~ zrEl|jTc>y!eVyUu@QcurJQp#jOI$@t*Z4jZe$6^_2SwfGK1w<%TN9LZnwO%Yb3Bf! zE^rw&UEzsR>SUwwF|E^_MN8-S2xfGXFMhjoPp9}QY#z4{k7J82 za2Z>5g(t90*LfN{bc;Kf)LrglN++A#n{lr$@#iq3+x$HYzQI15%@Zk|=B43lo#Sz& zb%Dzm))k&WM%Q`4onD(x@meg`CH^oLuCxzNW07ug2SwfGa|ri`%p;G{7~#JpNpMTE=rz) zxiR@gv2(mKc7Zp?uJApv>wJIg7N3sYDAx;6QWvAf)loow;%#}W3)@HMgXT#Q}fyJOe*lSseWJo#bF z=pH}yUG67EbK@J)&?UYbVf&=bFP|$fU zVp5m5iqpEr4Q#&2_&kFxy3Mob=pGNg$Nf#G_}Lh}+4ww$WjfDAOz09em}uf)8~=FFS0Kv#G>7V0L?psU+F8_+!-e6RCUr+65Bo#8Pg zcbYR7F{n#iMM~HB6ByDh?jWtZJn%ka=@dU38{T0|9>Zpx=XKbkOI*cPUE>C}=_b!$ zhi>yMCUuVo-|ziJr}zc9SLb*fM|FYUjR$m%8#tz${6!qsUGC$g_5<9>GjK|0cnm`o z^W-AZy2Mos>lz=#gl_T->blLdXy_gfe!!nEe#<=h*{JCpk7Gs`xQyk$?RfD7!hNI8 z)1hr|aR+_f<+G^1)0{u({)3uMa~2ai$K$B$0+%to#rQmd^}5c}=)TMN+`*!E8=w0q z>f}S#01chyEM|3%$1(aI<8v9Cb%pn$rJFp1!KyXkZzH9XZN>@c9FL=~3tYy+t;XRA zEYfwJ4qxjQcW_*HxsR|`$?uvc%I`Bz&Z44oJdVCDa2dyI#^(tnA2dEsV@$WWgPQJg z9}_zHu<_r?x>5L@rNc+MyEX5HmS5%y0$>ideAI~JUa9bAY# z=hzjl#}01A4(`SdPCgdL=XC7gT^aA-a6NW#D|T=`Pjjw*uk~f z!OhrRPIkw+aXNM(ICgM7c5o|pa5r|3QzqGyQSy9*?HB%cVFfO%z=ajKumTrW;KB-ASb+;G zaA5^5tiXj8xUd2jR^Y-4Tv&k%D{x^2F08*4%Xc`i<%GP3v#I?M0W}e(TM@eA^AhH8)*% z+jDMOd&8}_+;+>28=iB+EjRu0b+_Gg`5n)_EPc~;H?O^M&21ZgZOyH>t-a;u7hU$; z%QKg~`0)v) zW_AA7wRfytzvj(rZu|Z}{NaE6^0J3+Fp=eJ?pU)vy*~W^i!QtFwySTxaKoD0ytW&!TYuY{%U(Qs#rMbl&kz3o_&>DAe|h%NEB@15N3Zz)i$1>wtJjp) z+`M|t%{M&uT1Fq+vf(9ht$*-5kFEaI9*TJxgI-nRDU=RfbV^v&1Zi4xthg+F$75@M<>i^npPzZ*^RB$yktyAJOL(ET-1?GRZz!(au;zvh zx8G`j>uy^8f+hax?bokgd&4zrHm`@y89tIZ zl0A|;GIk_?Wc*0sNbyMNNcl+RNcBkV$i$KQk;akck?A9?BQrH2UzQ_t3O^|5-sK3*@>i}g~yT(8ut^;&(RUavRm&H8k`RiCN1 z>z#VHK3nhA`}Jh!;Lg;}p`GcS!#guOvpaJ;$9Cp-7Iv0)mUmWmR(IBRPVB7jZ0v0A zoZi{mIkU69v$M0ib9QHM=isi^)XY?Ssx#G{nw{!R^{0|UgNIUwh7P3`GU$b{ntP`AwD!#GY47Rm>F$}`)7#VElk6Sbo7y|H zH@$awZ)R_HZ*K3{-u&M2y@kESy`8?QRX@}?)I2nOsC8)OQ2S8l zQ1{U6q28hXA^gA_^WM+4JcV~BZcVV(PS(+?QmiCnQRQ6Q&_$7wP3je&@m~HeL znf=-Qx&34N^ZUp57xowTPwcPnZ|raGpWZ*azqh}7pmt#5K<7aB!0dtEf&PJ^sr1zF zRAwqWm75xy%1@1(O)VVs%%HyqoFri_{9?qpH;>u9y}jf63j4yy=a0egq0FJ|q1>Ud zL-|AFhYE*^KXgU=BGZ^Gl#Q>bBD(c=MRq`E*vf%E*&l(t{ko& zt{t8@TtD16+&t_&%nv4;A7?Vwytk{rE7?7`JGFagcY62m?#%A&?%eLN-TB?)y9>LE zyGy&vyDPh^yKB2AcGq_|b~krV?{4jWY~^Nm_jdPp|M$oI{JK`0AGOJe$@*ktvN<_D z*_xc0Y)_{54DZS8$?nPR8QYWJGrp&=r?}^_Bk=$994PHA@2%{u?yc>e*jwM**xTGY zy|=ZuyKi=1Z(o03(im){8bghAW4MuNWE;80SR>yUZxkBEMyXM5R2tPrtufK4HyVv* zW4h65%rx4KPUHM}&~GIB2luD;5A9FyAKw4Jo)xA2<^7fY)%~>}IzL+bXZE-EclLMZ z&XoTC>AoNyzBgU z=f_DM7&?$XkUubfpm3mgpmd;opmLx(cP`csG!8TmOdn_+m^sk?p))f%ICwC1aOhzA z;PAoB!R*1@!Lft+gX0Ga2a5+w2g?U52df8b2PY2J4>k@q4^AI!9h^DXKG-=}a$jit z(3O;Y|7`P%Jd=CFd9-VASIP|2=9t-)-Id!lwkyA@wrgTneOF^wbJz5))~=ad?OmN+ z-CaNWeEZR7+mAliQa|$U60YC>dY<(r`;*C@!9A%xLwkO3zSV!^-6g*-T#LnhrG4dn zm3`ruwD!&HYsVw=zvKM*QRm0`<2rmGb0B*lcVO&49pV4GN3;F?`+WM)V|SLMHkd4N z$jU$BT_*kg_mdwS%dYo{q(12P4=2eF-vz>T`-AxxrixRgc<-%EO-$9N8dJ@=yRcvC zI(NQT{@eFP1Gfj0ZB+Yj-}Cfi4)q1eELkJ(<>TJBo8G50JIB2r*W&wcczn(kasGOkaSfz? z=x$qx_tyV>wx_*MgnMRtvNPG8oSl5^Ob+MqnD?oM_s5R+!(s1$r60Q6&F&rAmv`=V z_odz2#`c%?=MJ2|(!=q2?5NDnz4s4!*B|x{Q}Oalmh?%Zb~?|~QocVPuCtiXj8xUd2jR^Y-4Tv&k%D{x^2{(rs#{|DYu BpO63m diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/netId1.dat deleted file mode 100644 index 7ee657ff4aac4186e72c79b56548090faab619ac..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 172 zcmXZN*A0L`5XHbFLjimdj+|5f)!`?trIAbLTm@AlK!Xr1B6!5;kf2A30U1W*m{4HG VVpcAj*|{9%pUyYI6R7v1E4-y1}%s~!2tya9G}L5;s}oU?|bi^COfoA^m^a_d%x>@b3ykx`>eI@ zb+5h8-s|jhax(hddP@h3#gc;m!Jx&m1|>g5;&1FfwK#lmujdc8Jd^%L?=?xTH+qko zGk1Z#s=9J^^|X2R8Pn#^uXNj|m)omr=G*7aw>!s-wa=@ZS>8W0vvamGU0Q6h%v_db zdF0_|rdhI+Emx&lEPE}-S~8N8yIX#SP!Ie+$YRN}q0FLE5&y{TWa&3|Q!UuxXBWzj z7R!Uh9Rx3nr7T_43teuhjn@6v8X;yWO0v{OXzK0@m8e zIgdsU{M6FkTxn0lrU-Iq6HAINUu4N^=s)7@akMape@bzj{?X5fPm!glp})IA;2Nwi zQ*vFS<;ofc43>&bv{QxS?5N#!IRFeFkUvZa-rfmCEsm$5?9qnYpdmtT-+d_Rar^<4 z_BP}O4;6C9bVpGH@Iz2;Y(wtEd?9yf7ZhcX>xyzm8*)Pj3AqB{ALQuY?r6E9;R6az z6mnZ3VyVX;^8GPd4t$46|L)|8v;uO+pxobvTz*~wj##Q_he2dNIEuz2KUu>g_x^YL z|D6aJp51ANXJgt1Yp+_e#(sgBIss>d)6ATT!sSoh-z&*t_-z4EWPXgom-?gFN!`Q3 z=ghV@@BH3^>UX*C*;oK`bs~tcmosZ=;SJvggQL%FOzjDa?4*sUhqi8YY2C_DTka+K z4Q3|meW_Q%qIa`<;#&6E=F5O$G_DKc)BNc!i^a74COk;(-j9x?+E|#W?+t`%R`Wfd zQQ|OK*yiqRrhdY$2KOcT4e&7sJ{DQl(wpXEV7BF9?i({~ec`L&PyOs07AeU~3phtv zy>o$SU0vG4@Ebj5E&Vkk$vu`uvei2VM?7oD_h9R?;n;DSwfnJG>}{~#)L?zCAus@y zv#hGpNn+F-goRpT| zu-C9Y)pOsmkVPPd7{fML>&}6cVHO^qpJd7Zxg}lH6{Bu#GZKT~cEh(A;n#i)hMB-G%vx zZX8sc-|##HEcu&*P0h!BCZhB z5JmqyhX;J?g0^NHqTO@^`^Y~K`ljX~0S2k(OR!Vy-RYhQ^xI)Nf3sm)tj}fD{%W^g z3;ve6ZPuIC*KKyEVZ(d8sBj--cp8$V(B*r7c4kjW0@%jg=pDY)dB-GK)^O@He71|Q zZS^9DLa#j!+h*?5M>0ptz!{Q9>RONnn|euH-pVtz9OE_WE_LA^Y$ zCN;0bF{gh{(&!m`T)sf_y+SnQNW<*jYqYN!)C|vtwC3wkJ?y7{yk^YUgYLNpa0rKO z94wVIrf`&JXm3IYT)w_1qSnkj0e=l&mdi}-&n?r|3q|ulw64>%9gA&WYAXH~d$(EZ ztl*3@KX3&1H`in|9}hS)^-Jsd5$TL^Kkm0Kl`?Len_hQ^=PYe3&Rw6 zk{xxmGrKIX4_mY9&D87rCRtu!A7@AKHlaP%_QGECj&Eq#jichg$2ef^#dchBGO+xa z(@`}48$yk#qaeio5m*Z$!?!hP%j}Scj&lN9mgr_L!@@+7-o`bDZI{NX;^e}p&v!H`z5O6Z(GaM zp!sdItRc=F3~8b}4;2T3O>5Ajg9M#?{)YO(8=-2gdlf3yD{Jw$@On}41vhWNruz{z z_)&+WBQ_Wq1skbo_&%6?e(*E;TL>`dKP&XFr4j;NYpr{Lo5&p-Y8v2C2KJgAtscFI zU7lbMiUYwW+7w>Fqr#gAI;Zev>efM*U9vf~Rre05Ypp8>3vp1_&;L2_ix}+cAP66N z(%hQDt5MKjt2Y;Vv-*eT7Xd4VN7+8lGlnvP*X_ob^RxS-<^nW=kVF3pY=ChoBuG_2 zNZNQ*^jCRE_?64bo=M7ARpn^JjjBeQZcmAJLRa zIFoQD;1KMB4a%GPdeN2qws&d7pLtX|A!{XmZ_GhK+a=sV`)qJbbWCuJZ=E(KanSU= zY514!8o;f(?B(#x@Dx}$I@&6rymldL%${Y^HPbecm5WeGN1w2uX$-R%^Ko4^rvZLU zBgOFdJQ;FkH{X`@w|$@N+n)cL$t>b%R5}J((${;p*Gy}10V6Gh5w!h*vDWY}%NmH& zU7R_FZK%$UQ;x6;&?&oe%H@AX zI9TF8J%!0ifoXA=2YWtE@~z*u&AZj=wLurBytgMx!+*6e?Qp2+j8dqo6(juCn z)N``MT9*Ye&oFewPLww#5F@ykXDWbq(F3aZWu41kl;XniHK=o#SrlD?O*4%?H0^8h zuCsbK(g#dBucLIq2ikDK2crZ&aHGm)j>|S2o|E9gItV$03#*k26sO%)EaAcml>8X} z8)cn;IGEr#>P$%9n0+g^I!8pI5~n+(un48g|DrHa;-3n&DcN%zp3{K45Qi87?>uaf zIbmqFO2L*zz_QK-STbJ;Fo(pgkH$S5mn6hJ8YP+21_>91n3s`xkz{@-ge3D!Y|#aZ z(}g^iU@J?qb!JZ@?%@B+s#!?{5@3hX0y0D^MDp**TO(X$Pvsv6g!dHZRV&5|M-2j{6BG%VJT}OVr%F z1}hQT$uc}8!ZGBL03`5Ol%!lBD0`=1*Wo!8Q9m17q|CF8lpA2(<$pkwqx}`xDGqZy z!hBG6Yrk@sDcNKw**S2w62J!%2QXV1pIK!NMyQb0x`9MVH*nBZxdM8j63AfyjaoKjyh97!rM-q`&3x z?RV^J%HLvk9+Z~;fy2A02DxI*d>~Mk2n?V|bGQwcSZ^Yb;ZdSyf_GcZRQ5*7g+>>% zvlyB(GJI)<|Kb$W>OvQ(g%L*vDki1)GLXm>1!gG!X;$;UWtjq|6D{}BEY0gOSEZ+M z5Ef?5^nF{|KT92bI-8yLHT6kO-?Xo(cZYyQhHMuMiI5FekgU+m1r85~>~L{OSC`#H z6?_f|*$3v~gL zXLgkyujhW1J%cti=UaRV6$dOW56~uU>oR`E3xhVsl6_5bZppr*q|N3dKBwbGxeBuRb77!>)aR#ea=`kRzj|$eA2-ZX% zqa2A}qo|G9vm_s`8uWG{0M}QGhsLX?cX^XjYfGo^f(we&I;$=+j9H z(WAeJ5S2lTHUb}GpJrI0nF(y<5gDQ#-%6^bRKX`+h$`SEWhu@O6)8Q2f%Q=#8ix>_ z$77VEGkKKh%*HNqWTc1U#3nCuWOR-Q)Kuj?jcVSvELX5NU}?FZMrmA^xjH?~07jYY zPfmdKv1q}vzdas7WF}{ughR!HlAY=69p0@q-MpJIWpO-0JC;hP8OP8NXqf0u zG@HzZE_KN9Ol4jtL60-iaO#6Ml?;Dr3TKD9k=S9n<2{wN7}Ug3%zL}wJ0Y6L0iV#B zCeJf%P91=&TmlS5$yTEZlM;uRe@;fNK;XqN5qOw0>k5TXuMiA0=NvQHVOk>+CZ?RU zeHwExI8WhL=bfk&rcYKGnm7v6Wy*AEt7*}y3{H_AoLnSXv_AwT?Ng)G{z<45_ValZ zu8X6vZ&&uSLiWL$b$UOxdNWkE(Tzz3Lmz3q2P%bit4cYc>Bvo~#lQlQU1=KY*yWM3u6T3C@i zEN~mH%vCQV(ZEaMB3||p?aT>0fFmyUaewAREz zJH`1sicO1iP*QPvQ?YkrO%~TdI8RfFP^7`huJv;g9m3HUm8?gfj)wWG~1X&oJNPpNLrS01{RiN zeanNiA}hq{Y~VPJs>6_X;))?X&Fs2wTOX|d$fIgXQks~&aRtKuUDZ1|@DP?M)QLsp zz-H{Tb2MR3kLr^=VqUXfWqu75$H(O_NhvnFIL*Txp3e~p*mig~)Ep%im;4Z*H41Ci zLY2%cID#4}jlv!*L9u3z$DZWroy5SeQaENhF5-Oa7;Ybu4~KVM&1i)09ERXlz?eyW zk{!NIeCeTw-r7yG@Sd8mTXL?q#neI$aZ}GFc(UA1B<>8QF z8QWI7D%8sz>76h<5JFyAIn1uqAa+KV-pBwr$Mv>2dUpyuAhV?U5b!#cC9y(_O5kA} zQ9bv^X4&y-=_@{espnFOdJJHdGQgh8`q(}9>g;;xIm~qSTwa9v&{;#5b7}0k7`((; zi=KOoAR^7mxJ2|^M|B<|NhRtrs(H!)qsscWqk1OC zg9((eLxvm|7n@1W42#p3#PCikHj|wh$>JRHB~f@pAYbs1R`sA>Y0!Y`fR8c|iye|F zEl+Z&BlXVoq)-?E;V?xEp(z9C=$#aJ16cq_WWg!&2<%~2fb*0UdhFiD5f!`YuOxM$ z0$N*Rtxl-Ube7rfhr(uuP*BdwT|$ype8Iy*BukH#fW zu`h$8c2VFg!9?2CqvwSev_vmjR)*~AGS?M{#!eBnP{29_e%&vJNu?h+Tm`HN6?(u# zR+fh4Cblo8xz1)+M@Clf*BrgO^v(*LwwE~@uXB;FU4WfXzV<NTY%EsE$t8 zoMsX8wZ<qOWmWwn@WIQMj%+`jXRv_f@Fc6y1c>iOvwd0Y8(f2{j#}J%SIu}Z1 z3s5OsAHbt-&E)S6dmC zt8HmFS9|LJ_FS#ke|@gzTaR%#oUJ9dW@}0R1=-r^pU6I3i}R45=(+JN$G+{6y|>ug zDElrKBdA2Z$ZU=C9qS!D5zI8Ey$;_dEGQNu@jVk$9j-F|Qm&TMZmzbRL8%A+e{HUY z3x1WWWd$CK%GKUzH&=T)oU8r%kqk*u%$UAiY-TwJbtz8YQH=Xc;@XW1hExj0G*1~| zOj+M%OnEW!7c;dX?PhAe|FTSND-sRR;pk5mf$vn}!3upu-OeNCJPhZVn?6({Dpl}t znxk>m>p+(DT5|5tqH2igCq;&~2+>=m- z3um}8O+z-t%T6S=%)VfN(-{o}>?&NW(8JXcM;z(hvmNFkhFOtakTD*&$uck&IC|qI zMDLXB!2JJ2wI*lpcldk#1n(unM}Idx<2{5-zMgi%PK&_Bf9sEfGg?<1CMl65-DoDR@lbIM0_! zzQ%pqy?@ZaDj4APgfEL`8j??svO0ih@Yp|ov;4#;qckikqB2vliB$U>z==*YuB5Ql+~MKK^)A`n>D z28Rz6!lw#>Dy;}#wIY0|5X501@XR4X14D!lonu`a2v1_+lN`h?YKS!maTTQ(aZqyj z7q-Yj90o!?#n=ug`4R1avJC`pszmUk6zw3y6~gtXlnBdt7}H}d597viDf?p)cA$hk zb4iNXk6rwieOtY6SiK{e45K@{L^6D7b`hoW%mICJeEnShF}TUvFXK3u-+|T29P&Gv znegi(`I0@Ru@l4JI8xq6Tq%>_TGX*RutnsIvRCMmPl+TL3!$lhz6akBgDPs^Q_M9= zX1u-+i__mJ_I>Ht_pZnv4l5qiL(FyWa=`wgrb;PMj|R$=0nT+aRxI*|EQjZ_q+*pn ztgjizYvm~}jI9)>XsHnG;>RIFwDYKe&tHlgm8i$K*_8o0&iXiUqc}ygglN3DolAd};pm7kZnTDfDsJ41 zmWma(-;vqD2rbY^fVneI4({o3OKpwY-Fy@YN_(Ne&tHlgm54+GyHN5&daREVw_QrK zNr=XaTOF4}mEq`!FmAL)M`VBFUbIxKxN-FoMjD_d;#MLuWH~PBaT`ECM1PzAwxqoZ zO8oq#xKSw-w-waJhxAwl%^thcvKTISCnWt`J+;GzaGT_RaFt}~td%HM&3x+sx6J~2Dk>6VEeZ3|V zPwm!BrG|_c7JaG8k-3VgEY<ed#$Mj+|}i>PN_21c3Jza^nc!3Qbj$P{xfy30C8dH~l=T!zYj1$iMZn4Iaa zIJ{r8i*Bwt7uHKdU9^arqWkG7MvZ|df6jE8xY32X9P}d3>3b__MZ@A5q^c)1`qN$kHU&I?@hxcpC9 zPQXL$?2QWybI8CqY3}>A1tf14_Q9P=_Qvnm@(Wt*jh;=$2D&Kmg0^RH#}p8|BD<&^ z?x8`;(6%MMgAG$rHWg*$o`SNeD4U8h_Suv!$O+1j<4}N$D@JYuQ^cQ>aVCT>$6dJY zrS~QN%ToAYl*3z$%YYULk^e~HU^hC57IFCtv*7jtgeT&3+~mNmXL&jb#+~Go7PuFR z_2pt@vyR4MasGuthBLL$quT}dR_3#MP;zARq~bZ!1L-8AmUN~I7;Bi$;DqRyT?b|1zD{e~ zY|3m4a*rh3W?mElreTHHXA1=lB{}B=&A;mGg zwGQ;S4%MEsb@@Kys#+1|zxS#4E)6-Qp5L6)jf^AI;cB$HR4ERr@(l z6>FN+`#DkIQz1*Ua237}EW>^(DzFt9i1y+bv|R@^S}BrNE|6CIxpOzs(fA=n3gR;R zj)Iya_zn#@B)Z>>8(OGBN8IaHfn$3GzQ;tRvY>QM%&^HQgW?;%g(#jPL1IzFcZ42n zq#C+Q(Do=)1KnXRbe75_HL!|#D-bwL1L49}1S27YQ6U6$$dS|)9kN8~CJ;Rrp8pgo zXM|MZMy*w4R7fQaXP4I63}fWe>9P-R#_j7U_J=F>oIk*R-mjtfj+VCL#CQCwD!yP} zLR~@S%#dFRoQ{h9n2<_h>;r$|DX}OjtC?$~Avr~X%6-3tsGOA$mFr&#Q@NbFg38$; zzY?c1Hl&gul|ctm8K_T{k3 zB(s$Hb!qFAGg0L)=Lt6oz57BDJzr9c)6Xy45RT|H>WYX?mAZfBET2XdTSu6{(3((G zZQuQjf$QL(#ML$@4g9kNeh^m%ZOkHp4|v2hZ_NM%2GzZg<_A|X`Yju)m)R{BGRshg z?y&GOgkLbKD@UbVMxD&VrhNW}Uy5piHCk?`6-Uc5$U+!f4O**rST&?BRc`-6TC$FnrT&>*&5f?IuCLxMdpe|yy_8ffU zYD#uNvDq!OV#|G_*e8~4j}I6CQy_G!{&sJ6cy~`?*X!goFoJxx$g2j(dH4 z>cikN$FGuP1M~&So{A(Zbdnt;$%2C<$yE^GCk{!9(ZLl$G+~mjNVljRCds|OMRJj} zR7n5j5|Tni0;+9c^57D%Y!$v0inHN*_3wV|4HTeQ0Pr z7Zn&J;|#0)U!oe)Gnd)3Gj!QuiyY!xuxlqv3keObp9S%ZDrcD~Qpvo5?^|I*gs$cc zJo}HeKs>AK!A_z;<^2rQRik-_N z(cS%`iX>X0fx2RXnkjV?jG<5|52++DIq|xv29JV8QF-M%Nrl&=pmJC%mD%yBL|oqe z@@;aANx1?9nb*{KRl6E|{IElE+TxRa*7uZR^UW;WW zP#bb8U3`xf1Bv&Z;eVm_a2`1nOQYvNHgXm-tL4@A-tg7;cjmxBdFkJA(RE@A-UngZ z^E_6xXgp~9>>H^2Jx$n?mx)+(&7m{?)CJ51`DbB?EhSs~GBmGCxd4Dy7yv^KDXnRi9hD-@I+RC|X4S$B)2uR{;-%Pu4)&sR zwZY=4ubult!WRj8QRM~z?LFO z?AYtsRuPx~z?S@0l>9oWx1+W0Dojm*Pw4;%Gcwu*d7wegn6)?n8B5?xF~>>ry5trf zG0hcf`JoEZSUW!O{=^^-{RLvkXW~)D`xAZABJWRhj<`M1CvZ1CPH%FO*KdFD7PnXFRskMf4yqp3?;qyNKA* zY5n#`yG{o=iH1%l+ovsT7qdBwZ5?At2czHo?d%_$pb%Ki2~B+Y&C!^WE$ANvf5N@! zs>IFCUQM$X(QFya9&k4%>o~Z^_3ud-bq7M2cVqIv8lzqxXmJ3S71%Ow5JQ7MwSc-1J`A47`b)QA@Wn-bj~EZL)US_HS*nrCrhM z*V00f#=hmj^c=Hm#-YCL$n5dmpkE!mv+z}bdwCLic2DBl7Vw4-O5#FC#t2!9I2VB6Hk`x&x-NZ9yNAM{+O-AD=^O0^r$=26)Tmf28zi-r?fx zKN7(o0~7}TP~mvbB07#YwtT&|2OWRgFmKsU$Kf&0S0FOyGzWUVO7d;l_qO-rnsZxD zg|lgNmNxMIldmXtWl@B)S5^TN&R&gxm-sNrdo~)aGdyn4)b+hXstG6>0_}YhKl3f0ooMNwSw!uWKz;eCNwwTU=-C@Gjv$<#9aw`nu zg;UuyA4;k_>(3>3rXY-rMzu0^`#!$IAx90Vo4Tc3U@-9qO;1Yh8uc?RTauFSpEfLs`o%C+F1nC%PVZFc%Ov4bQYAZOf$=c7twR^&~)6gqJve-QE zjG5Fs@{H-ZO12dSbhJ{>gW-`pW4fXu@iXSVCvnEmM$oq9b6E0UnHPD+EC%L(^BH3# zbjF-c=Wxa#4TP(9?)~?kG0P7DMBEek$T9a7kh4%EW$K!?<{!A8t-07R zk*D#>(+)4~2YM-9t;uI6xNfAJb*3W|Ttg7$?YAFe{Pxr$l1Zq9-=&i`;G~qP;8h2v zf&p;>Z<@Q5!y;Q~>&ojSCatGAO}u;**Ih_~Y0Hi$c#C_#@AM%j?kco=>z(P__;o#B z7trhz$j8VW8uMG$9hfV${1e}I$2Vp0@K^69XYV8@zDLbRjUr-Q&^I#H6COpZdx2Zf zHVRx3>jpLO6ru8O#d;T3Orm;3Lb3LI#8_ATLC*uEmjt{L--QJk8p#N^6%I1NONSm*7> zLzrZ%=vI-K3tN|WKNQDo`|k&h;{}a9+bx#SX2;g}GonsUT=u0nxyIUjN82BjT38T+Dx=Wp8cWCNPFa%$vo! zN+=NW*{;y-Bz#8>-w&(diqv8e2F-w|Y3rdbxg3RW&B1CJe8AW1B47G<8s_q1&WUBT zP-=GWlhOL}Bs|709uu}=$!bX819^>CMItTr^2w=|`)O6wyg>aj&8L=!@rH{GSW&N~ z=zS=bqOOM-^s2e{h0ZA3`R_64*N9#Pb3FIN%P$Xzt>1Psw1T!`CgZf22e?2NhmU^XhlUYw0 zzCI2Z|i#k=YqNe21C6G7jegqwhSvrhHIfitsJ^4rt3Gp|#|e zb)hYJ+pguQ^uyS+eX_(Oxqa}AGQNU}uZ{+~(voRA^4``{w9C73dMKXjQa$v~cM!%2 zc;YbneZMz7MP`{FqX^pSA%&eTfC$=5?s)LNU*yYk+FDF>`DSKMa$#AqOfD;0n0(?? z3nlNl->pCeE?!qNdS>Bt7?hVA9H)cZ@uc>l4LE|!4Xf-0>|Bv&7#59ii|i!mId~K9 z>$PizA~g6`PBe_Y4GksAOd4o%xj(k(3n5W{#Wc1kZi-5)MLjT9tobDwfjawbl1NR% zA$(;vFaR5PeDTM7I46C!7B{3xz8pfro%Xomro(2aU{UZ4ioQ$TmqTdnIR>S703f9s zLej#wqB~Js{NHn66 zy6Db*gTWBaJ$;vS?ltLLcF4K=Q6IOFI)ej~je5{+micas*mv)~*W=@2@B z$h{$*yAne2diU`-cY?NgGzY~uH7MCg={nR`DU3tk$Iy{^GTBt12YM}xrlPD@q zBt#=l1Nm(xh5;#!ek7$M+GyTeFd6PZX9(YNt-`mj*W!$&Z?zB-9VmDQrQ02)WCxlK zY1M%+!b!yVZGvIFC-yolA#vp#SD= zDB#>D(z(-=bFl`y&oO%jZGCADin}x@*+%Pd9!JFCZcLJBqZxo=8+|UJ-hoiO?odGQ z%-ppU6>aoI2rWh%ji7%*`pb}XL>u+hedF6E(MFB8$~O8+`c|NP%UzB7xNUSeq*WXJ zCxjTkjhaKE!a4hU-4N8}Vr?-MLmXn;v1Jap=h6ejIUqf|8xrxNHXQj*&{juVP{iHq z15P5lj)NE0V3jSQZi613yI(?eP5|nOX9%^I=Aih1 z1|?ZttwZrwve5neE2sx0)IAW27r+jJl~+MMtU*auy>+NFCDeu+rE@DK)Zh?Qd%X;8 z_5Rajb-03hM1zv7og z_sQ#Vv5T$?&1`YB-BAh%S3x*vJBV@#ji)i5kjcWpqK%@*z&1lvFA3lBif|tl*M*2I zKd9|t3y$R@utD1b=+H!~M(H6Ky_$Z*x0-EM9t&rer*$NJ?o$vAX<+m^T7u$9oc5uX zK_zHQYZJ;cwiAv$uu+40Csjb5u0ySckmx%xpeC;()Lk@p0IS;HJLA|e9cr$G+KCv3 zG{DN00Msg|1Z@M`gp#b@+@P`gGexkfQc!3-#Ix{I2*qRN#n}_IHPRedQBi1!wvw!7 z=unsv*jA}J)WQUyc3exSDQ!YYR{Ph(cDP&QNT}PP7jh1)G7^A#5~E1a_8rZ&!^*Ei z{kwx;H9&`20ik#SOcAV>wFxCz9j!y1Eul7EBb~#@!nPWc0MrKmXTSapSpbjNjxpb&YCDb?mum)I7O#rHcU{%~Elpsi9tQ8Bu$ zGI%W9RwqlSe_kz}Q&2}G0Cfdag0_@4p=4XFcv*vLf;V6_T!(rZLh%AP@i9W(Mso;Y zTdamiC=7iNk(U%Z%&<73H!1;~C!rFw<+q6=DZTL$jQU$IUBKtSOA1^_#Cxo=2j(Pg z;>%UeUM^x(csF1W6p;M~DnxjiGuoZ_3Xot0Z5PwENR3H0Onjvrwrqz;x6@>J0{4rn zm)td1g*6bNei9T*x$Q&wp@Mm|O{lW3dF-)&iwK3F3MABM9qJ_r#bY%ci6-Vznmd4% zgeuaZ-VoVD?q|NR23U>R#xDoI?P+CII!^!-U#TbM3IY zQHSa+p-$AH9)?i70A>hQ{uogGbg1WW8erJja;0=`scfrL5`fzE5Lq3qpr{z#Rxdpd zqv64Hl!PkNp|(OO9;+cx3EEcE90J%D)L0#g@8tlipO%F+&{ne&fa)w*xne*yuhm%9 zNT{oHsNWzIkJVJD1Z|(vTsy2T)1f*`s9p-{3fWfm2|x{gkWdR16cwY}s;drlPd5?3 zXS~um1=TkJC?8aUwu~5153kVzI9NiJ=upo?C|&^1e}GUcXbu5vi`6MQ)aI^&)yH*V z4Rnh!2|zs!m7r}%45+QEHCE?Ks09kjBirf=2*qQy{C+~cMsr|A#pt%0r9=JDMX*ZK zp_U{7^%1NGZBt`F{q~&3iYu--ukM0g$TV62t z3t%U~$`=EwuMYLEEWxS~J&4XBjv!fBkO0(&_mb673W|!+ZT0-KFcuyIM@pzEI@B8w zipMG+DnZ-RG^c!wh8m+oeP|_(-0v`4hBUxxdIC@#1uG*4)ECcatS*vJ%XFxH5Q@iY zGE{=Lk7%wPR{S1ML;yQVs3Q~<1`W2=)d@i5tsqpjf}&z{01wuo?l_pXb63?$=M+@$ z1faZ73EDcvfV%%_jTK){1*l>j>NyC-3*fo;5b92vLjc=iRiHzO*J_!$JCHhuG!Vd1 z2|zsw73BXhpx*eG#_HTm!KzY0VcKF_?SfD|R&{q1>Q$NpD=J2})pQ-|+k*sDiVjti z0MrMU6KZk{sC`etcK8&olTf!oFXS9pbxr{4iMt53m*(1Gb+rz4n1t%DL)`|2o`BJCw>UvUIdrIhK`34TC)`e`TWJmfY>U-k9co>gVD;X`VGRUuWCBo+K_zI* zjRCd!pBk$P66!()h3izd)rSy@$Ex-=LanDcu%cpgTb-{%wREEGT#F8MQ36o!E+N#! z7*IbvuCc0>P&Yv@6M*{jX0j@Z0rlad8Y^{k<#HYBTL{HtH5MvC+q*Q^4y%iFD0OqC zn}RZBTh%21l_OYPprEK29l(w{l)AaHa-noiK^>L=)MZc!+JcXS6{Df<;IVMGP&Zc! zb*LvG6fb~h-$bYzV?gEUQ0nH&wwkbW=oTXqfO;4zL0i8VP_L}iSgD&UvlZ0UvaQ~S zP&`(b-bkpIXb#IF;4I~pNJ7|k&E!TlNBg6&=RjhNJJ;F`z!bA4bFD_PwJ>BiE}#{S2Xatj>i> z(DotCwZm$$4t1i0I$S}GkpVOlfZ~00y!S^zQBgP-5x`6xYRegd)svOdIR#};0ICiu zcpqpCsQa)U7!klS3FXwGo`q1n0P;S1&~^vSA%OHTn$=Jp>ZcOH>Vx@V4Rnh$5`cOF zDnXn09#1<^uivY&x=KRLS5T$0tv-QJJXXAq9<;qebAX~^G^=SkR4)nDL5FfD0QLSn zLY*4}>gN?2t7i=Cnz^?^FXS8on4SO>@1qB8-_l$=tV|uML_+22PDk%HCw3hCTg7VZ`fN&sp*GD3WhL_tw8x~-nQ2gbsq#R3U6QHRaNqVYvwN1p}vPuJXRB+612Tf zbM3Hl>rewF)FBG$Y}r;)U`55~wmMITIzmDP=Y%!Dswx4fcc2oqO^5;Y z{T&*sRU@!#=H947r6vIN$d!a@p}BTgU8zGAOQ?Q2)a?+87r;Mf6RI`_)R8*Wj^TpU zOVFcp<78V6NC4{XWrVURC@My`)l;{_Xm|{)lu%=JsErVc$0|p#dX(mrkI_)4>rg2Y zs(Dse1Kr}B1fc%#lGSN3pmt)BE`rr<4(yt_m+4U7Kqwxov!R0gpXS>Rqq@C2Y9f=bYq69a1FEgGvUB-AVgH9@x3 zb_m5|b%}>i|E4)~3o1qj@EjfLPzm+hjIah+@%uONpqik9?-9p<`sQYh)#ImP*UYWg zp^_4SdhiND{fFk-Vdc@G91^Op4s|nx;<5U5I-xF&0o6l?daqEhdLDXoZlY|f;}d{- zYbl|+C@3mMx79yyg3)kizfeMr(V-e36pvN5VD%8qDIcSuhU-uk3H8Oaum-xt_ynMS zsU@qEV?b@cQDb$}DcCi0m*`MmLnt1rGoga_A=6wttSWS<<0RC<3Tl#UtA8W_)km-@ zS5Q=p4q%cF_43Jr)%|7CIR(`{0jR}L3EFh;bu*8JyTv#ORiHyX0-<;T9Q_YM zT^j@HcpWNml3?}5)Ub2t7N;fvbstpleV7UXP+&hM(I#5LMUDU?Sj>PG^c!whB{S;`hBQiwQEXP1Kr}R1fYJpgsg_ffO`8{ zjn#D$sz!(U3PSN%odK1g?RA=Kht(V%>KF;tSwT&fZFNZkP`w1JX$p#p(EvgD72{l-UdJsbK0$6e}q0AUi$LdgD4iT(2 zqqBybL$^370jRs7g7=}ufO^pnqv6hev4lEbL7~Yw2EGNMc&rvIA=I-phX7JBI)G>C zP*w@`1BT0x2B2mp0JRA!LE9NIpuWN~UIeQL29rkaavkay2*qP{*J46_LUZk~x zCZT%jP}d{?^*v_npv@fvDoclYbC6*5FX+)Z3^r`5J_$fIE+SOAf}&z{TRn)iwTJ-D zkWeFas5KCZ7r-M0tGj7V`4|m#k`A?hAZg@&I5DgNR-+Su`fedv4T=Hv7S`4xSotN? zMLN`H5Q@j@bg1BW4`{9(Rx@>|qa{>F1%(-sZM7%?s3Qcc^Ar>nqXYO0*483etaI2|!gr1@8lKYx&C86?ksCyw4FM!20gt{^YR39Dc(>%fImGNQc z5Wo`?fVv$jLEDirpw=vh(eN0!P(q!nppXu-t+qfY9;-?>p`M~S1dxi+Z8chl%8*ds zjtgr5sw@GhjZnew0mp#)>`IN*iUFjNTc<<)1fh7WZd*X8oix`Dt3^805D9gd4s}%m zP~VItR8WsAL6&OJbf^3le}jRIoZnK~XU}fInevErQk4C(w58EoVvR6jWLQ zP!&)K+PB|20m3Dq(ttO2Mg2|zVK zC1@KS1M1@|G*)*WM;f`cI@EU%ipT1v`Gnd|bM3HNphM+LD4PzoECHym&m>eu45&06 z>eYUN)uYg(b2DUH9gzUk%kv18q@bu6-Bx!kh0$=gm@J`A)1g*DC|&@&3syJNoboXm zYM>6aH%G8~XLMKt-J&=Fs6Yi-9UlX#u~uW{l~8kas2vcB$Ldt51Z@p8*AA;GI@IA3 z>Q9%{m}x<27FH$z)lIM(ub`+H9l-DYp|N`MSlZ6LL5E670BR0Yg0`<|4y@XOTBbue zB~*?MbsL1@1+ef!Lj5BK)Db$=2ge9j{~i@~4gu_+0MzwR3EH~HfLe7qjD|b=dp*l#Y|C|xl0Mw)epk9PZ&~|DJs2!JStZwZ~ z8o8J1PuU391`5`g-ugiv#0K&9wV>$3%`hoDF2X34fX zGy$j=<`C+SOT&uMZFL)ug}cQ>33aj#^*Ds$1u#pnx;_R}e;sOfAHk~02s?*v;Ya}L z%h_agYz(LkmuRe(N~m%j>OBa>V|5Z#g0>fFPDMUCfRl8nLnPF%Bc%pB<#}~s0#H`L z>MR9C#b~HK7i+8@JDRq0uhpR}2|&$+O3?Nd&4E>0P*>I@G(p1*^5Ehn+(Jk4pgR8mI(qSuvm<3P30xD?esK z{2nCDwZrN{9jc#%%G9AQPXOvOC!uD>fU@XNFZB`uybpSGZZ1NO0Cr0NYV{04{jw;m z7~NJk@mM&3V zS_G@hB-At=Y8!;&u{sed_}w>}Q<0Aj;5Z$stAzS_xYW2nw$EQnggr0pf1;;PLWV{9qPISpoUB%)S?(r-E^p}M+#QY zI>OGOTO5-B)KyTy`wwG4t#rd^xUrfXb0QK={ger>x^?S9(>iNU5Yv$e!JvxVLCbpF|0jQ_XC)7_grxc^x>N*{2jD#Ab zLp=bYcmYfktgeayb&L-6#bJWgrc=Ytfz_}CpguZ}ta`P-m6 zV>J*e_&pq&YbSuEI@G}u>ia^efwc&>)rMoi?0I3+=R>O2C^}@8BCxNya1*MR?A{Q9j!yD7p83- z7IqG-h9m&>!6dReA_mlI%o7m-R4+_BM~8Y1Lh)GjhYH?*N^|W5(4|AE7p8qvAT@A8 zvaO~j0M$XTDppWbjBcw>k#Iz?QZG!yuWt(H6x5FpipOdaRPg>&nggr0pcd*->V;`N zbSPf}P`MKcRT%>+Lx)l?O#A21uyY9DQ3*g@0TsOeGzQcPq`VOUR4+^$uAngcu&thk zP`m(6oIt4CXbu6SVsu*#(V^4})3%=&)&P`|0Mz493EKL{fO-uVh7qjP3)3oesE;5N zkJZxggxWxJ?Xa4vL#Y?0CFxMr2|&F!giw=WK>dgnh6q;bg=sfKkIo_gVOw=d0P3-E zgxW)MN-?^vd^(hRVcPLJ)SVED7eI?(bwv!QqjV_s!nB6LVduaqKLMzB$CA~dF`%Bt z8dpRB)eFrk&kC?2chpn~5$rnz*;bPifC`>XD2IZgVsu-5 zgtfH@R_cXm|InfKLMR@qaZm}`-lI9NY744bhf*(0>#jq26M*U`SX~$cs*?_-UYPdC zz_4=&;Nb~CT@IC?%@PCZ&T=h)>V;{iDk!&Xt0y58FMwmuBGgSZhX7JBx~=kcDD}d$ zxAVgqVC75zY9&;Hw&P+zy*g84rCyjeM~C_VLh)E#R!XRsX|5eslXWQd!n8l~!Wv*T zKLMz1Pzl<`#emw2WxR;au3ngSy@INdZPg(GsE5Z8DnN5!MaAg0^6F6Pg=xp?P`5%T zUI2d|K&Z=OKpn0_sTZcb2)&SV2w-jkP+QL=RJRyVPhxE?B7o|JX=mwB>md}6)iHwA zN}6jYfKDAsy)f;o{!(M1Y^#Y0K>aqFtO^wr6{Fkg1FWq@uu?BfyF`cD4WW3fN}&?8 zZKFA`Y71(<4y9h0mZd{2O#rH|U^P1iR0kbOy)f;;+^}=#7KbDNbum)_gPC*qV0QCS=g0^E~ zK&_vuL8%v}&D5dZg-|?JOGXju1)4**Xp7ZE9ZJ10?U&=j8fdEv5`cOWDnVOm45-~$ z#)}A`dSTi%3TiPz4yYi6;<38_3_^WLb6`cq=(bv_L#Y?0_0gejOaN;CafG@!2Gk)s zlzL&>8t8?b1FL=sK)q2yC~FL;$FQ~*5kU3Aw9z`$OAv||z@r7L2WYOH02b*`>V;{a z^^+P)WLu3*0BWB>R>Kq&6{FkgU97D|uu?BfTckrZLnt0A7gX^6f0_fUwxBN1q0|f0 z4$`46O8~03U^OEKRB*D!O1&`c-kh*==oVcQfLaI@y#Jr(+F^C04y9h0cA|p1Shm%} z5Q-PTQ6mZES5Q=pZmWJelzL&>mSd%J3hI;upjJQy@Bfbh_0qXo0M!fA%5ra1(VK1Q<|t3#<5ru}qGSOaY}D*>q2pc1sXVn8*Yqp?yiOuI@!T_W4+HweXJ zbx$#&KBYOZqGB|w%XBF9!n9sGRDA+aKlUZm!Wd9pb*LsmBlj8Tg`7hG`z8Rj*-5C3 z7*G#S5&=vlgnD6`OUL;)B;rM{x1e-CZM74<({vn6P3^oet@Y=~>+vh&Iry!#SGd~X z&pa4QDY$+UW-`}hbLY2-IHG=!JjPGArP(QVs>OW@em`zCG}oTS=Cyr6b-(%k!R@|K z?e-CrdmVBuRk+o533SQt6ww}q51<}_K}8|$@t4J8*g9RfTuV%7oEp+FdhrJ(#@h+lR0b!<04iT?O{7Ayay%_N4 z;&Zo9TKsan1wHlap(hH(82I6z1RWX@EjmAtG>WISv@6qrfnW3XDbwSS(I+c#6ZbE6MEY`79|t z%b8x3;#k82s@=Q8K%-}IZ;K@fmFUp+$r~bo?LATHZEz?D9U;lN)E^&?}+*wBDm2OP9@T7CoKpSHo;%L&^Uf4tjjdNgmyv{=?8$+pSaFw4sC zD&XV!wS)Wf*7{lX8)k`5|IqOXY{VQ-;r(`tCE4A%G4&R12*qS~SI@6W?$bDW2@8|Y z-%xM(d-h|x?;mnBi(x;w(cm3q(>EEO4QZ&V*%PVK+z+0$Jg*qgf5S{oJ)5p?ObhO6 zWhOVoao;9Iu!#K947E>*vFzxc-Fb$Y*$??x^XnXlJo_;iIkR)z3)iN>_2wtLz`B{4 zMuEpDU}pX}5>=FGzMU2RaoIU8zcU->%?GTuH+zJRD?7*VZD?L5lw3TVx*nR%HK-7_ zQSk^Xrcm7XKK#s^ya7gVy>J$5@QcSA^Kf7?n?SWs^GKTW>`yw34VSYak}|l=F?>_9 ziwvJT+c12WW|tbi3jBn!Z)SFxXIRID^AT~bPFZ`^f*NRDl;$$0*$s1U55ruN)w~nE z4inIBh!}pOti<CxfJAHf)IKQrn4f$B#ucO{7N%^su?)&YL4>P?1Hgp z3ysa_j>b;m3GMIsGuBU9>a4wrfYI|2>;&APr1qC^e`a`J93L0nPlvUDGrYf*@`JI@ zIIw+He->due-sT|V4!s{UyfraoY`eApDP=IVgm-Oq?$P#W?f+HSc5as@;tkK^T#{{ zf;r6_g^*EZ_;!@|_BOwP-R36Nd3G-z?QLMlam<}P$Aujwac zX8sICW9pid)rjv~Z}i(?cz*44`Lp<&arv=kZUZE|dun=`h0k*}R^?KpFIX1<+&FZ~aY^Gsov3l=7t6|VM2$TE*Dh}IV^^6s?hs@|q_7&Oo zk{6+1L1oY!m11^tnj_L2*5?|Gr0zgnTxMr0-{Ngqw7|1J&06;@HW9X``(r}3 zKG$UMN4ZgDX}Io5FOg?jT?Xtr#uH~_%%kIJ7!kbvZ@zPqigZnp!_G`AlCfPQx&j{HRKO9Y)O{fpeZ7|FBD@6EV z8ul<^!rr3!g@|aawa!DcsXXZAU=FLWXKAizwfZJ@d0syu4g{OlKq^=tI0JtRuZN!7 zS$Km`KM^&7O#C5U{?0%rY_`s~g*^p6NBqL8g}6A}dcF#)IOT-9@K3}`fdxKB-wbim}kDHwRP^|*-AojA_w8(OOcO|PoH6x9ce9~?6< za7>Z21xAmG>>`dDh6`Jz&HWHk!}qG0+Jtn~@c!)1_BPbm(Kl+3=Lqo}YT5S9pHdD1 zJV7=I#+w|DHyCs-<)A~O7;iA>z^E8>uSKWL--%)Q z2jelr_pPJfkB)vnJ!Kbr+gk=u|5fKlmUO4D;-eItW=Ur0LB2yfl%_d-v(p?%FMJbG z-FT4U`OWS=1haJWM;(QaHJc3YPHP>98Vs$z9U#*UUf@2np?Xw+CoB5xXRUjHy~$s^%P_|`WBkwG%yEbp zHVCB?G4U5;PQYx2@pdi9iW9K;Kk3*qQ&+$&6nsN^VWTniC#a>TSQ;8rzr_|qjU?np zY(n&pL%3JmfX!d!=BA*{pm+Yvr%-|4DZ&oE|B0OCc^WZ%>+oJ0)HSb9vsh-;8)k7D z%;gFuVsky*2`mKrQ2sKD-qo=@8c9T4O4-%WcS5(>P+k@}VpvHiL-S?_57_=2ocF;`h{%nZzDj396 znZLUv|M!yoA4_^~boq9b4Exa7*W~Wu^7Y+nBZ1UyC<4=4`K@{VJo~m;@1P^RI7qQ< z*(OpE@rU`z&dc*v_UTy>4CJ_MI;HL1&qY1j>X61%7q-|;t>!l1{P$;`gKB(_kV-r2$BOLRZl?ATYBko-oE^IU zKz|hSqacqaa$<)~bhw!`?ERXwmQz`}`x(C146_9EH`javh@Ua0;C*6H37e}E-s0Lg z%kT})YCbsy`0vQw)Ndf)vRlQYz)Zba43SfKJ%;4o@L_wBI)NulZQ|i zYzX(i=X(HVEm=2z+5xWm8s!Y^4Z2^2W9PxJZ>Zs$nijMz8BRv2)x`2=ju4QAGet2n z3+pmCoZoa!%ERzYQD~In6-3CkBnsNjSX-u zE&BSK`bxuTU42$Z>uN{$Vs!sp>*@wv0wwjx-`s*ZtRcr~79CXVTURn{>!NgLhoUss zu=UlQJ?oO3!?sp`qM(s4NPi0Z1pf@er!MM1I3C6fTQQDd+DR5gO7hYI;pn@wmM(^y zHE+O;BDhfsHyYe8TUT#`8(BT_x3#3n_GrlYx#(Cs`Z-$tKEo48@~npw>#M(Pxju}4 z|DVJM%AMG7caHFHhV2zp8Am`;(pljp=xi$vv5X8f69US-nRAO_t_mw ze49)9t+TEkE`qp81~Hl5cb4Y^LuncZIeeQ&4_jBA*1+>Bm^tT%1pQA}FiSILhUZ9*9kPxKAo=lO&2 zso9R^cn+Hg)B;hoHpjnJb3AKZJx8<#hL%Ur82ON$lGURnBXmA`Hspk^IlgBS(gA%P zxpCbk7$!W8NyEOa{xC2P+p5=!{)YaQPI`g6k=VDkO)cCP{YM=7;WwcZ?0Z3x#Zq4% z?0b?b&sODUs$3schK>(c^`ZTX)&6~|OjhM^RSs0;Yo|%P(Ec{HACfym$yKYet16FE zWoK1}idD=K@&{K1Y__$IEiRLzaa~@1fJ={>ejR`Sqc)?9tm{;fFI7 zKe%WWAIw?egV|AhQdNoT81d<($}ClOQ)Q?~y{AZQuJp?C$;)Lqb%`u@RLXKw6uw1$ z`tOy;pZ!*rr>JtnH*$aC9$8+nTb9d@)_GXIll2z$35GU8`%(RO#UQC)G+37Jr^qs- z7K%$~KXhgChRWhY^@*1A7A%}mU69v*W<`ZXY!8jtc8h9ZYU+Y=_td%b7f!2~J9BE) zwCZW|%H8GFQ|C;ZKeM8|I*hicviicQ^QPvte)5M788&ceUP0dAsRK_OeB!Wy0|yNq zh;@l*xxx8^1`i%QbnuBorw+^;Iw*f&-jMu!i)HSDx$_19xpMlvsob7Ay?jpp^2O!- zSx+MaBEbMtP%vazLBZgugH9YYXxOm9g9i@kKXdNPX>R4>0l00dSS%w>8ZdrAdG&$; z7f+jCe&GOT<&2tn<@4PO28^8RHfp91m^iJvDtB!0fEiU4GY6E689uuILV@tt{B1Kh zvHfjRX~eK0`GW^fat&~oFL0~yN&&O|t(ZH1@qqln{f7=H z8CyKnIhMtMh2_&H~Kr`EzH@oiT0xjBxpZrMq3JajjAo%eXn^ z_UiHlH5G3A+y!=bWu<+=ylE8`*s@ROkt!TSKP#VU&l!KFGvvki`4`TwT%^1gyr#~Z z=AHvGIsHzu_g!EIv%U**`p!PV-gkCCyFI76+>KMU5Ib0tdFjny(Dha@I0gSJ@c&Z$ zzZ(Bf!~f9;+oIe>Cl0n(*UWd%omXz3HEnK1O?CN6P{hY>pD?%DT{ErXteW!bCE_4_ zn}rzmooS!G1pUW8&267Hb0+*=VDDQcl!RW$=c)zeH8U$gt)gWZA<{ZKv2RjPiIDtD>!8&xJ3 zOT8Yd?61mGRe825XR30cD(h9bLX|J7@*P!vp~^p1+5L2hlcUPvs+^!ow<<4HWxXoz zR^{`md|j0xjvuQ1uT}Z0Dm#vpc->W*t;!Qsd4?*-sdBn1D^$5ql~<_pDplU7%DYwh zkSbTHa*Zn2tMWBfzN5;IRoSe{?^XG`Dmxl_J9bz5d8%}(a*`^mRJmN0cdGJ5RW_-z zS(O&_DSmpWa z9bIkt!*Y6cdAV!u^y+EVODrRkMwYur)KphviY=|KoPjyZVjNgkGSf0DqITSz>hftb zQE91Rt!vr>cQMlltUz*qbmh#NigH6_!VZh&0oKvDLr#jkHHezAZe$WQRVDLhRXQwh zikc-0+~xDeVa|0dIDKwKIkzmI^T@clGcFuaiTT)KIX1auKA0lyzW4ynW0GCv(-t03 zZTbJ$`wsZHifiw=_wL=jt6i&0mb=^yZgRz7VLJih;#IdkvbRf!4w@?O4={n@(b zoH^5H&di*dITJT)dRy8!&q&errX0NEnCbNPchk&8299a_(`Lh97t&cd)FX?rsbyzZ zQhbM~ghHLw%YV1&$&WFOUmQ5;phj>7=_u4OU}vaC47Z z9kj*vwkG?imXJ%-kvPX{>`Es4M7!0L?C|ih@FZugcSnM!-VJ)iI&#xwyqwr2T=FG zu7r$MTp$vy3VK8&+Piz(;QtRo293h#7Bh?lW=7|VUL(ONeac7-^+?)Mvt?&*|DafF zqN#%-#ClVOwb^X%>+kFtY!~k^+tI>>Xf@mSpi=<)xJgq=;=a`EPIkBUp_XnlY3AC} zg>5i%V6eX{*(1dL1ogCHD)fxGTL%Zhd`n>OKxd+@zZ3Jb#P0Ua{(-^7Am+ydaC=s| zQMo1Bk`yFpKbEvU)t?f3T2R>H=aSZ++|`Lj-k)%nV82YIVi!8VHc(byll0wK zU?5n^PqHn6*{p~JiJ$1ZTly0%1LTyzlp2jv6r{LOe$yCePW4avzcJQO7pqyeRIk+Y z5$`|iztk77j$j_*eWU-oI(K%UmXbZYP!&1@R9A2R{^=!y268ddzyEYkd1j^Oz4h(E>OzfAKVWcf0AL~bDz|5tDth||OT4~@y6 zhdm_4f8ga($@$ut{JU#h{#O)#WK4dmPV*mR{;RE#J*f15sY&yf{7HrX>vTmwdsqBq z;6SCn_^*opkC<|d^i%vFfpVJpUsL>Jr+>*F&0oU&SBx1x*-%#DKlL{i{?0Mezx)H5 zzf>qWbL*J=pE;`ePne2-;YgW%oA!oF;2HQ(MPD#mh{vWugNg-Gc|#MgSH#KvU6qi*E!pK?XJfQvW7EvT}K44HU8(38o>T36-|c z4S`qJcYy$n1E~aFq8NGAy%S5-9^uGpR-9B(^ed6!(U9>Y@Sj6|^rHU(89-aIy#*UO znn-^BkM#5Vbwd0NEHY;a=sB>WPRQmk)JI<|)(2$>X-;zOf1}?8Y7E(hpokiPw(C27 zx81F+U0C=h*o~scp)?f1@7AMiz*#K0_jaPSovy+2MS}ucK|NQ4=aXmdIyB~e9DSf2 z|7qb2rHR_&R6`04ai2#MAEFZLOLi_>wKBn5NJt5C&_dmWewFxd3L4`6oK1HUsLWNJ zHpZ$FazoDDN1hB0?JAWFN&gNrMkRzqgWtb{@4K1Ai!C!~ND{jSc;8CgDkB(xUV-)k zW!Fr!LUGlZ6d#nKyG~5~%t%yt>F(=F(w;Bnfy;!(QCFNmV}iXp<-A>{jE2okD3hPa z@@(r(?CR>>+0vD0MNtfhrLt{NHHroadJH5|2E<%=ZEqc{r7iiv8thVS;9aV7W#}}> zehDmpl%cBA)e}^jpcSsQYHwBIU z^HEF3F$qaP(MQ|!$?EQwUCDJV14;2$B3D9>7>{Y~Zdo*)6ocYO{G7;87RFKx4F2y zc=@8`iGl4g>iRl#pz`{L z3UQTl>U0!;#8r`(lYZEo=e!Rc)tu>bsO)Ni(%L+QT>{a=2+=&%p-9Y`ju(R7b};8V znUEId%t0L<|gq}z?o0Ui^P{y^`hUH*V?ahYU4{4kbtxQ-KiE%TJAj@h%wvr9MH(={GC#}c-bh0$(7PpS%{*X4 z8t^28`8mcv416c>&oh1yI4n4uUts(yatHoJ#*dPFr!c?7_$|QOfZxOTG2p9&`DI4$ z0eZSH?`8Bj&?U(BeT+XwIP!fz<4+Nej6cBmbA%)34>JA&;md{j6~tI%bx#}osXwEyZ&EbhR4Ff zs@O_`z}~qC9ogDiUAL|tX1VNEk{i~#ZA~#@@51>DI7zk+Db4}`oLRz|wxDwzTDesd zSByOm0)tRg`xwPaHk!5)eI(wj%{BFP>l5*&1`%9HvQ@>ta=8c|lxTcQeRYK}FCw92 zl~(2Awq>-6 z;usWB(0LEMWgAk?I)S#{A=-EhWCx^pWi;sA0Hz$n!Xyq`FC!j241MGg@C2PF@z*ha zg}>pnKa-H;@Fj>7Tra~t_gR2>iP|MgSCxru^L_SQESn-Vy?catft>^ciQTHsT^+)F zzuhEpN7#zpywLs=DEW!z+S){8*_K$MtRYsm46_E){Gj~>ETp8MLbKc2i)EzwAr=@S z(umpq(14@tyof~+h6380Zp4^PJw%uvXa1-gHoD}+Ow5c_;QUlQhw%vxBU@6vAPzEjz8eI3 z@gXJ_Y9V|H`z}(1L~YB!xx&1Zsgom>)Mj;$g*d%TmKX+^NOO0$kAAuRFiO&zNy3Rs z147~o`x!;GL;+P9@f6NS<%Seat`g4@1yqD6UkX|F&KY0MR`b4CjJd%RehJ~5GDvauBRI2kL;NcCFLS-lBUDUyZ*%YDK*n}N2KA zLB+`*b2;Oggd@rojAsLH9T@5s=1QjIfnv_7<7U)0tKTTJP?j zUSXcWl!>4~XCTbgvNX(@lZhqS-HGpHEhu8HYN z%{Q;fG_j12)V8#Cklxn3mXj-Z#ZlkR3wUJtI(EaLhb@2fi6ojX^c&{kOf{DeM7SX~ z^)~M7-r3uw4kMXI*xm60mCgwBC_6My~(Gs1wb`h-vE(c_1EZDEuafH@nYk)Tx-0WfjMhZ2CiKsY#-raO^e>DyMSn{{{gu(?=tl{CmC>`K zQd9JrtX6a84pBhu=wj3{Ph502Olu05V48o+B&$wA=l!F%7);|&m|0oZq9k;i$zK$W zw~k4iNNydI8Rgb7g;8!DQyJyfF^y4f9mR}t>zK|FaqF1DD7TK8jB@Lk#VEIq*&GzN zjya5S>zKxvwIYU~^JAn%C)VS@1Vg4kyFiznD929Xy0Y?( zP=Vzhk$yjozm9Pq{)TA+6{aRCA%y!?P^TC)_&rVTb(nmJj4HVySyvu|HZfp7`X{(d z9qN%=YWAbF-o#uA3s%h~>_?pfN+bngRn^v4Y{o*?evJIt@uvECq9(Q_R^yuhVWhyk zQG|aUpj6p4V>10BFa@FKC9p@@(6l*TT~}FOCOX1b1z#yd*|+W#;j4qH*NI41pI+{W z@F#<(%Q4*nwIVriE)F+}@HI@#2C)e{d`S_$mPtjCwmr=vd>sc?40M|aA7*qGxynH) ze1u8!h(nQ%GHC&E$)mwETn>ov_3ThWyncrp*kL&w&{Vq01_%2)cj6$Y2;ay~tH?>} zEW@8-(wfK~+Qr3gGPYVp_|ripn@Uj(I^#=4_$DT=^OXn4HwPa=&6_i;A{~u`ZPh(} zLxUoGOR!RwQd|=2hXy6}R;D&fsyeD2{tOd$2s@=N!nXz6QA2*B2tOjLC+K8BdQaPe zHGbXZS`ir6XMPbokHuTC=}{YR+NQv|%{4W`AYwJdwEQj?7JVC*i-7#D5;lFyw}~Kq zF%5``5JBrmh2ltBbz{7&sk};r3BwM0eS^r5ETj&K5QKD8m(?|iC?T8cHrCZ|trO!2 zsfd+h`c@+{38`KpvLy$A90e>Dxe8b=@+8YDk*^4=M1dqA*g{1CG@c--2r43|sv*|6 zxu!`>AcRy$6A5T6tBjR3G?Z-FU?UXw@XfJ4u6zSa$Rxck^Rz`y z62*aHO1UbN1Dx({4Y5jb;DBr@DAHKN7L?C{1FFf?)Wp`K248<&ww!8()|NGH1dj^2 zXrOfi%EXI%0+g0d56zEBuO?zTu{ zILn~D*_O6l1Lxt+EfzQ%Y=gE5tVZIH^LIk>JU%#AiF55?Tpj$|wD5V!PT zLlB3t`}z>CAvJ{tZNtv#!@`osCS!&X!bE*eUp%HMGmTD2`m`Vy36OA0)|wZUJDKxhWuNvAvM1@ByW1fX1@f6jBmeXOxbj%)w^c zZtO%zXN*ZVAgEJ_H8lmpqP~rzK0#48VW*zT^EMT$x=8_y!V#yo4fS>5;>;kRdFOYjNAw=lVvw$|xtr~n`7bB%_DbT>m5 zr|~UC+O)78vlL-`TcOPh<%xddDT6e=#%O1v`TY)Y6 zK7f~r{7V4%ovO-xP@4aQu`X# zf6Kf22F^#zL$(N=(VeMgMNCt!?F*6T5KU$9F3vZe}BV)9S6bq%1mT$)w@An zbuRtcia$#Pvb*$RKuE^T`1IzM5-j%d*Lc1bzE=MTPUQ%A)pv;X3~CW~F-Ix2!WZ{} zYe^pkb{E{1-H$)*G9hcIueRisQlR1}@dk}m6VUosW#Z407eHAy3(!m8URDBNDvo_D zJ%ikeQ8r6sfaEXeY(VbLG}3GNR$0p>T)Q>=Z9*t#8p99t8P`hoIJ0%}_ad;bP3-C! z;s%N?>7LawDh-47gZh~Fl<}o9K@Y*tJ;g$trP?%kX(JUV_=!9ljia=;3vFAAf-0j4 z!Ms9r=xAiGua+Ty811+ek^r}M+WUrtu|Z`CntQZv(%G(nsDs3+c@31XmCt9_iHd&F zK!PZ26=^CidSNJORMcWk1uu<0#ugREJWZ$Klnz^!L$>E22PQc#H7mCT+O4ByAkiv! zU5stYDWIJOsO!j)*Vs-2bl57Oyy+ zJtYy9**A=`L}zzjBEfgejD-c%s6h3dYc!Tqs)*=L4_Y)ud6q(tuEb?@lIZtB<-2Ve zJyd=~9{D_5#%0Yj$o&+WQ4D7ySs*elf4AfbIv3!(j?#^xKCu(ZCal?v*`%=aBE~^1 zd1}*GsxzdH`WZ`9%FD+*hia^pTwx2h+L3jcrnK{V#%U^`g0G`m3TNUj1SMP^mowHx zfXmzhKVKMUD!hQ!0c9>LouM<f+$Tb;D2H8dbEYHNw{M(^XlN>z1c^y)(E*H3@z2 z9vh9g@6oI(X?#A{=%8|ib8(zw)y{lc*XX27g27>im|_@yh}D=V1%P3s zakxiWG4!iaNM-O2v>KC#GhiAg53w3k*iC#2?Zg!OEz&%^*+Wsfr;T|mO5dW;-_Jn8 zo7$%^LPwDx6i^?xVM`rc5;x0q-u0HGQ=ff)L+Sj5Os8MK@$ z2pUM_0{|l{=?_67%KAw1=kBUtNjA?qHTU1ZKTggvc~_;ry`g1~+<>s==h8F@+PiVF zp0?r63)tBi=ekw*&_R4_A-iOIF6Gz}T!-z{0bwm-*P?N16KQ+0zn64c!dje5iN=2E zIMRptw~wq6cA6zZYU3~FDZ}ctCU9sDdmx*xymELSa~E_r1FR$S9wH;t2`paRUQ#S$|K1^$|D6pd87a+ zk0c9}M~VRDktC4vNKr_6M37P*5#%b52$9Mo0({CNLbdXU;1uN%5mbB$uGt^`qDTjzZmD}OXD1U)i_6x8s{X<9p?z+ zaZa+xagHE&oFl{?=Lm7fIYMZhlN>b8DFEY~0x-@=7L0R>fN@R|Xq;0N8s`X7;~YWm zI7f&a=LqnPbA;+~j^LDWjtD%?DNv1b1nY5*V0WAe=b^Nn+a@;FDJJI*OYk8_@r zH>N!#t1W$;)8ToWBt((poCJ8BBY1>yPWtwZbBc|}IYQiVPIBsTju8JiN4RgC^P%oI zM>PL97gqZsR$(pLZ$|e%zfB(_Tej!)j{AKK1rbjkxhumcHGT-yqby+}?qx?Klb!l< zl)Q}SHMP3>XcSOyfY*6(ZI6cS7XDZxaLE0_q8 zf{6g1U?NltCW2E06A@T2DNqR}g0)~G*cD8Kc!G&ApI{=C1rvd;U{Z({OrDcpFlio- z_OPtV)Co9oNx>um7EA<>Aee~kpMWbi7EFY=f=O~}!9<8(FcIz(Og_{VOhoewCdWv> z`fTJGbp1nj(rdYsX5rLYCE9G54*s{{PMk3Eh!1mbgpqbt+L$22ILdx?M_-RH=n`;P zdY{1FGnC};w1?CFOG&t6dJc61a8uABls7+z+B>*+kaW0XN~mL;aQ)o?32AC&cIdE5 z-f>Qz)_}!{Np!v?slMJ-`0Y9)&lwFJ4MmJnCe65@(lLP*p~4idErfT&dfM6G0js8s}rT1g;Lt0*LD z2~wh#AXn59B1J6$K2b}k7PSPYh*~1Bs8yg6wFGNXORy_y3GqZNVLnkyD2rMGT~VtL zEowa{Ppm#9t1W#FsKfK-fJBj^Rst+)2_8YzO5eUYpkiZDONc9KC8rj(g!n}*;XYC8 zLtRlzG{2~|m9E1oxDZV=VkoJ{h9HdTMjfbH-fZU{y&nSeR^D-4xF63tstpMASnacb&wzA0c>2xeH=unS{7&v%AmM4r=vQO>cIJGqC1uGe) z;J8iV~8Zff9($VQFC+3z<>3J98#7Kox_Rtr#=wjyvtP*U z;(hRLiW}Y%;U^97{XLUETIlKT(f>b$pVN&2F=(W0j94gp(o(Y!B=GHx5h?{O1PM+N zf<#~;sKB>3Mo=PtAxOpI6M{a}6@oBNb1~p2#HWjoq#OWM9`e zK~uyV7s>&<&p~!5@j1v7kRf&uDGu=AutPRGh>y@@Q7pu6%bQ$lL_u zD&k5%>=%T>ysfjnomi@II3Fzk=Tjyt*Ie~uGSC;kFEN%88=zr)V0Kr_bLrVq#i zd~%v;FQg0w8mqU*5>3MX*dy>8P)GlQ7k!Mv9qnjeBvBiyg^h#6y0XUVa?C9&>l%ldV>X5Se7#lw!9GMB*PD&2|QxEgZiOB7tx zi1ku%1N*vhw;?^O^&D1aySxNzR4LpUGi=;dt3C~3BJ7P%QP|R23Sz9$!Ak15Le^*VQKiSJiDb(XQXn172N#iZP3@}-WAjrmT0p%PFR0_|6Rsh46 zKyL)P6ju-n=tUjl_CLYL#X!gJ6vmy@U93Y#_fNpZ4!n+o26h+a?-y_v6OGZ0=E88K zah#*q@^J>P;BSDSp0o#7OlXlJj1J>0gci15C@G_aipjr4Ic5K$R3bYNx6x%xIf(Dn z1<3C^5Wv(m0Itafuo1wk1S9}Fp97#9z^YtifVr z*@?x?j>Rv4%`nDfQ|4ri63v==H)M$-i{B&drpSV(=*>?cT46qz zZ`_PIWNFjPSqom5eZGd%00iZU-F-8!jCeo2OFom3H)XTXrCvQA1@B+Z$th@h;K zD#95=P}WHmVGR+Kby7uGO9W+|R1r#v&Tv;bo_*LW%lTcrjag&KXxJ&D#%KaAL$~vjSj{%p~NfmkzP-&f1p~rzL>!b>Q zjBw=peh&R9!jbU@7=Mm%KqHv`+=n3YrRmQPqElvQJ4cV$ zgi;gmOn>@PSt4NilVX>tBRiO6`cn}gh(JKuKEVXY9uQDc-(UiS4G54XPci}01q5mO zQ-uL>0)jOCsR$4wAV|}nif~X8r0GvZI4TL!^rs>mlLTe@Q&Em93ex&rof1VsV!x-8 zq9{o1GfX+5C`j(JOgUgtVwCAmP7PNE$vj#3hA5DxKS|OR`b>XPZ2*$3JcmD7qw>V5f+}mKVO2^bdhI)!r-W)Su zVk$bbe#gKK-u$^u@#lUA?WP6M$gE_lc66S`L!u~PUYd0?@WOb^GprV`n_M9r36H=>zv~II(D9sQJ!{*&OFQk&w_Sh#Bwr^Wc?b&6m+QI zC%D^*p+56NSVK*OT9Y;D1S(BBLA0GHzt~QsFKs8vFSZluOWTR`RojUKsqI8bbGH)- za<>x+akmo*akmo*q3uM;LEDK6z;>bnu$?Gbu$`y~*iMuL+D=py+D;@$ zZ6^}sZYL5Vw-X8QZ6^|{HR%MWXwr$mnsfy!O*+APJCR^_JCP7?JCQKob|Rs?ok*a& zov0AKo#;7vTZa$HYD+&}ro;1&mk~v7CrW^~6A2z+J5l=f9WPUCyq!phyPYUG^>!j5 zeoZ>zK25q0bv5ZkOVy-ruEVX|3aKf>Qgp&1tg(ptu;g9eb?=WaxsMou%{J9jE5WmGTpWf%0LTfwY9kjGtod*>_ z40@xT(8Iz|jZCdD&ZarqmE@LcMA>*}1{_`?hlS3W^nzjP6 zgOYI@QBv%Mw5&$dWVCIX&o;2db{66c(<~Eh&?n(?DAGWq@A<>$E=Dv=zp3lHb1~JmI}PW30FlCB9-jSaWH} z`YeK#YW)d7ht6`t|B3wdlhtXP% zO4(g}4z5;1xdfbcv`}a@=EFONXDHuwhSaYG?G?B1ykhtOVetEsc2^wV}5Hp zzd;-sKCOm5pL~Zrt;TUqt*6yc6er|qH54T~d<$(C|9@lx>^n8 z`FNVM>;DDzkL5{JDKe~`Hab~UDl)8H6A6}z46EaDNIF-MF_zXM>Q0dY^RIxXsJ9Cj zSm+U;#Rz;tqB3Se4@y)kHAo;umHOh!7ZJ`lzk=f)BFKQXf`j~KI%ckM8rGvBC@^4O zZ%~+dhUyu@*-e5f-=OE-XaWGcYG>uk;|Kvs3~iJ`F_j&6gGsd z80YF?z5(vMk@YYi!J<;iEuS7n$qx}q)x#*N8S?328dYh9wB`Z5>Z7(I+MzT(jPf32 zva5$t<&TjeT@R!9qi)#fp%>eVm>Ejd!>BN_CDpHoQQY}%5a`s0IEX?mlP|HA?;=HT z^)QM$IW$}kLnVeWCxq3#o@Qdrce#Bv=%ndzql3)2!oF2eEs;-EMmz;3nr=wpR}2YMnaw*hEObrL!KVSmrALJq1a{WNGU;j7)5~S1Oa9H z1QQ@FK|o1;g9#9iARsSKG6C`s1gVEnVL$+aAoVbc0Ko@>)WaykK}nE$7)3ZL2~rQE z2*)Hr>0uP*xS}Af-_4;;TvMS z)WeW$&hhDCs5XH6^e{xpej0yN^>yeFCWvvq7g%T}GS2@b%S#~Sj;yHpIf4kKD{55Z z!@Md*QKP7VP^zLvQPJDuBWY?ZOpt8nBZwsbDB9NqpfbyuunCm@v>u*>p$Xu)5ub#q zkpYo^{{+!}&L!K=QZ{+9yJ47BP6Pbqdh2G#vW>rh z6l&W8k&RBDq^B@&Ja*pI)uJT9YT;&he(>M4k3)yA(BO^LkM!!A%wW<5JFsa2qB~n zksPEBQ2^8-3V=F9vOpc82vCPe0;xk3h14MgDRl@zt~!JesSY8)rw$=ht3wD*QHKzL z)gcO0>JWmpI)q?X9YTmZ*3Ti#t&rog=vf^?F0ML6AzB^cIr-Hgn#ZF(?1ty5Lx@YN zLnOfJ5Q0ZghY;C+x>~WZI)o5c9U?ikI)o6vI)reaI>d*%>JXy&)gcodW7^|rij&$0 z69Z6@;%QE!nC2>yFxWrTien4YsSAi`Ws@*wP`f2f#IQ$vjdKjW?ws^$DfnTx2g~Zq zuu`6aalG~1a`mFGaSlxvz67VK{F<+^<5OU=4jtPMihKbuUM`HCp9P!IIIXjLmpq=N z2)`zOWJWVpeIc36L-A>Nj5mfLa4w{k1Y1rK*gJaKXt)+en_TG~suKAJ5jI82(zlpW zNx5<#8kTwpoxUYTG3Q9HsJA2B0xayOX_jRa;-wVZ0A6t-8DT7^ay1W?PKBihBnt(* zLfZaDKE>4ajR|);%D<-YN@ixqOlAou;*r0V%8EQf$^3uD zwosxm*0iBEo~W#;FKfb!jChc7$8L<;LAA1IAs8dC2wjTIe-McCIsik2)BTw{Ngo+b zY*W0Phr~}I0E3$zJTe^WaCsfI1G`(H2r;r~p8*!O`BGJ0 zdw(*S(2m)ZQ&`p0j_#E&-0(7Ll;b>h3{ki=#mFZcfK+ArC3qwH8;}hHWdC#U#yCYT z#2~FAtU-xypc2og^P3nUi#hBJhm0_Z&&dJh8q68q+`!k>xs%>nZyV}{sVlj)GuYAJ zHs;v!6c_PQQHF#Fu@~oB{}sj-SjklyJagwbw6dUQ824w$MNlg7%#No4yGp#EYb19W ze2k^iQwDgY0J9bzrtqZJEpX*;2xgt+}&^X{!;vI@}Mc{zM zFn&1e6ybeLaG>A64~!W#ctqSZ6XugfJz>Yj3AGkh$6Z}v@%JCozx)&L~ZGt`9q_U42xPci=FyQqU zOx*d|MiIC%pR7w`iR)nNvK4P~)WCrIfP9%W@TvU2$Z)eAIZ2k=m?H4$d`c=bN{*av z_K-b)cDjk3W;u=Y=7k8{oIjiNlr!gzk{>aT6W~ZCGVPX#z@7PDkVz5_rG-GdY7 zCimeAiZPK6agR%-iy~uUAIO>Dfr(L7@FG(gW1aSHxS-}TVblozi!YF9w#YKbA)DGS zl1Sde&WG_gCm(-c($$R^cOYcsERlB@T3lT8-=**?#Lpp-=_q|3qKACjNiocr1X)?i z3<>_`;dK8H7BTN?N2D=uV7=q+Kf`h|T3pvoG)iIYG1h_QAp6UDy_9%G2fWZ;c40?F zSC`t}1}oLyQMeSMLI>!nn7vd0KUWm>h_*2HQItz3BM^!)v1jFSUj}jLe|OT&qcGMQ zBtNn_)@%9uf8d)G>4mbC*HR>pf;1irh27*?7^Rf+JhVH7mJzyg%7}5cw6*ynoGT+d z$`NTXydPexF)FAC=Te0CfK;gJbuLw3{!}CY*Cteirw}))k!rBWSA(q>NXNfMP0`xH zgHEb5-y|ry1pcuSWS$%myKRM`43^N2rz#}wbf;_%H4TNtkw`)`fm z6`z5zH>68>w9?%wt5c(RDjPds&Y`VJ4*Y+}|@>|#T za>n_sYkLLb?pxRPN~Z8z*Y;_Q^IO;UD#m?pUE8NK&3)_IK7%Rxt!sO=EDir#*Y+Cb z@xOI#pUFh`t!sO2<`pQ%l((+!voar&Ww}N;RH(!ttHM(FO&Sl2z_6OJOEanJ^kG9^ zoxz1h?2tq5x=v|5sZVU?+t*~?2?6N7b!}hE$sOUXYx_EOOMmOyKAcGg$>}Lo_o$`+ zt!w)TyAOZs+CIuoqr7!(U(a!7N3TO3>>C)()#c#NjeTP#%`$XGp=U^W-`ajAGlcOj zdItscEXT`_THD{(S_Sd<+HUPzcNMU)D~R8`tp1Y){x(S!BeWpDebsvvhB% zZFyI3KMs?go9yQ!b;8ccq8@0@G$Xa0J;Pjc+126)!R5&wJ1Q}ZL<88N#k>nWLoq6p8j%ewFa zZ+DXHj!j?>#qt4OpTk6Un1xb8q14l}fwA)V>r8dp*@|mxtuFP zMlS3bOkTxgwNpGG?3wJa1`oXB(a6T3Zeh=2T4}_MT-dWY)`|#bkm(1J?K$jP$>GZ# z20jHK?73WFReo1`AP<)??Ro4N_mZaH9TfH{?AYvc)E-Y|w;hq1-j+5Tos`#v?fIPg z4)?YDhTSbV_em`m8D7B7T@h-Q6`lR^*v&qSFPMyRN=|ahqtf>JEE14wkI+^ZNE>GC^7X^ZNGXlIBV&y`I+R z_3cAhzrH`_LBn z6o@hLr*tj>VOQe0=y*(PB#ik6)r4`}4R=0;o8P}1TXOS12T%pLkOIuRpTb^59`Y_D zaPi*|CSI+9XT5qjk5?0YSW*2I^uiMSxrLTjs{s_%;?Fk-YX%?+C_4w($2a+FOcSCE zyE26zhU4i#CR_@@*i>{FpR<7%-3s6m024n?4h>>bTCQSy=}2)x=IkjBwbm%3w2Cjl z-_H^H`2&M9TH|IP?=# z8oQ?b0Hoq#)Pk4UZD3WI#kQ@HSf3n>$9&cL9)lu{bK)-I?$aL)|mPd7z zP0SNVG{*Z>lm}9x{6%V%)dO|CJ#;M}+p2$AoG{LKG9w8?a37B&`LY$lShGRBO#0^8NNB&LmGHwpMQ7yruMT_wd zJEY5(FC5t2vl!}aAWUOy>8RRmV%@uHWn$&>g;4n{lsc_tOWB(^!P(W{Nm$C851SA- zC$W-#>z2+ZeU#m&7S;>mv`ekF(}T(n8Y$1cg*vGS28Vi*(DSr)LVYU&#w*2;t4=c< znl{(PXb&z?6D!*i^AqEn8>`q74AdVk@AgbyHQMtgZr&xw!6|>l*57 zpp0&6sEO4<#o#(r#A5Npx`wj4@~T7}Z)jDkn|%R~W0+$Cd#+85IPB#GQonf}7Gx^o ziVEBcbDby?jb&Ap7?50AZT*&Jyz1oQ@v?@dYN*N^V(XE@vYHy`%Z52t)|9RHab$QL z6h&2aZGA-y`;7H*5lMAw^f^USosf_c*q(9YqL9jKnksN8H-*+*UbTLm$nuDd@tSJv ziPqPxPaup~BVMd=J>cE@3Q#MmE4L-KVizEWS8PUd#G5uQIo?oTTi+B*Y?5ikBR8%~ zWf?XiP%)L&&3N<1B{x>rtw)KYJ^>)l1)h@%BLUk$Rd^G}^}!`1$>Tk;7c&)ZqbM5g zR8_5-L^nQ-GK84Xv32Tgg) zyiv^eI4bKmHzYP}#?g?P>e}iivA}a`EU$`HY_5rkg&vKPu&zlgN~KiSmE*{RSnN^b z=r#?prp*m?jiSUOL#QQIl`LCz8eOAFgMbjrJZIhVxnoqb@g=UBVJbBPzG@+R;9YAgi_lVr+Y3`dYpyII28eE1SN3Bh#ao-YR|b+ z3N=&~Mg$i0VvXmFrk^NVzge8=k!m1v#99&V+>4Q@rN6bqH$+`z+@!~-vIaEZa>^}_ zPfUoDwB)hLPg=E(2P8>sh6v$tNaE`nx0c0WEt+ai5@afDP6Z~JorC)@L}r9(ZRu1~ zduYNjKa5Qx#$2!B3_HQYRj4v%Md)ab+I>#UK>bPRC~{4z$`Ku z_b2m=Z(9WQofD!0(dUE+M&oLzz~BT?3Rw=q+ZI7l2(Hz4DiQxVA;Nva+8cW8#Em_bxyer}43aC!KRE+ypbU#mkCoWmDZopa^YX#2&V6tOcb@?Zl;a3bLLxWq`vwb(i`?D zGv5w&k?|sGPnS@bOXxbR62FHi9l1STv>f0wAUSFWyXXbrky-E;HVDjEia(f9laG{W z`qMY)b7gGV9E+}xG6c00twY6@OR?>v(4+3Fr(sGYTHCRlG5~M?yb>^SjM+|J#BwG% zIWQ6{jUdg!I2MV}J#VWJ;2DwCk!p0iz$`&j3uCj*berhGEYJxEDF#$TfiX8~fD*O^ zIb`G+6e0yg)W|p$Dtyx|`6$p#xG_48g2R~>>BrW7vc@4K;?6D*bE6b!4gpXa&XG~% zxlz~@MZW7>q6LOgok#S->EK?B;K%0~5ei&77mfEJ6TH+xV@J_KPXh)@zT}>qhvct8 z)Ke&%D%`2;3FSbEoEFd-mOhH-!N+9i$EG7zw-{!CDbqt|qD-k6WKqsqWSkY1MQ>9S z#j@OH+itl*?SjL@R5h{+<}N~fgm}#J7Kzjlgg9k|%*?4CvkG^91U+VfN2P{P8l>V{ z=*39Z4i_y_aYp7w6^{^$*&9gJd7j6TDaMk#24i!uIkF94*y#{|Bd z^MEW9y26Jdwv`kH1xaC0YEJVJu#vIKmZ6;5xQTxU(4%v zZwbGzdwU^s=SJ67-7o0x`5}LIhtg4X_ps`K8M?DaRA-N-_QY{sccPw=nc6e5bkE3k z8@#Vhs1kFy_^FM+f9}ZbEe~AWd8k(My)q=Uz=tA?LJvJFN`a17GNi~)r@9=mxKE<^ zNMr=HoC>Ap*wHx-`%5^(>sh+(K~DP9L+SiS(}UEcCL*L0;fz-7klb4GQ_LABm6UX* zzt?jAPEq;`$QxvIxjL%(g;R5up>q})EoV_*&d@f-d5NZsX8KULW_hS;D%qaQN6Vy& z&h@jr!B(TYlC4M1QR~U=R8kU#Q-_<3(ejEe>DD506ZMix-C~?-_P#8uJpLoiEVUMb zx)!MM`^Gp2RqBp$VJ|f*-V8N9MRch|NtFI0iO~VbK8SfqYT`!efqIG%(NhFpY5n8n zGV)OK1~TRE1X4CzjubCUm&3m|@uHk#+NBF1V-5;1;`C zmM3i0Od;EAPms)B{h=4o93qb?GEw=SXK!9m-~~V}u#j%ljrYQ#9$2JWujWBhg&lsPlBA#>Cj0 z_ZIl)y@g(yP$z2MOA}*v-a8?+8%@-Gd{Szone5h7+DJYHb?+Oar=~WAX5f7y@ow*jnIyrRk)pECc? zR;8Ka#f%Q)rb^b7TEDm}l6+lp1-jx2{S`OfUvWjL^)f-%%S5g`nQLDqp_}-t$m>j0 zLA=_dDnPe!Q4~~q1u0=YUG>%(9`6{k(k~2EwEtMmxinh3j7usskj{;ZA zW)w9o*HOp{pCBDj&J!gCz@*e9c(pv)3!f@x3M#=b`hCMRrYqjCOiF_3KCeK1l7%|h zOutuZ9U;Sgv&M`}s8n4lo#BwB2BEy?cO8Mi>CsRd9hQD=; z(4{zKm@JJUGDn_#q>ORt9e%Vr!Bj-cNh-;(?z9=I(|Xfxf04;r3yscjLLv&F4BD*4D?YuNoVy z^A8?5a^!j|cae41dlw~lZM^>ew&$+ce$#Of|4nKaA zb(eAQsP*UfI?YS_zSY0|jE;b5T^eqOocP`DgMfb-+5q^&6q{o9k=# zy&J1Pv}I^$%kBrP`;7MW&dlnelB%`aoW-B4YqGxi-k(@sHU_On-+O^|ulx=s&vZ)q zJ1)KNF6(S(!{@KGD({uiHD6+79Cl_ni>;kMu?nAk=7{wP^YEcN4jp>jy7RryT379V zY~zvb)mGm@`MKFRc)2yhS!885-}mWvSOxc5ckaKy`Zmr)8~;|dc7b(lf4e!eket3Q z2CWD7Z@{-1JZr4+&))axORbVYE55-hQKYAYx!AChFaLC-)!-CcdCp;T0@~#o)Ql-s zQsX?SmMRjsAK49a$~YuQP5KS{-_zOE*4iSk(3Afk%lL0N>GX}2 zW^_pmI{>&B-bowZXxx5znp*P1nw8G_bmK$!S8Xpja^JwV?T>8VzGdyUtwZ>?-5NS* zMecoR;~lb4ZjzbmdP_4Ux|99=D&5^JgB>GFa{Z{hm1#H+SVhf0FwZEk&N1g0R@7Ov z*XnDxqUK!Vs=e0UgL`F4FO@0%_*8D7oJflVmo!XYxpFt?E9~jQU-+e9mv(Q z4q9c+)>%Kxecu{%p0z$}I4{@qJ8RF_zTEo3 zd(rT$BgV7VkKTK@$0|fibPhU8tO93)6}`8{TJ5x3dz!6Hhs&(+B5ThDr&*b6ti5Y0 ztcgzUZHKIT_P3uo;JkC~#b;L5ZM60^TZ>+{rXRMl+c6en__ZF~-)v2AiqAxwx55}c zTbHc5?DVJ#yhQ<&KfHRvyMxx>_ygR_qSU`=0fAXPg~PuX?%A7VRN3G zc$|l_ILq8~U!8kh8FRqm_H_*;fC(+wMZ=+4JmCE9bD) zbFWqU@?LZ$tMez{*uDLSXITU2IL%L3LoZ*r?G&ro$wi>WOE^?#`lrrYT+^|qf1vjL za%zY3zGzHmomBbIx->=7Ya1fes^ryg@!^vW=%mCO7LCek2VyuWapo&%DqDT|&?+?I zdzM3r4>`-7b@h9;IyJS`|9!^x71pc^DX?a zzu^ok_po(jKZ)}niAB~m`!6{10qbYtS?f})uKpsN;>Fg7B`xOy^!#S)`u*+B(c0A~ z&H(!b+s~}tX5F^`G3V1(`1X}nxpVuS6n@DC)y~lx=fD|e7|rrAl$j~MHcH81Zi9d5 zN+aISg6Y-v&RvT;aTHoC-U_2TOI9p9?R4Daf`u~A4@w1#9980rwUR&uJbKtdP^jpz z)UbU+gSsF_SHiH|-?g)AVJlgZ10PUvNam$?l)R*>Io?Qj8+M8`t+!ES>%);&k+JdY z_3yBTo~@~tG61RhAOGQT9q~GT2FxdAM?+2yS!cly29DH^H6l0=FMk!8IQ)vAcF$(_ zDoMpbr_53bY4;uMzEK4W_Y&z&t0np!%PE!L^Qo5bTbG$#omo_tSzMMmuPn2qEOXWR z%%_YTE0hxm=Gb;lFpv|qa>ilwRlkygQVD2Whp+MYDDHGVlzx5e{$);IS!Q-wW>Jo1 zi4ze2MF>@=x)TyWsUyC%dcoQHm-FW5$0J{`IOj z#|>ZA&sgbx4*v8KHk#&-%8lHQa=OypM+=woQvy}qG4}Tv4j1{!v1Caq0)gM)jlPJ17$TQrk;hXg>Xn_}3L1(s{tB%?VgJR-h`!HU;H}!qe}3cOp&ntH|8Z z`bP3Y@!f)O^rZOf)TZ!5x=^GjdWFjPh|hifiun-VIp|K*snE61!DLSxz5FQMMFF(k zzn037UzLAld^th0d|a>$nXE@HneOtl&Feoh9rXsT;5+#q9qXz88>zABtK)w8MlU4QZID6kwG zf+mn-*%UwVY14Q6T|Q4ItX0Lz^wH{t^6>&hg&v$?wO0Q8=_Gf3*8qpNQ`{N-m;ZP+a$E~KY$OTB%aURp zwo~fcr8T2r){1J$0F&!q+Yd^$OQXVKi~Z7Kj%Q0kxyi6cu=J!{VGYDJr^D$=Gv4Hj zL0jzcyt1(!nbK54Qi((oI=D`le}wt}{=uO>`VJVS?g$q)^sBcR{ATwe`3 zAe|&|DIV|dG3LITzmyXV-{;Y?HGIEEE7I@-9<5lz4|=qD8vcq$E79;H9&MF|PsPwk zKNLM#nM?gyH2=e|ioYnY)m+z2`~^wz`2I3?hwH0B`#Q(aO^X90*Zji)-(N1 z_eeT`b~m75(bMZw^jq!vYSg~Yb$vA|9`CQ_e!%mkx$pLT9cS>rJdziLf_}l#>->yR zK<9BB2i&-ZW#Vh>^qj}?B7?v5NIDt6bdwYR4TGP(K`f3{Pt0~=A2`7Wh$kC5FhK6fA+ORGjXSXJg$I)2nWZDRiakOYXz&4-)TqH9yQBsU(hCCg zGeqNS44#U~r6M(Gu-+v#ZepmdQ<1k}x*zsNlKj4v`VDLXZ(jOQMaEYRQW->U+HnB*1Su%3K~)Ayj8&L&-WfAvV0a&%Ym z*APv*V!RX#>o+PrxM<4&^&1WA^)6`HnooZ<#y|X}GUVI&Jzp+*k8(UwY!8J-LIC4vuoBVLjwfiD-BW`vk2>!+M1{V$Y7VhwJ!@ z{A%z^9*H6MGu+pv;l6Y_$MDrW+}E%o7-0YJ;V(M$hZsEOkr*2G(anbnPQy9OMFls^ z0~0i_-(PeLe>x!D?8u*nX{k-WvEt)VRt^;!c9b{iPs3WRIAUcozkpFX`9o!DFzJ#S zY0r&**Q*c7WQN?2t_01Bloz{>4CoLqV;sTg5O4KJ>ArN8eV!etoHYE9M=Kf4pKi<} z^h@n!q^f(39Z_s2G~cjqj425FqYP?)BSd!!vz+JpYSfi;yGPQU=zfp%D1%RWr0+BM zyhr*OgTL}fe`3%})Ub+UjyEhpwLjhTs9HQ&xl;4xxtj2;jEXe8g9AYsKc&MP_SG^E z1*$>qZ-jQJo!U4YN|uIaa{3V60i9GgI_>d&OhI%S{FqB>(x7hU;L@ND9RrseC<8nA zs{=H|CJi2BC(I-@r~?F-2EDL1Gr#-U!H^En5X~C=AUmO$G^hgvmj=DChqVnIkY+W- zp|k(Wfo2&BAR3e0PYJt`SrPhCC206+o*$zUUXYIdKMT0E&?_+XLlI~&;gYs}hCy$o zvQ2}JyIh-o%HRo?v`vGKyDr<5#oz>&v`vGHT+*f$44&nZwrOyyOWM@JV4F+Yromp9 zv`K>lE@_(v-{X=tY4H6nX`2Q=?vjR$m)p3&_jmu9ycA3c&z`ju{?8g-bud5<8PMhIJnsRM*B zonal|$pzHPDbc>i@a1b83$97?hB{xMGCuq-XFp}W!P9p+-_Y>anGs=?3=c(7X0roo z;7kVhd8BI@{I*B>1A}@`e1xiF4pHp-+N8rC^hi4FCp^;du!r?4W)`QqzM6H|@AXJJ z?C*J`;bH5Ek`B`SdSP!H9`?53VQ(8A_BJ;#2Iw#l{mRt`%{hJrgLGSl1rBOPS$a*uQ~gSUGmt)tUz1;KL~+|MG0bQZvJ0h4qVKzfcz zzu+%Ox`}M{qSHwxGi&q%mrnA99!V$pQyxhtS?eR=QH9|Bg`so#tKcts9$D6*-*`8m z#+3|i@JKqHI&tu*6Qxr!LN9)b1Na_)(aWR}FpBTj+?z)nT4%B2BK{)m0S2|d5u($+ zPH}z3wY>hiM|y<8AA2N9kN@{qPVX|8rKyHNFZy8;Z;&0?k`v+P!_#Oqk_L}v zhSA8DvndEj!JEJbwGH63&R95z_}MK)9CP8+u&AvY)RdI z(pIG%poreDiS-yuQeW%liL|y7Jg-=vhBJcH?HwIrTcrN1WrFT32|st-Y-_#th`{_s zTip{uQtfxpA*uedg9fEK>YzhXEjVaUsuKr2_qSUSO8lo z?w}bBYevJG(XiuSD}7ek9Z~l;NqujGwMqSv2vgn_Ui_s~78pRP!wwphsw`eXB~_W% z;oUQ~fyis%aDG>XFqc-I<%qr6G*+>JaKJTC* zslM2Kfy?hU31&HIq>{s+fy3tChrQNl*o(y^C7~w*$x*WW zPgwvYLM@ydwCc0eyX=tzQ|kOS7)v9C`ob%z)a&iB0c*LGk4WBWtHQZKtDGe{x-6w z$ZR01&1%0n>cu9h_eWTp<>U@q?KL?zU@eo%4VDz~`ir(IoEv1j0ZTGuk2JN^pNuf2 zkhJ@_rGcX#venNK}{J+;URKlr)q(uP~!j(=MkCWo=bhHOOgKTM~H) z0ZILYYNfK3w0f13b)=?R9Z6~}t$xO}8aQODCnM-CTYX;yB^k+iqhu+mtIizLWc_6 zA${-r_~K-Xdq?c|N2`;Q{;ORh*^q$0XjG!teY{97k=7 zhvad#*&(Q;`20l1A#7DE)Kgm>f=cQk{Egtx$U-Hxgg<`XV`QO{dIW!q&^4lY++L4p zxRcprQD``oE0!UQy@+Ud*D`~APCh2BaMTWX$iD@`#{`Y6cD&}Q4jwGH0E_XFGPz9@ z!l`!rU~0}(L#~ai+CDlxZApwiEm=ABj&cWyTj17~w=~Sf2dD_jkKwPi<*HYv!k7Gn zko!;WhWvO)!_VJrBTLal^wn8Q;PcVeS7Y`U3 zAWX8UdHgvf{FLQ%9O)s=LL3S2ub+t{q2xt}ppr_1LwtUpp|RYNwg1tCi{U;7m+3WfzP5kY6Oi7XX_G|D;cVGl}rKP>&+~{U$(T)Gr6J7?^&o7U5ml?(P+|F+FADezf|E zZ52iMCX5h6!>A)2+!e)77*TDGhSz@?e1f7_mB^cbJXnq+CxM)qi6h*Byy(4xh~-T$ zX!&>EnM&RNB65==mR|u7YS_Y|#Q7#FVtJ=?I9o;6Srf|-pchaAvcBLGkjAc~_#{GP z`A$01E1v^{zOR~ItZMm8rZ??b>?yQ*lWi4Qp87b;hjt!;EH`pPU+^Q3$bIxjue!8!FSLE;z;`|SJh%b-e8Ji06X4j`K2LAJK9|ti*yra^Yiu9x z4UB!<+c<$lf_EAvbXR^!dRWWUSf3$!nXPZIAg57 z3VckgJ_RJ956pVqjvYJtaD|zmwZ*><2s12bjvhU_Yb_eQNbI`d{vDFt8|Do|b94ZF zI0H1Kfh=4Ki_wRDqNSqJZuso%0~GP`^|my-_N_~^YlriDqg)rt+I4iqKuK%)z7$bF+31up{ufLM_T-{b5Pdxvt2?jdw&F|<#*;i@3O zYew+m<Ds!c8ki=9j>a*)uAa6XDBfW~>^ukJp&Tiq5LvieGYdM))5%tMXge zZSI;>7~yw2tMVZ*0Exl~&%mlC!bfnGn)!th{#F;^@+h%SL+|iw6UI9OxTs|@!k2Jm zy$Bxxoy}3^P13%o)EA-RoOnlzasDzi^w;y?;Aep5{H1L})#$Gw%-v=b{9!^{b4q_* zd6SHSC%}l~!7ubz0Kc12@NrxO5G%6JU4oslY(u~LK){udU+B|$=hJ<-h?7L2PyZAO zo2nb!i{$l3Wh5mZ(X7Sf|SM?XarEdK70nG#mZOCtJ85_P82!KT4dW?7a%zA7~ z;%c-W<7aushOzg6Tk6ZP;XL>-A{yD}a%}h!XTy7x&ID+~zr%9HWd2`;4Yki0^9yU( z(5|(1&?*=j&{^}aG)FV*((K#;l6Cm(JjzVEnk=@bPyfpK6oWe9Q<;fA0y^Wb=R__h@@?_eTZB}e@^n5?%p-V8gM@ulyjP!@Ak8h?zOMtdUh*B^q$_$%>RdNM)z zE6AA-b5y$F*dfg~Kyy)O2&DcGkfkSS19&+^@Ec&M71VG6d0f0~I8#GDdvQ%jDgQO+ zW%AdB+3$q&vOMqM)6vVV2gS<|VKAh90-VvycRMf7;tc;{MP@5@6CFaoct1-QMgrfo zX(Hh?2srlq!r2NxBWNSxo3NiQ9F^N3%R~Zmfv*o+FcNrIcC|<#%_6Rkz){Qstu6C} zydu%^1n6uw_Fkqh#Q2@GROTt`chEK}H?k++jK{_x5m1}hV4FOUw1<>|EI>m;Bk^No zQD=U~De?J*UGr}wOE~E*l%Wgb=cPyyCQIDcuxE^)&*JkPlld>flzu#uE8~a1US_hy zjX3jJN&GCi_|ch$d>B7xT>Mml&@O&q{5%7bm`rk~CIC6(hj#-_{4o3Z`j8{zXAXaB z#SdrmTVXjfn=^|1BJtA-8WTU>4+=P9zWFHqw2cj|N=Ww}(DXrPc8FsvmHHjV2C5Ol z*9a}4J)N1HJ;lL)2AXs0(0m6pE`CB;S1>Pp%WCNIn9-TC_-(L%6H>~5I*-vlqJ^Q7 z6^QFa3!mH2g>iTW!DHg^Q#jLwarj_C;_xdF_OBHYO^m%!GXXhc?Dx>r#Mr+FqRALz%t7nL*f`8)VoZ-Mi7|d1-^3VhRR-hL zj!g6n1GT@F9{k(YV+>7dc$I$L(L`PjThYt3zhA`53+M%XyVg204O4`%stpk`rI+7; zZW=HDyf4U}(aZk<4=$N(a|Wo+6!h}z;bP-uq^1Uzr5|khhwb#e+9G4@SR=5jVp1@L*;w4^AGKK8{DmGGgbjG4I**Is~6+@VTl~=G!(B zLYh6G+3IKr4FVZ)G(ok!yQ8bO%X!(whS7(9=NJBXrzR>oHfRBm#foHrC^qyRMUpc% z-tJ=K8lQ>f$JppNA+f>Nwr!3{GF8UL@3`3bGC-e|%tXtG4QAQamRBnt1QQ)d zfw)9rmT19%HSu%77i4LfCHA`bc_UCw#w@|lSl5dmKKWrXfd1tXisM`BmaR`4jZ@Oh zfu_%kgnNOEc*y-gPI<_G1~UI0>Ylb4{=WigdnS%t0J7i^S;-iEXlH&=Elm|%k@#VT z#Kkppi_X-A9L4_tVnuTAJ8$hiKyAOn`IE|5odP)|NeN<`s#k4}r#H@JK^h98C+*bhXhW5uOe$j|g|q*e{_a?G3~}1LJI%YA^EU#dSTG5Ih{{pfO0- zA|k;UK0-(24xQ_?4u^j;eYh#<7nSq{CB3So^D}6xkIi)>O-X-VNk6NkpHk9~E9sL>N#Cse zenCk;tE4X~>D8vB|ALav`+lC6v)r|NNcROLeYPp-E6Vb7s_z3z`er44t|{qPsJ@?5 z(oZYtcPr_qnv%}jcb-+dmX!2)CH?J6`g~K;mzCv5l=O^}{#7;0oNh|`Ce`<4C4E6j zzgP8rp(*KKRehgT()TIpykFpFn=?&G-=g|{QAuA?($6aCi%m&SE9qPydvTauR?`1e zNk7|^^j;-Bqoi|T?CI0}Vl1$I%P%!0{l}H`^UCsPRNsGF_5EB^(yvw0FDdEgl=Lqu z>B~(?Z&T7&l=MX<{Q)KYd{ff1O8Qpi_e)Cp^O1D>ZKk(DXR{X`&mAjZQ5q|g<=hmZ zC~J+^CuhpXQmI&Gv+INv=>=pai|l+k2E#pCBbwx{tr3mcwRQ6Tdl$~hUe~A6cZjy1@=m{meW9=0$uTIl*qr^s|Pu7zDx~v`a8I|X^ zs+hk7Z`j;obndRJIqrf)%VkObk4k!4Nx!J1->RfvY)X1nNk1$8lKTnSQ%d?xFom^- zwfv=~q}P@7B_(}cNk6Qlr=BzScrNeG$*huoPDwwlq>m}-o0^jTZY7;}Q>|4^jAfUU z^v9I+wx*_Ynv(uWB|W41o>G0kQ}umcQ_}BL(w9}=7gXPmtEd}jO8S_RzM!O6m301QpKtl$ zrle0Q>1UMmStWgol0MRu^f@J+PuSXi*-U#zNuO8JC!3N!ucTj6($6XBPb%rvrlfyR zNpDjzzoMjXRWU!?l=KB9eT$NQK}o+^NuO&<`t3^kyt4d=lD?v{&8eoO4=d@Xl=NXG zozKbG$h3KWzA5R8N_wxd{3ey_=9T47HzmEKq%W$z&#At*tC(MCN;;pnu{N~(iwjEn zStXsn;OJZaOjFW_l=L|zeLzWHRDNG(nofzJ^SQdfgoLd z(fG16ep_-}bZ_32%mB{I!l$QSao(pmZ&92x8{j;kI1eb!X~lWh1~?BX&cllHR>gUE z1DuBy=Mlv@qc~SL!1;E?nWNI?XY0XU#rf0*IFBgKRmHhaasJ>2IFBjLvx@UR#rg9a z;5?}~&neCWiu3XYIF}UXQ;PGj;(TEPoU4lSyy85fIHx2>>1^Z1U|n%OtvF9A&RaIX zc~)^=P@JoZb2j2^BC~TVvX>XD8_7S}*(B$#GfC+^cRCH3A;0@BKH2Fp?L=oS#=xaJ|Oa`t3l2Hku{P* z{s>4lmpC;dqvXI(2we;&x3NAsh2 zoO7$^i!FS>)1$ebh&NL96Y+eJ1F`~JM}02?*=!nG4c`SM4J7K<`+y94$VY)l`H_~! zPkufOnmJGB$AL%&Fuu5?IB&uuqFY?6Ai#I5gCG_I`WZARxZ9wF62SZZ?GVjsc2_%*3i$(Z7K(=_XaX*j?9`Ybtd6s_|$a%+^ z@smgXVKH_NrV3N}>dDkTFZ+ENt>!$=-v(mtW=K!JPg$PdUuGA`k(Unvx#*-*Y5_JLCO8S^Q#%~)z5u0%0* z9yGFEC3k%18pwz@mY)N{CpM#gwc@Gs1&`($AoCt_J&;UHLw;xOz|f-Ci*C?VJ){qa z?4XT4w*ldOiAZ`42%j{FkhcIi?b+}HK=^rgWU)^JiLwp9i&rey$Imzo+4qM*Gmm4$ z`F{YJ^EiJ8$dZR#!3XD2BCB2vWS3!q-U!6^#T1Z5w2Jik6(F0u zR`&oIalHugxe~HpYS|&w?ERpTbrfge@u|vKA+_Xj{x9U?>HNn)PI*238jv<96ZPUE zkY2ANP-SJ!A)vO`fbb8&{~nKcL0&k z8KDHqZvZ*vaeffUq~ja}oIgP0Y-sYv9ZW4TS?6%N3y2xZ0bT(=#+(T>8r_fLtm^ga z>p%uPx3YYeBOV(M%b?_jxow51MH8Mb14yF1l7k z_E47VJCP9}a~?7U-`H#a^@vQzAK)^W0=LsNbuhla^ zR-E)8H(IIH(RZ(>-v!NG-gv(n-^S>3t*C7`klC=6WYJwfhCMz5Y~}TR6v(8<=LC?m zUWES|`FJ{i0?3@F&zFF-InF^)DRbF9=jrn(Xqca)_<0=2CLkul8HdH-j_{p?QOxtZ z_{*Sy0vu*2Emx*eAH>lh!F0V=&QDd(dp*4dG?%=7y%GpZHF8w zYQfP^#xp=JcpCnz%^2ODte*wKy-Z}o8-dI^>Ev?+$byI50i@4E?gMffw1!U*?yL|$ zo<5%fO`9kEzXRbgi1O;wK$g7t`7V&ON0Yt^QTLpAhQatf3uM9T=`BFW77cA|ycx)d z$N6ry!gZrFDya_vnfGLU8ptJ&^J73*VzCOu&j8N=IqUWPAA#J6xjX9Vl~*H&d%d_G z2$eB3S)8?x6-H+!@Y@)<@TS*chqNPWD!)C;wJx$;=4P)h~)K7Wv}R)b<0ued{i=4$m& z33I{1Q@L_(qA-@LR{6HuI=>u_wa_4mX8=v+rh|OGUI9CiS1FAZX7klLu316JMzJ!eo14wh(?(9yg1F6P4PrU@FK&r8m>t+qJ#7Hydp0-nMP~_H4Fu zn>FZ;(uv&3>7b*dH|Xe21@5#n=;(@_b_5++ciPn*bacA2>~^${&cG+*&bDn2dZUxx zpvRp+frv#%PY`kF*cO1n&PurszL}t7TPiqMsP8J|OTo6z06My}jsPmUvrGUD<*aji zfOfVw*-WrKwXQ)Lny#a#YkOx;rZkX(?MI*%bETl8cN;~!)2

    }uHt)ak%s%1O2WwY6yY6{=Xs1i;PM54Y3Y zk5+0YL0K&nxAk@hwV86gI8^{O#vb0m2_`3yF{oF2cV}k?u_|d}aQ8mL%?*BciR3}S zr4w?1LIrTZFvE`*P0a+=@U2E+b=Fj!iWAf0xngOiR!9YV2Sc;t2Y(L32DPye*)3Ve zV&O-0*Riwt8Qdc{8RRRKV?`!ie)mw}xI9%Y6{ZU1y3cOF*ms&;biBf@Sccfim{+Qh zVyqHOlq#c$Ze~+7;;qHNys!hmz+fW3ct-&`$BF6jP=b5`mL?C56;6h+;9tob!#k7X z>#{)}BtjjOiqq(WIAo}QklV4*9Ci}`gA?6ZGo!Fw4URrua7{HqZfq>N8h?k{4U`hb z@U5Jd@P^g>Q zYTQY7FABxM)ep9*@O?+PWonng)$nDhATt)J#uPZP|KQ%+g8c^vhj#S$2fKFPd}MEM zV8_tD-G>j0?zAzpE0%yWC4@Q?6bA7m&I@JyCRia1Fd4`q!ZWD77ZRpe1Vm-pi-gdO zW?LB9bTEvVA;{Pl^)wq6mrMfgJ2EY zw}*@D_>RK_TYn`tX8XxNMZXunGL(tYS;e)k|UVli1(cX9%1il+ghKkIX zh!U=%kVL1X6Eb;Vdf~t<;KyCY+;|A53z;2Fr`MWD?I$tTJf3qY#s&?awh9^yE&HjT zCq|v6*Q6yn-t)60h43H=1>t5@w=g-q17y80F1fNx84vekK|Y{P#F^!hEsUIy5UO4 ze$0^yR-X@(2@i|3P&4aincBliBR(UW^juGdq&L#B$Is8yaf=2#)1YW!_J?I zwPKIE-~^But&KCjNg^uMCpQIPsRXB&QzMhbEVjy=z z7?I&8ie?KNb=Xa=F^_mVCWEh?3(p7$to0iDcs|&8dCO|g#QP) CGWVDO diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/tmp_save/_1 deleted file mode 100644 index dc83098cc13e92892e12eb19d10d4ab8077ab16f..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6763 zcmeHLSx*8n5C-r2zR&f3(`7YL-!wccMqY?-#t=0I*KqjvwbOP;*?!1M77e76ly<(E z>G5^ibSdOtOJ4r*vv_h}tW?8t(ewRdKjR&xl#GZf&4n6Lv(Y|bvC)2r4nTAeqC*fJ zhA541&tVjzV+fV&qrSD!L`lX)u{xH{WR;BxsgZ6k zDkSD{X{Jj@{X_nynDLShZA_3F>d_pgU0u&iR%jV$M&i(xQHPjSur^z5{ zijYq6N1wH=#O;A%s8f63`2U%KzsNuOqk-i%%-24`a+P)e^g82TT>5e65%@vi)!v^t z{;l#7eq245;>Ua0+|6B%91*kII8yJ3rszJVrbK diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c deleted file mode 100644 index 0814fcf..0000000 --- a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.c +++ /dev/null @@ -1,8216 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/IOBM.v"; -static int ng1[] = {1, 0}; -static int ng2[] = {0, 0}; -static int ng3[] = {19, 0}; -static int ng4[] = {16, 0}; -static int ng5[] = {7, 0}; -static int ng6[] = {2, 0}; -static int ng7[] = {3, 0}; -static int ng8[] = {4, 0}; -static int ng9[] = {5, 0}; -static int ng10[] = {6, 0}; - - - -static void Always_13_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - -LAB0: t1 = (t0 + 8288U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(13, ng0); - t2 = (t0 + 12576); - *((int *)t2) = 1; - t3 = (t0 + 8320); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(13, ng0); - -LAB5: xsi_set_current_line(13, ng0); - t4 = (t0 + 2328U); - t5 = *((char **)t4); - t4 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_17_1(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - -LAB0: t1 = (t0 + 8536U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(17, ng0); - t2 = (t0 + 12592); - *((int *)t2) = 1; - t3 = (t0 + 8568); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(17, ng0); - -LAB5: xsi_set_current_line(18, ng0); - t5 = (t0 + 1528U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); - xsi_set_current_line(19, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB15; - -LAB13: if (*((unsigned int *)t2) == 0) - goto LAB12; - -LAB14: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB15: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB17; - -LAB16: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 5768); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(20, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB21; - -LAB19: if (*((unsigned int *)t2) == 0) - goto LAB18; - -LAB20: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB21: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB23; - -LAB22: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6088); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(21, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t2) == 0) - goto LAB24; - -LAB26: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB27: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB29; - -LAB28: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6408); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: *((unsigned int *)t4) = 1; - goto LAB15; - -LAB17: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB16; - -LAB18: *((unsigned int *)t4) = 1; - goto LAB21; - -LAB23: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB22; - -LAB24: *((unsigned int *)t4) = 1; - goto LAB27; - -LAB29: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB28; - -} - -static void Always_23_2(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - -LAB0: t1 = (t0 + 8784U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(23, ng0); - t2 = (t0 + 12608); - *((int *)t2) = 1; - t3 = (t0 + 8816); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(23, ng0); - -LAB5: xsi_set_current_line(24, ng0); - t5 = (t0 + 1528U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t23, t4, 0, 0, 1, 0LL); - xsi_set_current_line(25, ng0); - t2 = (t0 + 1688U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB15; - -LAB13: if (*((unsigned int *)t2) == 0) - goto LAB12; - -LAB14: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB15: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB17; - -LAB16: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(26, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB21; - -LAB19: if (*((unsigned int *)t2) == 0) - goto LAB18; - -LAB20: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB21: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB23; - -LAB22: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6248); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - xsi_set_current_line(27, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t3 + 4); - t7 = *((unsigned int *)t2); - t8 = (~(t7)); - t9 = *((unsigned int *)t3); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t2) == 0) - goto LAB24; - -LAB26: t5 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t5) = 1; - -LAB27: t6 = (t4 + 4); - t12 = (t3 + 4); - t15 = *((unsigned int *)t3); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t6) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB29; - -LAB28: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t6); - *((unsigned int *)t6) = (t22 & 1U); - t13 = (t0 + 6568); - xsi_vlogvar_wait_assign_value(t13, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: *((unsigned int *)t4) = 1; - goto LAB15; - -LAB17: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB16; - -LAB18: *((unsigned int *)t4) = 1; - goto LAB21; - -LAB23: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB22; - -LAB24: *((unsigned int *)t4) = 1; - goto LAB27; - -LAB29: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t12); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t6); - t20 = *((unsigned int *)t12); - *((unsigned int *)t6) = (t19 | t20); - goto LAB28; - -} - -static void NetDecl_29_3(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9032U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(29, ng0); - t2 = (t0 + 5448); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 12896); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12624); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 5608); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void NetDecl_30_4(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9280U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(30, ng0); - t2 = (t0 + 6088); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 12960); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12640); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 6248); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void NetDecl_31_5(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9528U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(31, ng0); - t2 = (t0 + 5768); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 13024); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12656); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 5928); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void NetDecl_32_6(char *t0) -{ - char t5[8]; - char t20[8]; - char t28[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - char *t17; - char *t18; - char *t19; - char *t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - char *t27; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - char *t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - char *t61; - char *t62; - char *t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - unsigned int t71; - unsigned int t72; - char *t73; - -LAB0: t1 = (t0 + 9776U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(32, ng0); - t2 = (t0 + 6408); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = *((unsigned int *)t13); - t16 = (t14 || t15); - if (t16 > 0) - goto LAB8; - -LAB9: memcpy(t28, t5, 8); - -LAB10: t60 = (t0 + 13088); - t61 = (t60 + 56U); - t62 = *((char **)t61); - t63 = (t62 + 56U); - t64 = *((char **)t63); - memset(t64, 0, 8); - t65 = 1U; - t66 = t65; - t67 = (t28 + 4); - t68 = *((unsigned int *)t28); - t65 = (t65 & t68); - t69 = *((unsigned int *)t67); - t66 = (t66 & t69); - t70 = (t64 + 4); - t71 = *((unsigned int *)t64); - *((unsigned int *)t64) = (t71 | t65); - t72 = *((unsigned int *)t70); - *((unsigned int *)t70) = (t72 | t66); - xsi_driver_vfirst_trans(t60, 0, 0U); - t73 = (t0 + 12672); - *((int *)t73) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t17 = (t0 + 6568); - t18 = (t17 + 56U); - t19 = *((char **)t18); - memset(t20, 0, 8); - t21 = (t19 + 4); - t22 = *((unsigned int *)t21); - t23 = (~(t22)); - t24 = *((unsigned int *)t19); - t25 = (t24 & t23); - t26 = (t25 & 1U); - if (t26 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t21) != 0) - goto LAB13; - -LAB14: t29 = *((unsigned int *)t5); - t30 = *((unsigned int *)t20); - t31 = (t29 & t30); - *((unsigned int *)t28) = t31; - t32 = (t5 + 4); - t33 = (t20 + 4); - t34 = (t28 + 4); - t35 = *((unsigned int *)t32); - t36 = *((unsigned int *)t33); - t37 = (t35 | t36); - *((unsigned int *)t34) = t37; - t38 = *((unsigned int *)t34); - t39 = (t38 != 0); - if (t39 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t20) = 1; - goto LAB14; - -LAB13: t27 = (t20 + 4); - *((unsigned int *)t20) = 1; - *((unsigned int *)t27) = 1; - goto LAB14; - -LAB15: t40 = *((unsigned int *)t28); - t41 = *((unsigned int *)t34); - *((unsigned int *)t28) = (t40 | t41); - t42 = (t5 + 4); - t43 = (t20 + 4); - t44 = *((unsigned int *)t5); - t45 = (~(t44)); - t46 = *((unsigned int *)t42); - t47 = (~(t46)); - t48 = *((unsigned int *)t20); - t49 = (~(t48)); - t50 = *((unsigned int *)t43); - t51 = (~(t50)); - t52 = (t45 & t47); - t53 = (t49 & t51); - t54 = (~(t52)); - t55 = (~(t53)); - t56 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t56 & t54); - t57 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t57 & t55); - t58 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t58 & t54); - t59 = *((unsigned int *)t28); - *((unsigned int *)t28) = (t59 & t55); - goto LAB17; - -} - -static void Always_38_7(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - -LAB0: t1 = (t0 + 10024U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(38, ng0); - t2 = (t0 + 12688); - *((int *)t2) = 1; - t3 = (t0 + 10056); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(38, ng0); - -LAB5: xsi_set_current_line(38, ng0); - t4 = (t0 + 1368U); - t5 = *((char **)t4); - t4 = (t0 + 6888); - xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_39_8(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - -LAB0: t1 = (t0 + 10272U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(39, ng0); - t2 = (t0 + 12704); - *((int *)t2) = 1; - t3 = (t0 + 10304); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(39, ng0); - -LAB5: xsi_set_current_line(39, ng0); - t4 = (t0 + 6888); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = (t0 + 7048); - xsi_vlogvar_wait_assign_value(t7, t6, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_40_9(char *t0) -{ - char t7[8]; - char t19[8]; - char t40[8]; - char t48[8]; - char t88[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - char *t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - char *t20; - char *t21; - char *t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - char *t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - char *t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - unsigned int t49; - unsigned int t50; - unsigned int t51; - char *t52; - char *t53; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - char *t62; - char *t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - int t72; - int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - char *t87; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - char *t97; - char *t98; - -LAB0: t1 = (t0 + 10520U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(40, ng0); - t2 = (t0 + 12720); - *((int *)t2) = 1; - t3 = (t0 + 10552); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(40, ng0); - -LAB5: xsi_set_current_line(41, ng0); - t4 = (t0 + 7048); - t5 = (t4 + 56U); - t6 = *((char **)t5); - memset(t7, 0, 8); - t8 = (t6 + 4); - t9 = *((unsigned int *)t8); - t10 = (~(t9)); - t11 = *((unsigned int *)t6); - t12 = (t11 & t10); - t13 = (t12 & 1U); - if (t13 != 0) - goto LAB6; - -LAB7: if (*((unsigned int *)t8) != 0) - goto LAB8; - -LAB9: t15 = (t7 + 4); - t16 = *((unsigned int *)t7); - t17 = *((unsigned int *)t15); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB10; - -LAB11: memcpy(t48, t7, 8); - -LAB12: t80 = (t48 + 4); - t81 = *((unsigned int *)t80); - t82 = (~(t81)); - t83 = *((unsigned int *)t48); - t84 = (t83 & t82); - t85 = (t84 != 0); - if (t85 > 0) - goto LAB26; - -LAB27: xsi_set_current_line(42, ng0); - t2 = (t0 + 6728); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t7, 0, 8); - t6 = (t4 + 4); - t8 = (t5 + 4); - t9 = *((unsigned int *)t4); - t10 = *((unsigned int *)t5); - t11 = (t9 ^ t10); - t12 = *((unsigned int *)t6); - t13 = *((unsigned int *)t8); - t16 = (t12 ^ t13); - t17 = (t11 | t16); - t18 = *((unsigned int *)t6); - t24 = *((unsigned int *)t8); - t25 = (t18 | t24); - t26 = (~(t25)); - t27 = (t17 & t26); - if (t27 != 0) - goto LAB32; - -LAB29: if (t25 != 0) - goto LAB31; - -LAB30: *((unsigned int *)t7) = 1; - -LAB32: memset(t19, 0, 8); - t15 = (t7 + 4); - t28 = *((unsigned int *)t15); - t32 = (~(t28)); - t33 = *((unsigned int *)t7); - t34 = (t33 & t32); - t35 = (t34 & 1U); - if (t35 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t15) != 0) - goto LAB35; - -LAB36: t21 = (t19 + 4); - t36 = *((unsigned int *)t19); - t37 = (!(t36)); - t38 = *((unsigned int *)t21); - t39 = (t37 || t38); - if (t39 > 0) - goto LAB37; - -LAB38: memcpy(t88, t19, 8); - -LAB39: t87 = (t88 + 4); - t92 = *((unsigned int *)t87); - t93 = (~(t92)); - t94 = *((unsigned int *)t88); - t95 = (t94 & t93); - t96 = (t95 != 0); - if (t96 > 0) - goto LAB51; - -LAB52: xsi_set_current_line(43, ng0); - t2 = (t0 + 6728); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng1))); - memset(t7, 0, 8); - xsi_vlog_unsigned_add(t7, 32, t4, 5, t5, 32); - t6 = (t0 + 6728); - xsi_vlogvar_wait_assign_value(t6, t7, 0, 0, 5, 0LL); - -LAB53: -LAB28: goto LAB2; - -LAB6: *((unsigned int *)t7) = 1; - goto LAB9; - -LAB8: t14 = (t7 + 4); - *((unsigned int *)t7) = 1; - *((unsigned int *)t14) = 1; - goto LAB9; - -LAB10: t20 = (t0 + 6888); - t21 = (t20 + 56U); - t22 = *((char **)t21); - memset(t19, 0, 8); - t23 = (t22 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t22); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB16; - -LAB14: if (*((unsigned int *)t23) == 0) - goto LAB13; - -LAB15: t29 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t29) = 1; - -LAB16: t30 = (t19 + 4); - t31 = (t22 + 4); - t32 = *((unsigned int *)t22); - t33 = (~(t32)); - *((unsigned int *)t19) = t33; - *((unsigned int *)t30) = 0; - if (*((unsigned int *)t31) != 0) - goto LAB18; - -LAB17: t38 = *((unsigned int *)t19); - *((unsigned int *)t19) = (t38 & 1U); - t39 = *((unsigned int *)t30); - *((unsigned int *)t30) = (t39 & 1U); - memset(t40, 0, 8); - t41 = (t19 + 4); - t42 = *((unsigned int *)t41); - t43 = (~(t42)); - t44 = *((unsigned int *)t19); - t45 = (t44 & t43); - t46 = (t45 & 1U); - if (t46 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t41) != 0) - goto LAB21; - -LAB22: t49 = *((unsigned int *)t7); - t50 = *((unsigned int *)t40); - t51 = (t49 & t50); - *((unsigned int *)t48) = t51; - t52 = (t7 + 4); - t53 = (t40 + 4); - t54 = (t48 + 4); - t55 = *((unsigned int *)t52); - t56 = *((unsigned int *)t53); - t57 = (t55 | t56); - *((unsigned int *)t54) = t57; - t58 = *((unsigned int *)t54); - t59 = (t58 != 0); - if (t59 == 1) - goto LAB23; - -LAB24: -LAB25: goto LAB12; - -LAB13: *((unsigned int *)t19) = 1; - goto LAB16; - -LAB18: t34 = *((unsigned int *)t19); - t35 = *((unsigned int *)t31); - *((unsigned int *)t19) = (t34 | t35); - t36 = *((unsigned int *)t30); - t37 = *((unsigned int *)t31); - *((unsigned int *)t30) = (t36 | t37); - goto LAB17; - -LAB19: *((unsigned int *)t40) = 1; - goto LAB22; - -LAB21: t47 = (t40 + 4); - *((unsigned int *)t40) = 1; - *((unsigned int *)t47) = 1; - goto LAB22; - -LAB23: t60 = *((unsigned int *)t48); - t61 = *((unsigned int *)t54); - *((unsigned int *)t48) = (t60 | t61); - t62 = (t7 + 4); - t63 = (t40 + 4); - t64 = *((unsigned int *)t7); - t65 = (~(t64)); - t66 = *((unsigned int *)t62); - t67 = (~(t66)); - t68 = *((unsigned int *)t40); - t69 = (~(t68)); - t70 = *((unsigned int *)t63); - t71 = (~(t70)); - t72 = (t65 & t67); - t73 = (t69 & t71); - t74 = (~(t72)); - t75 = (~(t73)); - t76 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t76 & t74); - t77 = *((unsigned int *)t54); - *((unsigned int *)t54) = (t77 & t75); - t78 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t78 & t74); - t79 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t79 & t75); - goto LAB25; - -LAB26: xsi_set_current_line(41, ng0); - t86 = ((char*)((ng1))); - t87 = (t0 + 6728); - xsi_vlogvar_wait_assign_value(t87, t86, 0, 0, 5, 0LL); - goto LAB28; - -LAB31: t14 = (t7 + 4); - *((unsigned int *)t7) = 1; - *((unsigned int *)t14) = 1; - goto LAB32; - -LAB33: *((unsigned int *)t19) = 1; - goto LAB36; - -LAB35: t20 = (t19 + 4); - *((unsigned int *)t19) = 1; - *((unsigned int *)t20) = 1; - goto LAB36; - -LAB37: t22 = (t0 + 6728); - t23 = (t22 + 56U); - t29 = *((char **)t23); - t30 = ((char*)((ng3))); - memset(t40, 0, 8); - t31 = (t29 + 4); - t41 = (t30 + 4); - t42 = *((unsigned int *)t29); - t43 = *((unsigned int *)t30); - t44 = (t42 ^ t43); - t45 = *((unsigned int *)t31); - t46 = *((unsigned int *)t41); - t49 = (t45 ^ t46); - t50 = (t44 | t49); - t51 = *((unsigned int *)t31); - t55 = *((unsigned int *)t41); - t56 = (t51 | t55); - t57 = (~(t56)); - t58 = (t50 & t57); - if (t58 != 0) - goto LAB43; - -LAB40: if (t56 != 0) - goto LAB42; - -LAB41: *((unsigned int *)t40) = 1; - -LAB43: memset(t48, 0, 8); - t52 = (t40 + 4); - t59 = *((unsigned int *)t52); - t60 = (~(t59)); - t61 = *((unsigned int *)t40); - t64 = (t61 & t60); - t65 = (t64 & 1U); - if (t65 != 0) - goto LAB44; - -LAB45: if (*((unsigned int *)t52) != 0) - goto LAB46; - -LAB47: t66 = *((unsigned int *)t19); - t67 = *((unsigned int *)t48); - t68 = (t66 | t67); - *((unsigned int *)t88) = t68; - t54 = (t19 + 4); - t62 = (t48 + 4); - t63 = (t88 + 4); - t69 = *((unsigned int *)t54); - t70 = *((unsigned int *)t62); - t71 = (t69 | t70); - *((unsigned int *)t63) = t71; - t74 = *((unsigned int *)t63); - t75 = (t74 != 0); - if (t75 == 1) - goto LAB48; - -LAB49: -LAB50: goto LAB39; - -LAB42: t47 = (t40 + 4); - *((unsigned int *)t40) = 1; - *((unsigned int *)t47) = 1; - goto LAB43; - -LAB44: *((unsigned int *)t48) = 1; - goto LAB47; - -LAB46: t53 = (t48 + 4); - *((unsigned int *)t48) = 1; - *((unsigned int *)t53) = 1; - goto LAB47; - -LAB48: t76 = *((unsigned int *)t88); - t77 = *((unsigned int *)t63); - *((unsigned int *)t88) = (t76 | t77); - t80 = (t19 + 4); - t86 = (t48 + 4); - t78 = *((unsigned int *)t80); - t79 = (~(t78)); - t81 = *((unsigned int *)t19); - t72 = (t81 & t79); - t82 = *((unsigned int *)t86); - t83 = (~(t82)); - t84 = *((unsigned int *)t48); - t73 = (t84 & t83); - t85 = (~(t72)); - t89 = (~(t73)); - t90 = *((unsigned int *)t63); - *((unsigned int *)t63) = (t90 & t85); - t91 = *((unsigned int *)t63); - *((unsigned int *)t63) = (t91 & t89); - goto LAB50; - -LAB51: xsi_set_current_line(42, ng0); - t97 = ((char*)((ng2))); - t98 = (t0 + 6728); - xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 5, 0LL); - goto LAB53; - -} - -static void Always_48_10(char *t0) -{ - char t8[8]; - char t24[8]; - char t36[8]; - char t57[8]; - char t65[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t37; - char *t38; - char *t39; - char *t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - char *t46; - char *t47; - char *t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - unsigned int t66; - unsigned int t67; - unsigned int t68; - char *t69; - char *t70; - char *t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - char *t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - int t89; - int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - char *t97; - -LAB0: t1 = (t0 + 10768U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 12736); - *((int *)t2) = 1; - t3 = (t0 + 10800); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(48, ng0); - -LAB5: xsi_set_current_line(48, ng0); - t4 = (t0 + 6728); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng4))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: memset(t24, 0, 8); - t25 = (t8 + 4); - t26 = *((unsigned int *)t25); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 & 1U); - if (t30 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t25) != 0) - goto LAB12; - -LAB13: t32 = (t24 + 4); - t33 = *((unsigned int *)t24); - t34 = *((unsigned int *)t32); - t35 = (t33 || t34); - if (t35 > 0) - goto LAB14; - -LAB15: memcpy(t65, t24, 8); - -LAB16: t97 = (t0 + 7208); - xsi_vlogvar_wait_assign_value(t97, t65, 0, 0, 1, 0LL); - goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t24) = 1; - goto LAB13; - -LAB12: t31 = (t24 + 4); - *((unsigned int *)t24) = 1; - *((unsigned int *)t31) = 1; - goto LAB13; - -LAB14: t37 = (t0 + 4328); - t38 = (t37 + 56U); - t39 = *((char **)t38); - memset(t36, 0, 8); - t40 = (t39 + 4); - t41 = *((unsigned int *)t40); - t42 = (~(t41)); - t43 = *((unsigned int *)t39); - t44 = (t43 & t42); - t45 = (t44 & 1U); - if (t45 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t40) == 0) - goto LAB17; - -LAB19: t46 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t46) = 1; - -LAB20: t47 = (t36 + 4); - t48 = (t39 + 4); - t49 = *((unsigned int *)t39); - t50 = (~(t49)); - *((unsigned int *)t36) = t50; - *((unsigned int *)t47) = 0; - if (*((unsigned int *)t48) != 0) - goto LAB22; - -LAB21: t55 = *((unsigned int *)t36); - *((unsigned int *)t36) = (t55 & 1U); - t56 = *((unsigned int *)t47); - *((unsigned int *)t47) = (t56 & 1U); - memset(t57, 0, 8); - t58 = (t36 + 4); - t59 = *((unsigned int *)t58); - t60 = (~(t59)); - t61 = *((unsigned int *)t36); - t62 = (t61 & t60); - t63 = (t62 & 1U); - if (t63 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t58) != 0) - goto LAB25; - -LAB26: t66 = *((unsigned int *)t24); - t67 = *((unsigned int *)t57); - t68 = (t66 & t67); - *((unsigned int *)t65) = t68; - t69 = (t24 + 4); - t70 = (t57 + 4); - t71 = (t65 + 4); - t72 = *((unsigned int *)t69); - t73 = *((unsigned int *)t70); - t74 = (t72 | t73); - *((unsigned int *)t71) = t74; - t75 = *((unsigned int *)t71); - t76 = (t75 != 0); - if (t76 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB22: t51 = *((unsigned int *)t36); - t52 = *((unsigned int *)t48); - *((unsigned int *)t36) = (t51 | t52); - t53 = *((unsigned int *)t47); - t54 = *((unsigned int *)t48); - *((unsigned int *)t47) = (t53 | t54); - goto LAB21; - -LAB23: *((unsigned int *)t57) = 1; - goto LAB26; - -LAB25: t64 = (t57 + 4); - *((unsigned int *)t57) = 1; - *((unsigned int *)t64) = 1; - goto LAB26; - -LAB27: t77 = *((unsigned int *)t65); - t78 = *((unsigned int *)t71); - *((unsigned int *)t65) = (t77 | t78); - t79 = (t24 + 4); - t80 = (t57 + 4); - t81 = *((unsigned int *)t24); - t82 = (~(t81)); - t83 = *((unsigned int *)t79); - t84 = (~(t83)); - t85 = *((unsigned int *)t57); - t86 = (~(t85)); - t87 = *((unsigned int *)t80); - t88 = (~(t87)); - t89 = (t82 & t84); - t90 = (t86 & t88); - t91 = (~(t89)); - t92 = (~(t90)); - t93 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t93 & t91); - t94 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t94 & t92); - t95 = *((unsigned int *)t65); - *((unsigned int *)t65) = (t95 & t91); - t96 = *((unsigned int *)t65); - *((unsigned int *)t65) = (t96 & t92); - goto LAB29; - -} - -static void Always_49_11(char *t0) -{ - char t8[8]; - char t24[8]; - char t39[8]; - char t47[8]; - char t79[8]; - char t93[8]; - char t100[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - char *t36; - char *t37; - char *t38; - char *t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - char *t46; - unsigned int t48; - unsigned int t49; - unsigned int t50; - char *t51; - char *t52; - char *t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - char *t61; - char *t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - int t71; - int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - unsigned int t85; - char *t86; - char *t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - char *t99; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t105; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - int t124; - int t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - char *t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - char *t138; - char *t139; - -LAB0: t1 = (t0 + 11016U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 12752); - *((int *)t2) = 1; - t3 = (t0 + 11048); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(49, ng0); - -LAB5: xsi_set_current_line(50, ng0); - t4 = (t0 + 6728); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng5))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: memset(t24, 0, 8); - t25 = (t8 + 4); - t26 = *((unsigned int *)t25); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 & 1U); - if (t30 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t25) != 0) - goto LAB12; - -LAB13: t32 = (t24 + 4); - t33 = *((unsigned int *)t24); - t34 = *((unsigned int *)t32); - t35 = (t33 || t34); - if (t35 > 0) - goto LAB14; - -LAB15: memcpy(t47, t24, 8); - -LAB16: memset(t79, 0, 8); - t80 = (t47 + 4); - t81 = *((unsigned int *)t80); - t82 = (~(t81)); - t83 = *((unsigned int *)t47); - t84 = (t83 & t82); - t85 = (t84 & 1U); - if (t85 != 0) - goto LAB24; - -LAB25: if (*((unsigned int *)t80) != 0) - goto LAB26; - -LAB27: t87 = (t79 + 4); - t88 = *((unsigned int *)t79); - t89 = *((unsigned int *)t87); - t90 = (t88 || t89); - if (t90 > 0) - goto LAB28; - -LAB29: memcpy(t100, t79, 8); - -LAB30: t132 = (t100 + 4); - t133 = *((unsigned int *)t132); - t134 = (~(t133)); - t135 = *((unsigned int *)t100); - t136 = (t135 & t134); - t137 = (t136 != 0); - if (t137 > 0) - goto LAB38; - -LAB39: xsi_set_current_line(51, ng0); - t2 = (t0 + 6728); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB44; - -LAB41: if (t20 != 0) - goto LAB43; - -LAB42: *((unsigned int *)t8) = 1; - -LAB44: t10 = (t8 + 4); - t26 = *((unsigned int *)t10); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 != 0); - if (t30 > 0) - goto LAB45; - -LAB46: -LAB47: -LAB40: goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t24) = 1; - goto LAB13; - -LAB12: t31 = (t24 + 4); - *((unsigned int *)t24) = 1; - *((unsigned int *)t31) = 1; - goto LAB13; - -LAB14: t36 = (t0 + 4968); - t37 = (t36 + 56U); - t38 = *((char **)t37); - memset(t39, 0, 8); - t40 = (t38 + 4); - t41 = *((unsigned int *)t40); - t42 = (~(t41)); - t43 = *((unsigned int *)t38); - t44 = (t43 & t42); - t45 = (t44 & 1U); - if (t45 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t40) != 0) - goto LAB19; - -LAB20: t48 = *((unsigned int *)t24); - t49 = *((unsigned int *)t39); - t50 = (t48 & t49); - *((unsigned int *)t47) = t50; - t51 = (t24 + 4); - t52 = (t39 + 4); - t53 = (t47 + 4); - t54 = *((unsigned int *)t51); - t55 = *((unsigned int *)t52); - t56 = (t54 | t55); - *((unsigned int *)t53) = t56; - t57 = *((unsigned int *)t53); - t58 = (t57 != 0); - if (t58 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t39) = 1; - goto LAB20; - -LAB19: t46 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t46) = 1; - goto LAB20; - -LAB21: t59 = *((unsigned int *)t47); - t60 = *((unsigned int *)t53); - *((unsigned int *)t47) = (t59 | t60); - t61 = (t24 + 4); - t62 = (t39 + 4); - t63 = *((unsigned int *)t24); - t64 = (~(t63)); - t65 = *((unsigned int *)t61); - t66 = (~(t65)); - t67 = *((unsigned int *)t39); - t68 = (~(t67)); - t69 = *((unsigned int *)t62); - t70 = (~(t69)); - t71 = (t64 & t66); - t72 = (t68 & t70); - t73 = (~(t71)); - t74 = (~(t72)); - t75 = *((unsigned int *)t53); - *((unsigned int *)t53) = (t75 & t73); - t76 = *((unsigned int *)t53); - *((unsigned int *)t53) = (t76 & t74); - t77 = *((unsigned int *)t47); - *((unsigned int *)t47) = (t77 & t73); - t78 = *((unsigned int *)t47); - *((unsigned int *)t47) = (t78 & t74); - goto LAB23; - -LAB24: *((unsigned int *)t79) = 1; - goto LAB27; - -LAB26: t86 = (t79 + 4); - *((unsigned int *)t79) = 1; - *((unsigned int *)t86) = 1; - goto LAB27; - -LAB28: t91 = (t0 + 3288U); - t92 = *((char **)t91); - memset(t93, 0, 8); - t91 = (t92 + 4); - t94 = *((unsigned int *)t91); - t95 = (~(t94)); - t96 = *((unsigned int *)t92); - t97 = (t96 & t95); - t98 = (t97 & 1U); - if (t98 != 0) - goto LAB31; - -LAB32: if (*((unsigned int *)t91) != 0) - goto LAB33; - -LAB34: t101 = *((unsigned int *)t79); - t102 = *((unsigned int *)t93); - t103 = (t101 & t102); - *((unsigned int *)t100) = t103; - t104 = (t79 + 4); - t105 = (t93 + 4); - t106 = (t100 + 4); - t107 = *((unsigned int *)t104); - t108 = *((unsigned int *)t105); - t109 = (t107 | t108); - *((unsigned int *)t106) = t109; - t110 = *((unsigned int *)t106); - t111 = (t110 != 0); - if (t111 == 1) - goto LAB35; - -LAB36: -LAB37: goto LAB30; - -LAB31: *((unsigned int *)t93) = 1; - goto LAB34; - -LAB33: t99 = (t93 + 4); - *((unsigned int *)t93) = 1; - *((unsigned int *)t99) = 1; - goto LAB34; - -LAB35: t112 = *((unsigned int *)t100); - t113 = *((unsigned int *)t106); - *((unsigned int *)t100) = (t112 | t113); - t114 = (t79 + 4); - t115 = (t93 + 4); - t116 = *((unsigned int *)t79); - t117 = (~(t116)); - t118 = *((unsigned int *)t114); - t119 = (~(t118)); - t120 = *((unsigned int *)t93); - t121 = (~(t120)); - t122 = *((unsigned int *)t115); - t123 = (~(t122)); - t124 = (t117 & t119); - t125 = (t121 & t123); - t126 = (~(t124)); - t127 = (~(t125)); - t128 = *((unsigned int *)t106); - *((unsigned int *)t106) = (t128 & t126); - t129 = *((unsigned int *)t106); - *((unsigned int *)t106) = (t129 & t127); - t130 = *((unsigned int *)t100); - *((unsigned int *)t100) = (t130 & t126); - t131 = *((unsigned int *)t100); - *((unsigned int *)t100) = (t131 & t127); - goto LAB37; - -LAB38: xsi_set_current_line(50, ng0); - t138 = ((char*)((ng2))); - t139 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t139, t138, 0, 0, 1, 0LL); - goto LAB40; - -LAB43: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB44; - -LAB45: xsi_set_current_line(51, ng0); - t23 = ((char*)((ng1))); - t25 = (t0 + 4328); - xsi_vlogvar_wait_assign_value(t25, t23, 0, 0, 1, 0LL); - goto LAB47; - -} - -static void Always_56_12(char *t0) -{ - char t8[8]; - char t39[8]; - char t66[8]; - char t67[8]; - char t68[8]; - char t94[8]; - char t109[8]; - char t116[8]; - char t144[8]; - char t159[8]; - char t166[8]; - char t194[8]; - char t202[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - char *t30; - char *t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - char *t40; - char *t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t65; - unsigned int t69; - unsigned int t70; - char *t71; - char *t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t107; - char *t108; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - char *t115; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t121; - char *t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - char *t130; - char *t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - char *t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - char *t151; - char *t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - char *t157; - char *t158; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - char *t165; - unsigned int t167; - unsigned int t168; - unsigned int t169; - char *t170; - char *t171; - char *t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - char *t180; - char *t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - char *t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - char *t201; - unsigned int t203; - unsigned int t204; - unsigned int t205; - char *t206; - char *t207; - char *t208; - unsigned int t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - char *t216; - char *t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - int t226; - int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - char *t234; - unsigned int t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - char *t240; - char *t241; - -LAB0: t1 = (t0 + 11264U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(56, ng0); - t2 = (t0 + 12768); - *((int *)t2) = 1; - t3 = (t0 + 11296); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(56, ng0); - -LAB5: xsi_set_current_line(57, ng0); - t4 = (t0 + 7368); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng2))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: t24 = (t8 + 4); - t25 = *((unsigned int *)t24); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB10; - -LAB11: xsi_set_current_line(71, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng1))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB33; - -LAB30: if (t20 != 0) - goto LAB32; - -LAB31: *((unsigned int *)t8) = 1; - -LAB33: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB34; - -LAB35: xsi_set_current_line(76, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng6))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB41; - -LAB38: if (t20 != 0) - goto LAB40; - -LAB39: *((unsigned int *)t8) = 1; - -LAB41: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB42; - -LAB43: xsi_set_current_line(80, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng7))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB49; - -LAB46: if (t20 != 0) - goto LAB48; - -LAB47: *((unsigned int *)t8) = 1; - -LAB49: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB50; - -LAB51: xsi_set_current_line(84, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng8))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB57; - -LAB54: if (t20 != 0) - goto LAB56; - -LAB55: *((unsigned int *)t8) = 1; - -LAB57: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB58; - -LAB59: xsi_set_current_line(88, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng9))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB65; - -LAB62: if (t20 != 0) - goto LAB64; - -LAB63: *((unsigned int *)t8) = 1; - -LAB65: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB66; - -LAB67: xsi_set_current_line(98, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng10))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB140; - -LAB137: if (t20 != 0) - goto LAB139; - -LAB138: *((unsigned int *)t8) = 1; - -LAB140: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB141; - -LAB142: xsi_set_current_line(102, ng0); - t2 = (t0 + 7368); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng5))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB148; - -LAB145: if (t20 != 0) - goto LAB147; - -LAB146: *((unsigned int *)t8) = 1; - -LAB148: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB149; - -LAB150: -LAB151: -LAB143: -LAB68: -LAB60: -LAB52: -LAB44: -LAB36: -LAB12: goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: xsi_set_current_line(57, ng0); - -LAB13: xsi_set_current_line(58, ng0); - t30 = (t0 + 5288); - t31 = (t30 + 56U); - t32 = *((char **)t31); - t33 = (t32 + 4); - t34 = *((unsigned int *)t33); - t35 = (~(t34)); - t36 = *((unsigned int *)t32); - t37 = (t36 & t35); - t38 = (t37 != 0); - if (t38 > 0) - goto LAB14; - -LAB15: xsi_set_current_line(66, ng0); - -LAB29: xsi_set_current_line(67, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(68, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(69, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB16: goto LAB12; - -LAB14: xsi_set_current_line(58, ng0); - -LAB17: xsi_set_current_line(59, ng0); - t40 = (t0 + 1208U); - t41 = *((char **)t40); - memset(t39, 0, 8); - t40 = (t41 + 4); - t42 = *((unsigned int *)t40); - t43 = (~(t42)); - t44 = *((unsigned int *)t41); - t45 = (t44 & t43); - t46 = (t45 & 1U); - if (t46 != 0) - goto LAB21; - -LAB19: if (*((unsigned int *)t40) == 0) - goto LAB18; - -LAB20: t47 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t47) = 1; - -LAB21: t48 = (t39 + 4); - t49 = (t41 + 4); - t50 = *((unsigned int *)t41); - t51 = (~(t50)); - *((unsigned int *)t39) = t51; - *((unsigned int *)t48) = 0; - if (*((unsigned int *)t49) != 0) - goto LAB23; - -LAB22: t56 = *((unsigned int *)t39); - *((unsigned int *)t39) = (t56 & 1U); - t57 = *((unsigned int *)t48); - *((unsigned int *)t48) = (t57 & 1U); - t58 = (t39 + 4); - t59 = *((unsigned int *)t58); - t60 = (~(t59)); - t61 = *((unsigned int *)t39); - t62 = (t61 & t60); - t63 = (t62 != 0); - if (t63 > 0) - goto LAB24; - -LAB25: xsi_set_current_line(61, ng0); - -LAB28: xsi_set_current_line(62, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - -LAB26: xsi_set_current_line(64, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(65, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB16; - -LAB18: *((unsigned int *)t39) = 1; - goto LAB21; - -LAB23: t52 = *((unsigned int *)t39); - t53 = *((unsigned int *)t49); - *((unsigned int *)t39) = (t52 | t53); - t54 = *((unsigned int *)t48); - t55 = *((unsigned int *)t49); - *((unsigned int *)t48) = (t54 | t55); - goto LAB22; - -LAB24: xsi_set_current_line(59, ng0); - -LAB27: xsi_set_current_line(60, ng0); - t64 = ((char*)((ng1))); - t65 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t65, t64, 0, 0, 3, 0LL); - goto LAB26; - -LAB32: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB33; - -LAB34: xsi_set_current_line(71, ng0); - -LAB37: xsi_set_current_line(72, ng0); - t23 = ((char*)((ng6))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(73, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(74, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(75, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB36; - -LAB40: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB41; - -LAB42: xsi_set_current_line(76, ng0); - -LAB45: xsi_set_current_line(77, ng0); - t23 = ((char*)((ng7))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB44; - -LAB48: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB49; - -LAB50: xsi_set_current_line(80, ng0); - -LAB53: xsi_set_current_line(81, ng0); - t23 = ((char*)((ng8))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB52; - -LAB56: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB57; - -LAB58: xsi_set_current_line(84, ng0); - -LAB61: xsi_set_current_line(85, ng0); - t23 = ((char*)((ng9))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB60; - -LAB64: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB65; - -LAB66: xsi_set_current_line(88, ng0); - -LAB69: xsi_set_current_line(89, ng0); - t23 = (t0 + 1208U); - t24 = *((char **)t23); - memset(t39, 0, 8); - t23 = (t24 + 4); - t34 = *((unsigned int *)t23); - t35 = (~(t34)); - t36 = *((unsigned int *)t24); - t37 = (t36 & t35); - t38 = (t37 & 1U); - if (t38 != 0) - goto LAB70; - -LAB71: if (*((unsigned int *)t23) != 0) - goto LAB72; - -LAB73: t31 = (t39 + 4); - t42 = *((unsigned int *)t39); - t43 = *((unsigned int *)t31); - t44 = (t42 || t43); - if (t44 > 0) - goto LAB74; - -LAB75: memcpy(t202, t39, 8); - -LAB76: t234 = (t202 + 4); - t235 = *((unsigned int *)t234); - t236 = (~(t235)); - t237 = *((unsigned int *)t202); - t238 = (t237 & t236); - t239 = (t238 != 0); - if (t239 > 0) - goto LAB126; - -LAB127: xsi_set_current_line(93, ng0); - -LAB136: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng9))); - t3 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB128: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB68; - -LAB70: *((unsigned int *)t39) = 1; - goto LAB73; - -LAB72: t30 = (t39 + 4); - *((unsigned int *)t39) = 1; - *((unsigned int *)t30) = 1; - goto LAB73; - -LAB74: t32 = (t0 + 2968U); - t33 = *((char **)t32); - memset(t66, 0, 8); - t32 = (t33 + 4); - t45 = *((unsigned int *)t32); - t46 = (~(t45)); - t50 = *((unsigned int *)t33); - t51 = (t50 & t46); - t52 = (t51 & 1U); - if (t52 != 0) - goto LAB77; - -LAB78: if (*((unsigned int *)t32) != 0) - goto LAB79; - -LAB80: t41 = (t66 + 4); - t53 = *((unsigned int *)t66); - t54 = (!(t53)); - t55 = *((unsigned int *)t41); - t56 = (t54 || t55); - if (t56 > 0) - goto LAB81; - -LAB82: memcpy(t68, t66, 8); - -LAB83: memset(t94, 0, 8); - t95 = (t68 + 4); - t96 = *((unsigned int *)t95); - t97 = (~(t96)); - t98 = *((unsigned int *)t68); - t99 = (t98 & t97); - t100 = (t99 & 1U); - if (t100 != 0) - goto LAB91; - -LAB92: if (*((unsigned int *)t95) != 0) - goto LAB93; - -LAB94: t102 = (t94 + 4); - t103 = *((unsigned int *)t94); - t104 = (!(t103)); - t105 = *((unsigned int *)t102); - t106 = (t104 || t105); - if (t106 > 0) - goto LAB95; - -LAB96: memcpy(t116, t94, 8); - -LAB97: memset(t144, 0, 8); - t145 = (t116 + 4); - t146 = *((unsigned int *)t145); - t147 = (~(t146)); - t148 = *((unsigned int *)t116); - t149 = (t148 & t147); - t150 = (t149 & 1U); - if (t150 != 0) - goto LAB105; - -LAB106: if (*((unsigned int *)t145) != 0) - goto LAB107; - -LAB108: t152 = (t144 + 4); - t153 = *((unsigned int *)t144); - t154 = (!(t153)); - t155 = *((unsigned int *)t152); - t156 = (t154 || t155); - if (t156 > 0) - goto LAB109; - -LAB110: memcpy(t166, t144, 8); - -LAB111: memset(t194, 0, 8); - t195 = (t166 + 4); - t196 = *((unsigned int *)t195); - t197 = (~(t196)); - t198 = *((unsigned int *)t166); - t199 = (t198 & t197); - t200 = (t199 & 1U); - if (t200 != 0) - goto LAB119; - -LAB120: if (*((unsigned int *)t195) != 0) - goto LAB121; - -LAB122: t203 = *((unsigned int *)t39); - t204 = *((unsigned int *)t194); - t205 = (t203 & t204); - *((unsigned int *)t202) = t205; - t206 = (t39 + 4); - t207 = (t194 + 4); - t208 = (t202 + 4); - t209 = *((unsigned int *)t206); - t210 = *((unsigned int *)t207); - t211 = (t209 | t210); - *((unsigned int *)t208) = t211; - t212 = *((unsigned int *)t208); - t213 = (t212 != 0); - if (t213 == 1) - goto LAB123; - -LAB124: -LAB125: goto LAB76; - -LAB77: *((unsigned int *)t66) = 1; - goto LAB80; - -LAB79: t40 = (t66 + 4); - *((unsigned int *)t66) = 1; - *((unsigned int *)t40) = 1; - goto LAB80; - -LAB81: t47 = (t0 + 7208); - t48 = (t47 + 56U); - t49 = *((char **)t48); - memset(t67, 0, 8); - t58 = (t49 + 4); - t57 = *((unsigned int *)t58); - t59 = (~(t57)); - t60 = *((unsigned int *)t49); - t61 = (t60 & t59); - t62 = (t61 & 1U); - if (t62 != 0) - goto LAB84; - -LAB85: if (*((unsigned int *)t58) != 0) - goto LAB86; - -LAB87: t63 = *((unsigned int *)t66); - t69 = *((unsigned int *)t67); - t70 = (t63 | t69); - *((unsigned int *)t68) = t70; - t65 = (t66 + 4); - t71 = (t67 + 4); - t72 = (t68 + 4); - t73 = *((unsigned int *)t65); - t74 = *((unsigned int *)t71); - t75 = (t73 | t74); - *((unsigned int *)t72) = t75; - t76 = *((unsigned int *)t72); - t77 = (t76 != 0); - if (t77 == 1) - goto LAB88; - -LAB89: -LAB90: goto LAB83; - -LAB84: *((unsigned int *)t67) = 1; - goto LAB87; - -LAB86: t64 = (t67 + 4); - *((unsigned int *)t67) = 1; - *((unsigned int *)t64) = 1; - goto LAB87; - -LAB88: t78 = *((unsigned int *)t68); - t79 = *((unsigned int *)t72); - *((unsigned int *)t68) = (t78 | t79); - t80 = (t66 + 4); - t81 = (t67 + 4); - t82 = *((unsigned int *)t80); - t83 = (~(t82)); - t84 = *((unsigned int *)t66); - t85 = (t84 & t83); - t86 = *((unsigned int *)t81); - t87 = (~(t86)); - t88 = *((unsigned int *)t67); - t89 = (t88 & t87); - t90 = (~(t85)); - t91 = (~(t89)); - t92 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t92 & t90); - t93 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t93 & t91); - goto LAB90; - -LAB91: *((unsigned int *)t94) = 1; - goto LAB94; - -LAB93: t101 = (t94 + 4); - *((unsigned int *)t94) = 1; - *((unsigned int *)t101) = 1; - goto LAB94; - -LAB95: t107 = (t0 + 3128U); - t108 = *((char **)t107); - memset(t109, 0, 8); - t107 = (t108 + 4); - t110 = *((unsigned int *)t107); - t111 = (~(t110)); - t112 = *((unsigned int *)t108); - t113 = (t112 & t111); - t114 = (t113 & 1U); - if (t114 != 0) - goto LAB98; - -LAB99: if (*((unsigned int *)t107) != 0) - goto LAB100; - -LAB101: t117 = *((unsigned int *)t94); - t118 = *((unsigned int *)t109); - t119 = (t117 | t118); - *((unsigned int *)t116) = t119; - t120 = (t94 + 4); - t121 = (t109 + 4); - t122 = (t116 + 4); - t123 = *((unsigned int *)t120); - t124 = *((unsigned int *)t121); - t125 = (t123 | t124); - *((unsigned int *)t122) = t125; - t126 = *((unsigned int *)t122); - t127 = (t126 != 0); - if (t127 == 1) - goto LAB102; - -LAB103: -LAB104: goto LAB97; - -LAB98: *((unsigned int *)t109) = 1; - goto LAB101; - -LAB100: t115 = (t109 + 4); - *((unsigned int *)t109) = 1; - *((unsigned int *)t115) = 1; - goto LAB101; - -LAB102: t128 = *((unsigned int *)t116); - t129 = *((unsigned int *)t122); - *((unsigned int *)t116) = (t128 | t129); - t130 = (t94 + 4); - t131 = (t109 + 4); - t132 = *((unsigned int *)t130); - t133 = (~(t132)); - t134 = *((unsigned int *)t94); - t135 = (t134 & t133); - t136 = *((unsigned int *)t131); - t137 = (~(t136)); - t138 = *((unsigned int *)t109); - t139 = (t138 & t137); - t140 = (~(t135)); - t141 = (~(t139)); - t142 = *((unsigned int *)t122); - *((unsigned int *)t122) = (t142 & t140); - t143 = *((unsigned int *)t122); - *((unsigned int *)t122) = (t143 & t141); - goto LAB104; - -LAB105: *((unsigned int *)t144) = 1; - goto LAB108; - -LAB107: t151 = (t144 + 4); - *((unsigned int *)t144) = 1; - *((unsigned int *)t151) = 1; - goto LAB108; - -LAB109: t157 = (t0 + 3448U); - t158 = *((char **)t157); - memset(t159, 0, 8); - t157 = (t158 + 4); - t160 = *((unsigned int *)t157); - t161 = (~(t160)); - t162 = *((unsigned int *)t158); - t163 = (t162 & t161); - t164 = (t163 & 1U); - if (t164 != 0) - goto LAB112; - -LAB113: if (*((unsigned int *)t157) != 0) - goto LAB114; - -LAB115: t167 = *((unsigned int *)t144); - t168 = *((unsigned int *)t159); - t169 = (t167 | t168); - *((unsigned int *)t166) = t169; - t170 = (t144 + 4); - t171 = (t159 + 4); - t172 = (t166 + 4); - t173 = *((unsigned int *)t170); - t174 = *((unsigned int *)t171); - t175 = (t173 | t174); - *((unsigned int *)t172) = t175; - t176 = *((unsigned int *)t172); - t177 = (t176 != 0); - if (t177 == 1) - goto LAB116; - -LAB117: -LAB118: goto LAB111; - -LAB112: *((unsigned int *)t159) = 1; - goto LAB115; - -LAB114: t165 = (t159 + 4); - *((unsigned int *)t159) = 1; - *((unsigned int *)t165) = 1; - goto LAB115; - -LAB116: t178 = *((unsigned int *)t166); - t179 = *((unsigned int *)t172); - *((unsigned int *)t166) = (t178 | t179); - t180 = (t144 + 4); - t181 = (t159 + 4); - t182 = *((unsigned int *)t180); - t183 = (~(t182)); - t184 = *((unsigned int *)t144); - t185 = (t184 & t183); - t186 = *((unsigned int *)t181); - t187 = (~(t186)); - t188 = *((unsigned int *)t159); - t189 = (t188 & t187); - t190 = (~(t185)); - t191 = (~(t189)); - t192 = *((unsigned int *)t172); - *((unsigned int *)t172) = (t192 & t190); - t193 = *((unsigned int *)t172); - *((unsigned int *)t172) = (t193 & t191); - goto LAB118; - -LAB119: *((unsigned int *)t194) = 1; - goto LAB122; - -LAB121: t201 = (t194 + 4); - *((unsigned int *)t194) = 1; - *((unsigned int *)t201) = 1; - goto LAB122; - -LAB123: t214 = *((unsigned int *)t202); - t215 = *((unsigned int *)t208); - *((unsigned int *)t202) = (t214 | t215); - t216 = (t39 + 4); - t217 = (t194 + 4); - t218 = *((unsigned int *)t39); - t219 = (~(t218)); - t220 = *((unsigned int *)t216); - t221 = (~(t220)); - t222 = *((unsigned int *)t194); - t223 = (~(t222)); - t224 = *((unsigned int *)t217); - t225 = (~(t224)); - t226 = (t219 & t221); - t227 = (t223 & t225); - t228 = (~(t226)); - t229 = (~(t227)); - t230 = *((unsigned int *)t208); - *((unsigned int *)t208) = (t230 & t228); - t231 = *((unsigned int *)t208); - *((unsigned int *)t208) = (t231 & t229); - t232 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t232 & t228); - t233 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t233 & t229); - goto LAB125; - -LAB126: xsi_set_current_line(89, ng0); - -LAB129: xsi_set_current_line(90, ng0); - t240 = ((char*)((ng10))); - t241 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t241, t240, 0, 0, 3, 0LL); - xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(92, ng0); - t2 = (t0 + 1848U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB133; - -LAB131: if (*((unsigned int *)t2) == 0) - goto LAB130; - -LAB132: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - -LAB133: t5 = (t8 + 4); - t6 = (t3 + 4); - t16 = *((unsigned int *)t3); - t17 = (~(t16)); - *((unsigned int *)t8) = t17; - *((unsigned int *)t5) = 0; - if (*((unsigned int *)t6) != 0) - goto LAB135; - -LAB134: t22 = *((unsigned int *)t8); - *((unsigned int *)t8) = (t22 & 1U); - t25 = *((unsigned int *)t5); - *((unsigned int *)t5) = (t25 & 1U); - t7 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t7, t8, 0, 0, 1, 0LL); - goto LAB128; - -LAB130: *((unsigned int *)t8) = 1; - goto LAB133; - -LAB135: t18 = *((unsigned int *)t8); - t19 = *((unsigned int *)t6); - *((unsigned int *)t8) = (t18 | t19); - t20 = *((unsigned int *)t5); - t21 = *((unsigned int *)t6); - *((unsigned int *)t5) = (t20 | t21); - goto LAB134; - -LAB139: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB140; - -LAB141: xsi_set_current_line(98, ng0); - -LAB144: xsi_set_current_line(99, ng0); - t23 = ((char*)((ng5))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB143; - -LAB147: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB148; - -LAB149: xsi_set_current_line(102, ng0); - -LAB152: xsi_set_current_line(103, ng0); - t23 = ((char*)((ng2))); - t24 = (t0 + 7368); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4648); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB151; - -} - -static void Cont_110_13(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - -LAB0: t1 = (t0 + 11512U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 13152); - t4 = (t3 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t2 + 4); - t11 = *((unsigned int *)t2); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t3, 0, 0); - -LAB1: return; -} - -static void Always_111_14(char *t0) -{ - char t8[8]; - char t24[8]; - char t41[8]; - char t57[8]; - char t65[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - char *t31; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - char *t37; - char *t38; - char *t39; - char *t40; - char *t42; - char *t43; - unsigned int t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - unsigned int t66; - unsigned int t67; - unsigned int t68; - char *t69; - char *t70; - char *t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - char *t79; - char *t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t93; - -LAB0: t1 = (t0 + 11760U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(111, ng0); - t2 = (t0 + 12784); - *((int *)t2) = 1; - t3 = (t0 + 11792); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(111, ng0); - -LAB5: xsi_set_current_line(111, ng0); - t4 = (t0 + 7368); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng8))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: memset(t24, 0, 8); - t25 = (t8 + 4); - t26 = *((unsigned int *)t25); - t27 = (~(t26)); - t28 = *((unsigned int *)t8); - t29 = (t28 & t27); - t30 = (t29 & 1U); - if (t30 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t25) != 0) - goto LAB12; - -LAB13: t32 = (t24 + 4); - t33 = *((unsigned int *)t24); - t34 = (!(t33)); - t35 = *((unsigned int *)t32); - t36 = (t34 || t35); - if (t36 > 0) - goto LAB14; - -LAB15: memcpy(t65, t24, 8); - -LAB16: t93 = (t0 + 4808); - xsi_vlogvar_wait_assign_value(t93, t65, 0, 0, 1, 0LL); - goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t24) = 1; - goto LAB13; - -LAB12: t31 = (t24 + 4); - *((unsigned int *)t24) = 1; - *((unsigned int *)t31) = 1; - goto LAB13; - -LAB14: t37 = (t0 + 7368); - t38 = (t37 + 56U); - t39 = *((char **)t38); - t40 = ((char*)((ng9))); - memset(t41, 0, 8); - t42 = (t39 + 4); - t43 = (t40 + 4); - t44 = *((unsigned int *)t39); - t45 = *((unsigned int *)t40); - t46 = (t44 ^ t45); - t47 = *((unsigned int *)t42); - t48 = *((unsigned int *)t43); - t49 = (t47 ^ t48); - t50 = (t46 | t49); - t51 = *((unsigned int *)t42); - t52 = *((unsigned int *)t43); - t53 = (t51 | t52); - t54 = (~(t53)); - t55 = (t50 & t54); - if (t55 != 0) - goto LAB20; - -LAB17: if (t53 != 0) - goto LAB19; - -LAB18: *((unsigned int *)t41) = 1; - -LAB20: memset(t57, 0, 8); - t58 = (t41 + 4); - t59 = *((unsigned int *)t58); - t60 = (~(t59)); - t61 = *((unsigned int *)t41); - t62 = (t61 & t60); - t63 = (t62 & 1U); - if (t63 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t58) != 0) - goto LAB23; - -LAB24: t66 = *((unsigned int *)t24); - t67 = *((unsigned int *)t57); - t68 = (t66 | t67); - *((unsigned int *)t65) = t68; - t69 = (t24 + 4); - t70 = (t57 + 4); - t71 = (t65 + 4); - t72 = *((unsigned int *)t69); - t73 = *((unsigned int *)t70); - t74 = (t72 | t73); - *((unsigned int *)t71) = t74; - t75 = *((unsigned int *)t71); - t76 = (t75 != 0); - if (t76 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB16; - -LAB19: t56 = (t41 + 4); - *((unsigned int *)t41) = 1; - *((unsigned int *)t56) = 1; - goto LAB20; - -LAB21: *((unsigned int *)t57) = 1; - goto LAB24; - -LAB23: t64 = (t57 + 4); - *((unsigned int *)t57) = 1; - *((unsigned int *)t64) = 1; - goto LAB24; - -LAB25: t77 = *((unsigned int *)t65); - t78 = *((unsigned int *)t71); - *((unsigned int *)t65) = (t77 | t78); - t79 = (t24 + 4); - t80 = (t57 + 4); - t81 = *((unsigned int *)t79); - t82 = (~(t81)); - t83 = *((unsigned int *)t24); - t84 = (t83 & t82); - t85 = *((unsigned int *)t80); - t86 = (~(t85)); - t87 = *((unsigned int *)t57); - t88 = (t87 & t86); - t89 = (~(t84)); - t90 = (~(t88)); - t91 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t91 & t89); - t92 = *((unsigned int *)t71); - *((unsigned int *)t71) = (t92 & t90); - goto LAB27; - -} - -static void Always_112_15(char *t0) -{ - char t4[8]; - char t7[8]; - char t22[8]; - char t38[8]; - char t55[8]; - char t71[8]; - char t79[8]; - char t107[8]; - char t124[8]; - char t140[8]; - char t148[8]; - char t176[8]; - char t193[8]; - char t209[8]; - char t217[8]; - char t245[8]; - char t262[8]; - char t278[8]; - char t286[8]; - char t314[8]; - char t331[8]; - char t347[8]; - char t355[8]; - char t383[8]; - char t391[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - char *t18; - char *t19; - char *t20; - char *t21; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - char *t37; - char *t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - unsigned int t44; - char *t45; - char *t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - char *t51; - char *t52; - char *t53; - char *t54; - char *t56; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - unsigned int t68; - unsigned int t69; - char *t70; - char *t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - char *t78; - unsigned int t80; - unsigned int t81; - unsigned int t82; - char *t83; - char *t84; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t93; - char *t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - int t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - char *t120; - char *t121; - char *t122; - char *t123; - char *t125; - char *t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - unsigned int t137; - unsigned int t138; - char *t139; - char *t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - char *t147; - unsigned int t149; - unsigned int t150; - unsigned int t151; - char *t152; - char *t153; - char *t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - char *t162; - char *t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - int t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - char *t183; - char *t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - char *t189; - char *t190; - char *t191; - char *t192; - char *t194; - char *t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - char *t216; - unsigned int t218; - unsigned int t219; - unsigned int t220; - char *t221; - char *t222; - char *t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - char *t231; - char *t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - int t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - int t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - unsigned int t244; - char *t246; - unsigned int t247; - unsigned int t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - char *t252; - char *t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - char *t258; - char *t259; - char *t260; - char *t261; - char *t263; - char *t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - unsigned int t272; - unsigned int t273; - unsigned int t274; - unsigned int t275; - unsigned int t276; - char *t277; - char *t279; - unsigned int t280; - unsigned int t281; - unsigned int t282; - unsigned int t283; - unsigned int t284; - char *t285; - unsigned int t287; - unsigned int t288; - unsigned int t289; - char *t290; - char *t291; - char *t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - unsigned int t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - char *t300; - char *t301; - unsigned int t302; - unsigned int t303; - unsigned int t304; - int t305; - unsigned int t306; - unsigned int t307; - unsigned int t308; - int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - char *t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - unsigned int t319; - unsigned int t320; - char *t321; - char *t322; - unsigned int t323; - unsigned int t324; - unsigned int t325; - unsigned int t326; - char *t327; - char *t328; - char *t329; - char *t330; - char *t332; - char *t333; - unsigned int t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - unsigned int t340; - unsigned int t341; - unsigned int t342; - unsigned int t343; - unsigned int t344; - unsigned int t345; - char *t346; - char *t348; - unsigned int t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - char *t354; - unsigned int t356; - unsigned int t357; - unsigned int t358; - char *t359; - char *t360; - char *t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - char *t369; - char *t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - int t374; - unsigned int t375; - unsigned int t376; - unsigned int t377; - int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - char *t384; - unsigned int t385; - unsigned int t386; - unsigned int t387; - unsigned int t388; - unsigned int t389; - char *t390; - unsigned int t392; - unsigned int t393; - unsigned int t394; - char *t395; - char *t396; - char *t397; - unsigned int t398; - unsigned int t399; - unsigned int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - unsigned int t404; - char *t405; - char *t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - int t415; - int t416; - unsigned int t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - char *t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - char *t429; - char *t430; - char *t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - unsigned int t436; - unsigned int t437; - unsigned int t438; - unsigned int t439; - char *t440; - -LAB0: t1 = (t0 + 12008U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(112, ng0); - t2 = (t0 + 12800); - *((int *)t2) = 1; - t3 = (t0 + 12040); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(112, ng0); - -LAB5: xsi_set_current_line(113, ng0); - t5 = (t0 + 2808U); - t6 = *((char **)t5); - memset(t7, 0, 8); - t5 = (t6 + 4); - t8 = *((unsigned int *)t5); - t9 = (~(t8)); - t10 = *((unsigned int *)t6); - t11 = (t10 & t9); - t12 = (t11 & 1U); - if (t12 != 0) - goto LAB6; - -LAB7: if (*((unsigned int *)t5) != 0) - goto LAB8; - -LAB9: t14 = (t7 + 4); - t15 = *((unsigned int *)t7); - t16 = *((unsigned int *)t14); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB10; - -LAB11: memcpy(t391, t7, 8); - -LAB12: memset(t4, 0, 8); - t423 = (t391 + 4); - t424 = *((unsigned int *)t423); - t425 = (~(t424)); - t426 = *((unsigned int *)t391); - t427 = (t426 & t425); - t428 = (t427 & 1U); - if (t428 != 0) - goto LAB117; - -LAB115: if (*((unsigned int *)t423) == 0) - goto LAB114; - -LAB116: t429 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t429) = 1; - -LAB117: t430 = (t4 + 4); - t431 = (t391 + 4); - t432 = *((unsigned int *)t391); - t433 = (~(t432)); - *((unsigned int *)t4) = t433; - *((unsigned int *)t430) = 0; - if (*((unsigned int *)t431) != 0) - goto LAB119; - -LAB118: t438 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t438 & 1U); - t439 = *((unsigned int *)t430); - *((unsigned int *)t430) = (t439 & 1U); - t440 = (t0 + 4488); - xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t7) = 1; - goto LAB9; - -LAB8: t13 = (t7 + 4); - *((unsigned int *)t7) = 1; - *((unsigned int *)t13) = 1; - goto LAB9; - -LAB10: t18 = (t0 + 7368); - t19 = (t18 + 56U); - t20 = *((char **)t19); - t21 = ((char*)((ng1))); - memset(t22, 0, 8); - t23 = (t20 + 4); - t24 = (t21 + 4); - t25 = *((unsigned int *)t20); - t26 = *((unsigned int *)t21); - t27 = (t25 ^ t26); - t28 = *((unsigned int *)t23); - t29 = *((unsigned int *)t24); - t30 = (t28 ^ t29); - t31 = (t27 | t30); - t32 = *((unsigned int *)t23); - t33 = *((unsigned int *)t24); - t34 = (t32 | t33); - t35 = (~(t34)); - t36 = (t31 & t35); - if (t36 != 0) - goto LAB16; - -LAB13: if (t34 != 0) - goto LAB15; - -LAB14: *((unsigned int *)t22) = 1; - -LAB16: memset(t38, 0, 8); - t39 = (t22 + 4); - t40 = *((unsigned int *)t39); - t41 = (~(t40)); - t42 = *((unsigned int *)t22); - t43 = (t42 & t41); - t44 = (t43 & 1U); - if (t44 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t39) != 0) - goto LAB19; - -LAB20: t46 = (t38 + 4); - t47 = *((unsigned int *)t38); - t48 = (!(t47)); - t49 = *((unsigned int *)t46); - t50 = (t48 || t49); - if (t50 > 0) - goto LAB21; - -LAB22: memcpy(t79, t38, 8); - -LAB23: memset(t107, 0, 8); - t108 = (t79 + 4); - t109 = *((unsigned int *)t108); - t110 = (~(t109)); - t111 = *((unsigned int *)t79); - t112 = (t111 & t110); - t113 = (t112 & 1U); - if (t113 != 0) - goto LAB35; - -LAB36: if (*((unsigned int *)t108) != 0) - goto LAB37; - -LAB38: t115 = (t107 + 4); - t116 = *((unsigned int *)t107); - t117 = (!(t116)); - t118 = *((unsigned int *)t115); - t119 = (t117 || t118); - if (t119 > 0) - goto LAB39; - -LAB40: memcpy(t148, t107, 8); - -LAB41: memset(t176, 0, 8); - t177 = (t148 + 4); - t178 = *((unsigned int *)t177); - t179 = (~(t178)); - t180 = *((unsigned int *)t148); - t181 = (t180 & t179); - t182 = (t181 & 1U); - if (t182 != 0) - goto LAB53; - -LAB54: if (*((unsigned int *)t177) != 0) - goto LAB55; - -LAB56: t184 = (t176 + 4); - t185 = *((unsigned int *)t176); - t186 = (!(t185)); - t187 = *((unsigned int *)t184); - t188 = (t186 || t187); - if (t188 > 0) - goto LAB57; - -LAB58: memcpy(t217, t176, 8); - -LAB59: memset(t245, 0, 8); - t246 = (t217 + 4); - t247 = *((unsigned int *)t246); - t248 = (~(t247)); - t249 = *((unsigned int *)t217); - t250 = (t249 & t248); - t251 = (t250 & 1U); - if (t251 != 0) - goto LAB71; - -LAB72: if (*((unsigned int *)t246) != 0) - goto LAB73; - -LAB74: t253 = (t245 + 4); - t254 = *((unsigned int *)t245); - t255 = (!(t254)); - t256 = *((unsigned int *)t253); - t257 = (t255 || t256); - if (t257 > 0) - goto LAB75; - -LAB76: memcpy(t286, t245, 8); - -LAB77: memset(t314, 0, 8); - t315 = (t286 + 4); - t316 = *((unsigned int *)t315); - t317 = (~(t316)); - t318 = *((unsigned int *)t286); - t319 = (t318 & t317); - t320 = (t319 & 1U); - if (t320 != 0) - goto LAB89; - -LAB90: if (*((unsigned int *)t315) != 0) - goto LAB91; - -LAB92: t322 = (t314 + 4); - t323 = *((unsigned int *)t314); - t324 = (!(t323)); - t325 = *((unsigned int *)t322); - t326 = (t324 || t325); - if (t326 > 0) - goto LAB93; - -LAB94: memcpy(t355, t314, 8); - -LAB95: memset(t383, 0, 8); - t384 = (t355 + 4); - t385 = *((unsigned int *)t384); - t386 = (~(t385)); - t387 = *((unsigned int *)t355); - t388 = (t387 & t386); - t389 = (t388 & 1U); - if (t389 != 0) - goto LAB107; - -LAB108: if (*((unsigned int *)t384) != 0) - goto LAB109; - -LAB110: t392 = *((unsigned int *)t7); - t393 = *((unsigned int *)t383); - t394 = (t392 & t393); - *((unsigned int *)t391) = t394; - t395 = (t7 + 4); - t396 = (t383 + 4); - t397 = (t391 + 4); - t398 = *((unsigned int *)t395); - t399 = *((unsigned int *)t396); - t400 = (t398 | t399); - *((unsigned int *)t397) = t400; - t401 = *((unsigned int *)t397); - t402 = (t401 != 0); - if (t402 == 1) - goto LAB111; - -LAB112: -LAB113: goto LAB12; - -LAB15: t37 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t37) = 1; - goto LAB16; - -LAB17: *((unsigned int *)t38) = 1; - goto LAB20; - -LAB19: t45 = (t38 + 4); - *((unsigned int *)t38) = 1; - *((unsigned int *)t45) = 1; - goto LAB20; - -LAB21: t51 = (t0 + 7368); - t52 = (t51 + 56U); - t53 = *((char **)t52); - t54 = ((char*)((ng6))); - memset(t55, 0, 8); - t56 = (t53 + 4); - t57 = (t54 + 4); - t58 = *((unsigned int *)t53); - t59 = *((unsigned int *)t54); - t60 = (t58 ^ t59); - t61 = *((unsigned int *)t56); - t62 = *((unsigned int *)t57); - t63 = (t61 ^ t62); - t64 = (t60 | t63); - t65 = *((unsigned int *)t56); - t66 = *((unsigned int *)t57); - t67 = (t65 | t66); - t68 = (~(t67)); - t69 = (t64 & t68); - if (t69 != 0) - goto LAB27; - -LAB24: if (t67 != 0) - goto LAB26; - -LAB25: *((unsigned int *)t55) = 1; - -LAB27: memset(t71, 0, 8); - t72 = (t55 + 4); - t73 = *((unsigned int *)t72); - t74 = (~(t73)); - t75 = *((unsigned int *)t55); - t76 = (t75 & t74); - t77 = (t76 & 1U); - if (t77 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t72) != 0) - goto LAB30; - -LAB31: t80 = *((unsigned int *)t38); - t81 = *((unsigned int *)t71); - t82 = (t80 | t81); - *((unsigned int *)t79) = t82; - t83 = (t38 + 4); - t84 = (t71 + 4); - t85 = (t79 + 4); - t86 = *((unsigned int *)t83); - t87 = *((unsigned int *)t84); - t88 = (t86 | t87); - *((unsigned int *)t85) = t88; - t89 = *((unsigned int *)t85); - t90 = (t89 != 0); - if (t90 == 1) - goto LAB32; - -LAB33: -LAB34: goto LAB23; - -LAB26: t70 = (t55 + 4); - *((unsigned int *)t55) = 1; - *((unsigned int *)t70) = 1; - goto LAB27; - -LAB28: *((unsigned int *)t71) = 1; - goto LAB31; - -LAB30: t78 = (t71 + 4); - *((unsigned int *)t71) = 1; - *((unsigned int *)t78) = 1; - goto LAB31; - -LAB32: t91 = *((unsigned int *)t79); - t92 = *((unsigned int *)t85); - *((unsigned int *)t79) = (t91 | t92); - t93 = (t38 + 4); - t94 = (t71 + 4); - t95 = *((unsigned int *)t93); - t96 = (~(t95)); - t97 = *((unsigned int *)t38); - t98 = (t97 & t96); - t99 = *((unsigned int *)t94); - t100 = (~(t99)); - t101 = *((unsigned int *)t71); - t102 = (t101 & t100); - t103 = (~(t98)); - t104 = (~(t102)); - t105 = *((unsigned int *)t85); - *((unsigned int *)t85) = (t105 & t103); - t106 = *((unsigned int *)t85); - *((unsigned int *)t85) = (t106 & t104); - goto LAB34; - -LAB35: *((unsigned int *)t107) = 1; - goto LAB38; - -LAB37: t114 = (t107 + 4); - *((unsigned int *)t107) = 1; - *((unsigned int *)t114) = 1; - goto LAB38; - -LAB39: t120 = (t0 + 7368); - t121 = (t120 + 56U); - t122 = *((char **)t121); - t123 = ((char*)((ng7))); - memset(t124, 0, 8); - t125 = (t122 + 4); - t126 = (t123 + 4); - t127 = *((unsigned int *)t122); - t128 = *((unsigned int *)t123); - t129 = (t127 ^ t128); - t130 = *((unsigned int *)t125); - t131 = *((unsigned int *)t126); - t132 = (t130 ^ t131); - t133 = (t129 | t132); - t134 = *((unsigned int *)t125); - t135 = *((unsigned int *)t126); - t136 = (t134 | t135); - t137 = (~(t136)); - t138 = (t133 & t137); - if (t138 != 0) - goto LAB45; - -LAB42: if (t136 != 0) - goto LAB44; - -LAB43: *((unsigned int *)t124) = 1; - -LAB45: memset(t140, 0, 8); - t141 = (t124 + 4); - t142 = *((unsigned int *)t141); - t143 = (~(t142)); - t144 = *((unsigned int *)t124); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t141) != 0) - goto LAB48; - -LAB49: t149 = *((unsigned int *)t107); - t150 = *((unsigned int *)t140); - t151 = (t149 | t150); - *((unsigned int *)t148) = t151; - t152 = (t107 + 4); - t153 = (t140 + 4); - t154 = (t148 + 4); - t155 = *((unsigned int *)t152); - t156 = *((unsigned int *)t153); - t157 = (t155 | t156); - *((unsigned int *)t154) = t157; - t158 = *((unsigned int *)t154); - t159 = (t158 != 0); - if (t159 == 1) - goto LAB50; - -LAB51: -LAB52: goto LAB41; - -LAB44: t139 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t139) = 1; - goto LAB45; - -LAB46: *((unsigned int *)t140) = 1; - goto LAB49; - -LAB48: t147 = (t140 + 4); - *((unsigned int *)t140) = 1; - *((unsigned int *)t147) = 1; - goto LAB49; - -LAB50: t160 = *((unsigned int *)t148); - t161 = *((unsigned int *)t154); - *((unsigned int *)t148) = (t160 | t161); - t162 = (t107 + 4); - t163 = (t140 + 4); - t164 = *((unsigned int *)t162); - t165 = (~(t164)); - t166 = *((unsigned int *)t107); - t167 = (t166 & t165); - t168 = *((unsigned int *)t163); - t169 = (~(t168)); - t170 = *((unsigned int *)t140); - t171 = (t170 & t169); - t172 = (~(t167)); - t173 = (~(t171)); - t174 = *((unsigned int *)t154); - *((unsigned int *)t154) = (t174 & t172); - t175 = *((unsigned int *)t154); - *((unsigned int *)t154) = (t175 & t173); - goto LAB52; - -LAB53: *((unsigned int *)t176) = 1; - goto LAB56; - -LAB55: t183 = (t176 + 4); - *((unsigned int *)t176) = 1; - *((unsigned int *)t183) = 1; - goto LAB56; - -LAB57: t189 = (t0 + 7368); - t190 = (t189 + 56U); - t191 = *((char **)t190); - t192 = ((char*)((ng8))); - memset(t193, 0, 8); - t194 = (t191 + 4); - t195 = (t192 + 4); - t196 = *((unsigned int *)t191); - t197 = *((unsigned int *)t192); - t198 = (t196 ^ t197); - t199 = *((unsigned int *)t194); - t200 = *((unsigned int *)t195); - t201 = (t199 ^ t200); - t202 = (t198 | t201); - t203 = *((unsigned int *)t194); - t204 = *((unsigned int *)t195); - t205 = (t203 | t204); - t206 = (~(t205)); - t207 = (t202 & t206); - if (t207 != 0) - goto LAB63; - -LAB60: if (t205 != 0) - goto LAB62; - -LAB61: *((unsigned int *)t193) = 1; - -LAB63: memset(t209, 0, 8); - t210 = (t193 + 4); - t211 = *((unsigned int *)t210); - t212 = (~(t211)); - t213 = *((unsigned int *)t193); - t214 = (t213 & t212); - t215 = (t214 & 1U); - if (t215 != 0) - goto LAB64; - -LAB65: if (*((unsigned int *)t210) != 0) - goto LAB66; - -LAB67: t218 = *((unsigned int *)t176); - t219 = *((unsigned int *)t209); - t220 = (t218 | t219); - *((unsigned int *)t217) = t220; - t221 = (t176 + 4); - t222 = (t209 + 4); - t223 = (t217 + 4); - t224 = *((unsigned int *)t221); - t225 = *((unsigned int *)t222); - t226 = (t224 | t225); - *((unsigned int *)t223) = t226; - t227 = *((unsigned int *)t223); - t228 = (t227 != 0); - if (t228 == 1) - goto LAB68; - -LAB69: -LAB70: goto LAB59; - -LAB62: t208 = (t193 + 4); - *((unsigned int *)t193) = 1; - *((unsigned int *)t208) = 1; - goto LAB63; - -LAB64: *((unsigned int *)t209) = 1; - goto LAB67; - -LAB66: t216 = (t209 + 4); - *((unsigned int *)t209) = 1; - *((unsigned int *)t216) = 1; - goto LAB67; - -LAB68: t229 = *((unsigned int *)t217); - t230 = *((unsigned int *)t223); - *((unsigned int *)t217) = (t229 | t230); - t231 = (t176 + 4); - t232 = (t209 + 4); - t233 = *((unsigned int *)t231); - t234 = (~(t233)); - t235 = *((unsigned int *)t176); - t236 = (t235 & t234); - t237 = *((unsigned int *)t232); - t238 = (~(t237)); - t239 = *((unsigned int *)t209); - t240 = (t239 & t238); - t241 = (~(t236)); - t242 = (~(t240)); - t243 = *((unsigned int *)t223); - *((unsigned int *)t223) = (t243 & t241); - t244 = *((unsigned int *)t223); - *((unsigned int *)t223) = (t244 & t242); - goto LAB70; - -LAB71: *((unsigned int *)t245) = 1; - goto LAB74; - -LAB73: t252 = (t245 + 4); - *((unsigned int *)t245) = 1; - *((unsigned int *)t252) = 1; - goto LAB74; - -LAB75: t258 = (t0 + 7368); - t259 = (t258 + 56U); - t260 = *((char **)t259); - t261 = ((char*)((ng9))); - memset(t262, 0, 8); - t263 = (t260 + 4); - t264 = (t261 + 4); - t265 = *((unsigned int *)t260); - t266 = *((unsigned int *)t261); - t267 = (t265 ^ t266); - t268 = *((unsigned int *)t263); - t269 = *((unsigned int *)t264); - t270 = (t268 ^ t269); - t271 = (t267 | t270); - t272 = *((unsigned int *)t263); - t273 = *((unsigned int *)t264); - t274 = (t272 | t273); - t275 = (~(t274)); - t276 = (t271 & t275); - if (t276 != 0) - goto LAB81; - -LAB78: if (t274 != 0) - goto LAB80; - -LAB79: *((unsigned int *)t262) = 1; - -LAB81: memset(t278, 0, 8); - t279 = (t262 + 4); - t280 = *((unsigned int *)t279); - t281 = (~(t280)); - t282 = *((unsigned int *)t262); - t283 = (t282 & t281); - t284 = (t283 & 1U); - if (t284 != 0) - goto LAB82; - -LAB83: if (*((unsigned int *)t279) != 0) - goto LAB84; - -LAB85: t287 = *((unsigned int *)t245); - t288 = *((unsigned int *)t278); - t289 = (t287 | t288); - *((unsigned int *)t286) = t289; - t290 = (t245 + 4); - t291 = (t278 + 4); - t292 = (t286 + 4); - t293 = *((unsigned int *)t290); - t294 = *((unsigned int *)t291); - t295 = (t293 | t294); - *((unsigned int *)t292) = t295; - t296 = *((unsigned int *)t292); - t297 = (t296 != 0); - if (t297 == 1) - goto LAB86; - -LAB87: -LAB88: goto LAB77; - -LAB80: t277 = (t262 + 4); - *((unsigned int *)t262) = 1; - *((unsigned int *)t277) = 1; - goto LAB81; - -LAB82: *((unsigned int *)t278) = 1; - goto LAB85; - -LAB84: t285 = (t278 + 4); - *((unsigned int *)t278) = 1; - *((unsigned int *)t285) = 1; - goto LAB85; - -LAB86: t298 = *((unsigned int *)t286); - t299 = *((unsigned int *)t292); - *((unsigned int *)t286) = (t298 | t299); - t300 = (t245 + 4); - t301 = (t278 + 4); - t302 = *((unsigned int *)t300); - t303 = (~(t302)); - t304 = *((unsigned int *)t245); - t305 = (t304 & t303); - t306 = *((unsigned int *)t301); - t307 = (~(t306)); - t308 = *((unsigned int *)t278); - t309 = (t308 & t307); - t310 = (~(t305)); - t311 = (~(t309)); - t312 = *((unsigned int *)t292); - *((unsigned int *)t292) = (t312 & t310); - t313 = *((unsigned int *)t292); - *((unsigned int *)t292) = (t313 & t311); - goto LAB88; - -LAB89: *((unsigned int *)t314) = 1; - goto LAB92; - -LAB91: t321 = (t314 + 4); - *((unsigned int *)t314) = 1; - *((unsigned int *)t321) = 1; - goto LAB92; - -LAB93: t327 = (t0 + 7368); - t328 = (t327 + 56U); - t329 = *((char **)t328); - t330 = ((char*)((ng10))); - memset(t331, 0, 8); - t332 = (t329 + 4); - t333 = (t330 + 4); - t334 = *((unsigned int *)t329); - t335 = *((unsigned int *)t330); - t336 = (t334 ^ t335); - t337 = *((unsigned int *)t332); - t338 = *((unsigned int *)t333); - t339 = (t337 ^ t338); - t340 = (t336 | t339); - t341 = *((unsigned int *)t332); - t342 = *((unsigned int *)t333); - t343 = (t341 | t342); - t344 = (~(t343)); - t345 = (t340 & t344); - if (t345 != 0) - goto LAB99; - -LAB96: if (t343 != 0) - goto LAB98; - -LAB97: *((unsigned int *)t331) = 1; - -LAB99: memset(t347, 0, 8); - t348 = (t331 + 4); - t349 = *((unsigned int *)t348); - t350 = (~(t349)); - t351 = *((unsigned int *)t331); - t352 = (t351 & t350); - t353 = (t352 & 1U); - if (t353 != 0) - goto LAB100; - -LAB101: if (*((unsigned int *)t348) != 0) - goto LAB102; - -LAB103: t356 = *((unsigned int *)t314); - t357 = *((unsigned int *)t347); - t358 = (t356 | t357); - *((unsigned int *)t355) = t358; - t359 = (t314 + 4); - t360 = (t347 + 4); - t361 = (t355 + 4); - t362 = *((unsigned int *)t359); - t363 = *((unsigned int *)t360); - t364 = (t362 | t363); - *((unsigned int *)t361) = t364; - t365 = *((unsigned int *)t361); - t366 = (t365 != 0); - if (t366 == 1) - goto LAB104; - -LAB105: -LAB106: goto LAB95; - -LAB98: t346 = (t331 + 4); - *((unsigned int *)t331) = 1; - *((unsigned int *)t346) = 1; - goto LAB99; - -LAB100: *((unsigned int *)t347) = 1; - goto LAB103; - -LAB102: t354 = (t347 + 4); - *((unsigned int *)t347) = 1; - *((unsigned int *)t354) = 1; - goto LAB103; - -LAB104: t367 = *((unsigned int *)t355); - t368 = *((unsigned int *)t361); - *((unsigned int *)t355) = (t367 | t368); - t369 = (t314 + 4); - t370 = (t347 + 4); - t371 = *((unsigned int *)t369); - t372 = (~(t371)); - t373 = *((unsigned int *)t314); - t374 = (t373 & t372); - t375 = *((unsigned int *)t370); - t376 = (~(t375)); - t377 = *((unsigned int *)t347); - t378 = (t377 & t376); - t379 = (~(t374)); - t380 = (~(t378)); - t381 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t381 & t379); - t382 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t382 & t380); - goto LAB106; - -LAB107: *((unsigned int *)t383) = 1; - goto LAB110; - -LAB109: t390 = (t383 + 4); - *((unsigned int *)t383) = 1; - *((unsigned int *)t390) = 1; - goto LAB110; - -LAB111: t403 = *((unsigned int *)t391); - t404 = *((unsigned int *)t397); - *((unsigned int *)t391) = (t403 | t404); - t405 = (t7 + 4); - t406 = (t383 + 4); - t407 = *((unsigned int *)t7); - t408 = (~(t407)); - t409 = *((unsigned int *)t405); - t410 = (~(t409)); - t411 = *((unsigned int *)t383); - t412 = (~(t411)); - t413 = *((unsigned int *)t406); - t414 = (~(t413)); - t415 = (t408 & t410); - t416 = (t412 & t414); - t417 = (~(t415)); - t418 = (~(t416)); - t419 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t419 & t417); - t420 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t420 & t418); - t421 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t421 & t417); - t422 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t422 & t418); - goto LAB113; - -LAB114: *((unsigned int *)t4) = 1; - goto LAB117; - -LAB119: t434 = *((unsigned int *)t4); - t435 = *((unsigned int *)t431); - *((unsigned int *)t4) = (t434 | t435); - t436 = *((unsigned int *)t430); - t437 = *((unsigned int *)t431); - *((unsigned int *)t430) = (t436 | t437); - goto LAB118; - -} - -static void Always_118_16(char *t0) -{ - char t4[8]; - char t9[8]; - char t25[8]; - char t42[8]; - char t58[8]; - char t66[8]; - char t94[8]; - char t111[8]; - char t127[8]; - char t135[8]; - char t163[8]; - char t180[8]; - char t196[8]; - char t204[8]; - char t232[8]; - char t249[8]; - char t265[8]; - char t273[8]; - char t319[8]; - char t320[8]; - char t331[8]; - char t347[8]; - char t355[8]; - char t383[8]; - char t391[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - char *t7; - char *t8; - char *t10; - char *t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - char *t24; - char *t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - unsigned int t30; - unsigned int t31; - char *t32; - char *t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - char *t38; - char *t39; - char *t40; - char *t41; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - unsigned int t67; - unsigned int t68; - unsigned int t69; - char *t70; - char *t71; - char *t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - char *t80; - char *t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - char *t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - unsigned int t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - char *t107; - char *t108; - char *t109; - char *t110; - char *t112; - char *t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - char *t126; - char *t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - char *t134; - unsigned int t136; - unsigned int t137; - unsigned int t138; - char *t139; - char *t140; - char *t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - char *t149; - char *t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - char *t164; - unsigned int t165; - unsigned int t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - char *t170; - char *t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t176; - char *t177; - char *t178; - char *t179; - char *t181; - char *t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - char *t195; - char *t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - char *t203; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t209; - char *t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - char *t218; - char *t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - char *t233; - unsigned int t234; - unsigned int t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - char *t239; - char *t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - unsigned int t244; - char *t245; - char *t246; - char *t247; - char *t248; - char *t250; - char *t251; - unsigned int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - char *t264; - char *t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - char *t272; - unsigned int t274; - unsigned int t275; - unsigned int t276; - char *t277; - char *t278; - char *t279; - unsigned int t280; - unsigned int t281; - unsigned int t282; - unsigned int t283; - unsigned int t284; - unsigned int t285; - unsigned int t286; - char *t287; - char *t288; - unsigned int t289; - unsigned int t290; - unsigned int t291; - int t292; - unsigned int t293; - unsigned int t294; - unsigned int t295; - int t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - unsigned int t300; - char *t301; - unsigned int t302; - unsigned int t303; - unsigned int t304; - unsigned int t305; - unsigned int t306; - char *t307; - char *t308; - char *t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - unsigned int t314; - unsigned int t315; - unsigned int t316; - unsigned int t317; - char *t318; - unsigned int t321; - unsigned int t322; - unsigned int t323; - unsigned int t324; - unsigned int t325; - unsigned int t326; - unsigned int t327; - unsigned int t328; - char *t329; - char *t330; - char *t332; - char *t333; - unsigned int t334; - unsigned int t335; - unsigned int t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - unsigned int t340; - unsigned int t341; - unsigned int t342; - unsigned int t343; - unsigned int t344; - unsigned int t345; - char *t346; - char *t348; - unsigned int t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - char *t354; - unsigned int t356; - unsigned int t357; - unsigned int t358; - char *t359; - char *t360; - char *t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - char *t369; - char *t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - int t374; - unsigned int t375; - unsigned int t376; - unsigned int t377; - int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - char *t384; - unsigned int t385; - unsigned int t386; - unsigned int t387; - unsigned int t388; - unsigned int t389; - char *t390; - unsigned int t392; - unsigned int t393; - unsigned int t394; - char *t395; - char *t396; - char *t397; - unsigned int t398; - unsigned int t399; - unsigned int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - unsigned int t404; - char *t405; - char *t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - int t415; - int t416; - unsigned int t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - char *t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - char *t429; - char *t430; - char *t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - unsigned int t436; - unsigned int t437; - unsigned int t438; - unsigned int t439; - char *t440; - -LAB0: t1 = (t0 + 12256U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(118, ng0); - t2 = (t0 + 12816); - *((int *)t2) = 1; - t3 = (t0 + 12288); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(118, ng0); - -LAB5: xsi_set_current_line(119, ng0); - t5 = (t0 + 7368); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = ((char*)((ng1))); - memset(t9, 0, 8); - t10 = (t7 + 4); - t11 = (t8 + 4); - t12 = *((unsigned int *)t7); - t13 = *((unsigned int *)t8); - t14 = (t12 ^ t13); - t15 = *((unsigned int *)t10); - t16 = *((unsigned int *)t11); - t17 = (t15 ^ t16); - t18 = (t14 | t17); - t19 = *((unsigned int *)t10); - t20 = *((unsigned int *)t11); - t21 = (t19 | t20); - t22 = (~(t21)); - t23 = (t18 & t22); - if (t23 != 0) - goto LAB9; - -LAB6: if (t21 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t9) = 1; - -LAB9: memset(t25, 0, 8); - t26 = (t9 + 4); - t27 = *((unsigned int *)t26); - t28 = (~(t27)); - t29 = *((unsigned int *)t9); - t30 = (t29 & t28); - t31 = (t30 & 1U); - if (t31 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t26) != 0) - goto LAB12; - -LAB13: t33 = (t25 + 4); - t34 = *((unsigned int *)t25); - t35 = (!(t34)); - t36 = *((unsigned int *)t33); - t37 = (t35 || t36); - if (t37 > 0) - goto LAB14; - -LAB15: memcpy(t66, t25, 8); - -LAB16: memset(t94, 0, 8); - t95 = (t66 + 4); - t96 = *((unsigned int *)t95); - t97 = (~(t96)); - t98 = *((unsigned int *)t66); - t99 = (t98 & t97); - t100 = (t99 & 1U); - if (t100 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t95) != 0) - goto LAB30; - -LAB31: t102 = (t94 + 4); - t103 = *((unsigned int *)t94); - t104 = (!(t103)); - t105 = *((unsigned int *)t102); - t106 = (t104 || t105); - if (t106 > 0) - goto LAB32; - -LAB33: memcpy(t135, t94, 8); - -LAB34: memset(t163, 0, 8); - t164 = (t135 + 4); - t165 = *((unsigned int *)t164); - t166 = (~(t165)); - t167 = *((unsigned int *)t135); - t168 = (t167 & t166); - t169 = (t168 & 1U); - if (t169 != 0) - goto LAB46; - -LAB47: if (*((unsigned int *)t164) != 0) - goto LAB48; - -LAB49: t171 = (t163 + 4); - t172 = *((unsigned int *)t163); - t173 = (!(t172)); - t174 = *((unsigned int *)t171); - t175 = (t173 || t174); - if (t175 > 0) - goto LAB50; - -LAB51: memcpy(t204, t163, 8); - -LAB52: memset(t232, 0, 8); - t233 = (t204 + 4); - t234 = *((unsigned int *)t233); - t235 = (~(t234)); - t236 = *((unsigned int *)t204); - t237 = (t236 & t235); - t238 = (t237 & 1U); - if (t238 != 0) - goto LAB64; - -LAB65: if (*((unsigned int *)t233) != 0) - goto LAB66; - -LAB67: t240 = (t232 + 4); - t241 = *((unsigned int *)t232); - t242 = (!(t241)); - t243 = *((unsigned int *)t240); - t244 = (t242 || t243); - if (t244 > 0) - goto LAB68; - -LAB69: memcpy(t273, t232, 8); - -LAB70: memset(t4, 0, 8); - t301 = (t273 + 4); - t302 = *((unsigned int *)t301); - t303 = (~(t302)); - t304 = *((unsigned int *)t273); - t305 = (t304 & t303); - t306 = (t305 & 1U); - if (t306 != 0) - goto LAB85; - -LAB83: if (*((unsigned int *)t301) == 0) - goto LAB82; - -LAB84: t307 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t307) = 1; - -LAB85: t308 = (t4 + 4); - t309 = (t273 + 4); - t310 = *((unsigned int *)t273); - t311 = (~(t310)); - *((unsigned int *)t4) = t311; - *((unsigned int *)t308) = 0; - if (*((unsigned int *)t309) != 0) - goto LAB87; - -LAB86: t316 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t316 & 1U); - t317 = *((unsigned int *)t308); - *((unsigned int *)t308) = (t317 & 1U); - t318 = (t0 + 3848); - xsi_vlogvar_wait_assign_value(t318, t4, 0, 0, 1, 0LL); - xsi_set_current_line(120, ng0); - t2 = (t0 + 2488U); - t3 = *((char **)t2); - memset(t9, 0, 8); - t2 = (t3 + 4); - t12 = *((unsigned int *)t2); - t13 = (~(t12)); - t14 = *((unsigned int *)t3); - t15 = (t14 & t13); - t16 = (t15 & 1U); - if (t16 != 0) - goto LAB88; - -LAB89: if (*((unsigned int *)t2) != 0) - goto LAB90; - -LAB91: t6 = (t9 + 4); - t17 = *((unsigned int *)t9); - t18 = *((unsigned int *)t6); - t19 = (t17 || t18); - if (t19 > 0) - goto LAB92; - -LAB93: memcpy(t391, t9, 8); - -LAB94: memset(t4, 0, 8); - t423 = (t391 + 4); - t424 = *((unsigned int *)t423); - t425 = (~(t424)); - t426 = *((unsigned int *)t391); - t427 = (t426 & t425); - t428 = (t427 & 1U); - if (t428 != 0) - goto LAB201; - -LAB199: if (*((unsigned int *)t423) == 0) - goto LAB198; - -LAB200: t429 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t429) = 1; - -LAB201: t430 = (t4 + 4); - t431 = (t391 + 4); - t432 = *((unsigned int *)t391); - t433 = (~(t432)); - *((unsigned int *)t4) = t433; - *((unsigned int *)t430) = 0; - if (*((unsigned int *)t431) != 0) - goto LAB203; - -LAB202: t438 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t438 & 1U); - t439 = *((unsigned int *)t430); - *((unsigned int *)t430) = (t439 & 1U); - t440 = (t0 + 4008); - xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); - xsi_set_current_line(121, ng0); - t2 = (t0 + 2648U); - t3 = *((char **)t2); - memset(t9, 0, 8); - t2 = (t3 + 4); - t12 = *((unsigned int *)t2); - t13 = (~(t12)); - t14 = *((unsigned int *)t3); - t15 = (t14 & t13); - t16 = (t15 & 1U); - if (t16 != 0) - goto LAB204; - -LAB205: if (*((unsigned int *)t2) != 0) - goto LAB206; - -LAB207: t6 = (t9 + 4); - t17 = *((unsigned int *)t9); - t18 = *((unsigned int *)t6); - t19 = (t17 || t18); - if (t19 > 0) - goto LAB208; - -LAB209: memcpy(t391, t9, 8); - -LAB210: memset(t4, 0, 8); - t423 = (t391 + 4); - t424 = *((unsigned int *)t423); - t425 = (~(t424)); - t426 = *((unsigned int *)t391); - t427 = (t426 & t425); - t428 = (t427 & 1U); - if (t428 != 0) - goto LAB317; - -LAB315: if (*((unsigned int *)t423) == 0) - goto LAB314; - -LAB316: t429 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t429) = 1; - -LAB317: t430 = (t4 + 4); - t431 = (t391 + 4); - t432 = *((unsigned int *)t391); - t433 = (~(t432)); - *((unsigned int *)t4) = t433; - *((unsigned int *)t430) = 0; - if (*((unsigned int *)t431) != 0) - goto LAB319; - -LAB318: t438 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t438 & 1U); - t439 = *((unsigned int *)t430); - *((unsigned int *)t430) = (t439 & 1U); - t440 = (t0 + 4168); - xsi_vlogvar_wait_assign_value(t440, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB8: t24 = (t9 + 4); - *((unsigned int *)t9) = 1; - *((unsigned int *)t24) = 1; - goto LAB9; - -LAB10: *((unsigned int *)t25) = 1; - goto LAB13; - -LAB12: t32 = (t25 + 4); - *((unsigned int *)t25) = 1; - *((unsigned int *)t32) = 1; - goto LAB13; - -LAB14: t38 = (t0 + 7368); - t39 = (t38 + 56U); - t40 = *((char **)t39); - t41 = ((char*)((ng6))); - memset(t42, 0, 8); - t43 = (t40 + 4); - t44 = (t41 + 4); - t45 = *((unsigned int *)t40); - t46 = *((unsigned int *)t41); - t47 = (t45 ^ t46); - t48 = *((unsigned int *)t43); - t49 = *((unsigned int *)t44); - t50 = (t48 ^ t49); - t51 = (t47 | t50); - t52 = *((unsigned int *)t43); - t53 = *((unsigned int *)t44); - t54 = (t52 | t53); - t55 = (~(t54)); - t56 = (t51 & t55); - if (t56 != 0) - goto LAB20; - -LAB17: if (t54 != 0) - goto LAB19; - -LAB18: *((unsigned int *)t42) = 1; - -LAB20: memset(t58, 0, 8); - t59 = (t42 + 4); - t60 = *((unsigned int *)t59); - t61 = (~(t60)); - t62 = *((unsigned int *)t42); - t63 = (t62 & t61); - t64 = (t63 & 1U); - if (t64 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t59) != 0) - goto LAB23; - -LAB24: t67 = *((unsigned int *)t25); - t68 = *((unsigned int *)t58); - t69 = (t67 | t68); - *((unsigned int *)t66) = t69; - t70 = (t25 + 4); - t71 = (t58 + 4); - t72 = (t66 + 4); - t73 = *((unsigned int *)t70); - t74 = *((unsigned int *)t71); - t75 = (t73 | t74); - *((unsigned int *)t72) = t75; - t76 = *((unsigned int *)t72); - t77 = (t76 != 0); - if (t77 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB16; - -LAB19: t57 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t57) = 1; - goto LAB20; - -LAB21: *((unsigned int *)t58) = 1; - goto LAB24; - -LAB23: t65 = (t58 + 4); - *((unsigned int *)t58) = 1; - *((unsigned int *)t65) = 1; - goto LAB24; - -LAB25: t78 = *((unsigned int *)t66); - t79 = *((unsigned int *)t72); - *((unsigned int *)t66) = (t78 | t79); - t80 = (t25 + 4); - t81 = (t58 + 4); - t82 = *((unsigned int *)t80); - t83 = (~(t82)); - t84 = *((unsigned int *)t25); - t85 = (t84 & t83); - t86 = *((unsigned int *)t81); - t87 = (~(t86)); - t88 = *((unsigned int *)t58); - t89 = (t88 & t87); - t90 = (~(t85)); - t91 = (~(t89)); - t92 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t92 & t90); - t93 = *((unsigned int *)t72); - *((unsigned int *)t72) = (t93 & t91); - goto LAB27; - -LAB28: *((unsigned int *)t94) = 1; - goto LAB31; - -LAB30: t101 = (t94 + 4); - *((unsigned int *)t94) = 1; - *((unsigned int *)t101) = 1; - goto LAB31; - -LAB32: t107 = (t0 + 7368); - t108 = (t107 + 56U); - t109 = *((char **)t108); - t110 = ((char*)((ng7))); - memset(t111, 0, 8); - t112 = (t109 + 4); - t113 = (t110 + 4); - t114 = *((unsigned int *)t109); - t115 = *((unsigned int *)t110); - t116 = (t114 ^ t115); - t117 = *((unsigned int *)t112); - t118 = *((unsigned int *)t113); - t119 = (t117 ^ t118); - t120 = (t116 | t119); - t121 = *((unsigned int *)t112); - t122 = *((unsigned int *)t113); - t123 = (t121 | t122); - t124 = (~(t123)); - t125 = (t120 & t124); - if (t125 != 0) - goto LAB38; - -LAB35: if (t123 != 0) - goto LAB37; - -LAB36: *((unsigned int *)t111) = 1; - -LAB38: memset(t127, 0, 8); - t128 = (t111 + 4); - t129 = *((unsigned int *)t128); - t130 = (~(t129)); - t131 = *((unsigned int *)t111); - t132 = (t131 & t130); - t133 = (t132 & 1U); - if (t133 != 0) - goto LAB39; - -LAB40: if (*((unsigned int *)t128) != 0) - goto LAB41; - -LAB42: t136 = *((unsigned int *)t94); - t137 = *((unsigned int *)t127); - t138 = (t136 | t137); - *((unsigned int *)t135) = t138; - t139 = (t94 + 4); - t140 = (t127 + 4); - t141 = (t135 + 4); - t142 = *((unsigned int *)t139); - t143 = *((unsigned int *)t140); - t144 = (t142 | t143); - *((unsigned int *)t141) = t144; - t145 = *((unsigned int *)t141); - t146 = (t145 != 0); - if (t146 == 1) - goto LAB43; - -LAB44: -LAB45: goto LAB34; - -LAB37: t126 = (t111 + 4); - *((unsigned int *)t111) = 1; - *((unsigned int *)t126) = 1; - goto LAB38; - -LAB39: *((unsigned int *)t127) = 1; - goto LAB42; - -LAB41: t134 = (t127 + 4); - *((unsigned int *)t127) = 1; - *((unsigned int *)t134) = 1; - goto LAB42; - -LAB43: t147 = *((unsigned int *)t135); - t148 = *((unsigned int *)t141); - *((unsigned int *)t135) = (t147 | t148); - t149 = (t94 + 4); - t150 = (t127 + 4); - t151 = *((unsigned int *)t149); - t152 = (~(t151)); - t153 = *((unsigned int *)t94); - t154 = (t153 & t152); - t155 = *((unsigned int *)t150); - t156 = (~(t155)); - t157 = *((unsigned int *)t127); - t158 = (t157 & t156); - t159 = (~(t154)); - t160 = (~(t158)); - t161 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t161 & t159); - t162 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t162 & t160); - goto LAB45; - -LAB46: *((unsigned int *)t163) = 1; - goto LAB49; - -LAB48: t170 = (t163 + 4); - *((unsigned int *)t163) = 1; - *((unsigned int *)t170) = 1; - goto LAB49; - -LAB50: t176 = (t0 + 7368); - t177 = (t176 + 56U); - t178 = *((char **)t177); - t179 = ((char*)((ng8))); - memset(t180, 0, 8); - t181 = (t178 + 4); - t182 = (t179 + 4); - t183 = *((unsigned int *)t178); - t184 = *((unsigned int *)t179); - t185 = (t183 ^ t184); - t186 = *((unsigned int *)t181); - t187 = *((unsigned int *)t182); - t188 = (t186 ^ t187); - t189 = (t185 | t188); - t190 = *((unsigned int *)t181); - t191 = *((unsigned int *)t182); - t192 = (t190 | t191); - t193 = (~(t192)); - t194 = (t189 & t193); - if (t194 != 0) - goto LAB56; - -LAB53: if (t192 != 0) - goto LAB55; - -LAB54: *((unsigned int *)t180) = 1; - -LAB56: memset(t196, 0, 8); - t197 = (t180 + 4); - t198 = *((unsigned int *)t197); - t199 = (~(t198)); - t200 = *((unsigned int *)t180); - t201 = (t200 & t199); - t202 = (t201 & 1U); - if (t202 != 0) - goto LAB57; - -LAB58: if (*((unsigned int *)t197) != 0) - goto LAB59; - -LAB60: t205 = *((unsigned int *)t163); - t206 = *((unsigned int *)t196); - t207 = (t205 | t206); - *((unsigned int *)t204) = t207; - t208 = (t163 + 4); - t209 = (t196 + 4); - t210 = (t204 + 4); - t211 = *((unsigned int *)t208); - t212 = *((unsigned int *)t209); - t213 = (t211 | t212); - *((unsigned int *)t210) = t213; - t214 = *((unsigned int *)t210); - t215 = (t214 != 0); - if (t215 == 1) - goto LAB61; - -LAB62: -LAB63: goto LAB52; - -LAB55: t195 = (t180 + 4); - *((unsigned int *)t180) = 1; - *((unsigned int *)t195) = 1; - goto LAB56; - -LAB57: *((unsigned int *)t196) = 1; - goto LAB60; - -LAB59: t203 = (t196 + 4); - *((unsigned int *)t196) = 1; - *((unsigned int *)t203) = 1; - goto LAB60; - -LAB61: t216 = *((unsigned int *)t204); - t217 = *((unsigned int *)t210); - *((unsigned int *)t204) = (t216 | t217); - t218 = (t163 + 4); - t219 = (t196 + 4); - t220 = *((unsigned int *)t218); - t221 = (~(t220)); - t222 = *((unsigned int *)t163); - t223 = (t222 & t221); - t224 = *((unsigned int *)t219); - t225 = (~(t224)); - t226 = *((unsigned int *)t196); - t227 = (t226 & t225); - t228 = (~(t223)); - t229 = (~(t227)); - t230 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t230 & t228); - t231 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t231 & t229); - goto LAB63; - -LAB64: *((unsigned int *)t232) = 1; - goto LAB67; - -LAB66: t239 = (t232 + 4); - *((unsigned int *)t232) = 1; - *((unsigned int *)t239) = 1; - goto LAB67; - -LAB68: t245 = (t0 + 7368); - t246 = (t245 + 56U); - t247 = *((char **)t246); - t248 = ((char*)((ng9))); - memset(t249, 0, 8); - t250 = (t247 + 4); - t251 = (t248 + 4); - t252 = *((unsigned int *)t247); - t253 = *((unsigned int *)t248); - t254 = (t252 ^ t253); - t255 = *((unsigned int *)t250); - t256 = *((unsigned int *)t251); - t257 = (t255 ^ t256); - t258 = (t254 | t257); - t259 = *((unsigned int *)t250); - t260 = *((unsigned int *)t251); - t261 = (t259 | t260); - t262 = (~(t261)); - t263 = (t258 & t262); - if (t263 != 0) - goto LAB74; - -LAB71: if (t261 != 0) - goto LAB73; - -LAB72: *((unsigned int *)t249) = 1; - -LAB74: memset(t265, 0, 8); - t266 = (t249 + 4); - t267 = *((unsigned int *)t266); - t268 = (~(t267)); - t269 = *((unsigned int *)t249); - t270 = (t269 & t268); - t271 = (t270 & 1U); - if (t271 != 0) - goto LAB75; - -LAB76: if (*((unsigned int *)t266) != 0) - goto LAB77; - -LAB78: t274 = *((unsigned int *)t232); - t275 = *((unsigned int *)t265); - t276 = (t274 | t275); - *((unsigned int *)t273) = t276; - t277 = (t232 + 4); - t278 = (t265 + 4); - t279 = (t273 + 4); - t280 = *((unsigned int *)t277); - t281 = *((unsigned int *)t278); - t282 = (t280 | t281); - *((unsigned int *)t279) = t282; - t283 = *((unsigned int *)t279); - t284 = (t283 != 0); - if (t284 == 1) - goto LAB79; - -LAB80: -LAB81: goto LAB70; - -LAB73: t264 = (t249 + 4); - *((unsigned int *)t249) = 1; - *((unsigned int *)t264) = 1; - goto LAB74; - -LAB75: *((unsigned int *)t265) = 1; - goto LAB78; - -LAB77: t272 = (t265 + 4); - *((unsigned int *)t265) = 1; - *((unsigned int *)t272) = 1; - goto LAB78; - -LAB79: t285 = *((unsigned int *)t273); - t286 = *((unsigned int *)t279); - *((unsigned int *)t273) = (t285 | t286); - t287 = (t232 + 4); - t288 = (t265 + 4); - t289 = *((unsigned int *)t287); - t290 = (~(t289)); - t291 = *((unsigned int *)t232); - t292 = (t291 & t290); - t293 = *((unsigned int *)t288); - t294 = (~(t293)); - t295 = *((unsigned int *)t265); - t296 = (t295 & t294); - t297 = (~(t292)); - t298 = (~(t296)); - t299 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t299 & t297); - t300 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t300 & t298); - goto LAB81; - -LAB82: *((unsigned int *)t4) = 1; - goto LAB85; - -LAB87: t312 = *((unsigned int *)t4); - t313 = *((unsigned int *)t309); - *((unsigned int *)t4) = (t312 | t313); - t314 = *((unsigned int *)t308); - t315 = *((unsigned int *)t309); - *((unsigned int *)t308) = (t314 | t315); - goto LAB86; - -LAB88: *((unsigned int *)t9) = 1; - goto LAB91; - -LAB90: t5 = (t9 + 4); - *((unsigned int *)t9) = 1; - *((unsigned int *)t5) = 1; - goto LAB91; - -LAB92: t7 = (t0 + 7368); - t8 = (t7 + 56U); - t10 = *((char **)t8); - t11 = ((char*)((ng1))); - memset(t25, 0, 8); - t24 = (t10 + 4); - t26 = (t11 + 4); - t20 = *((unsigned int *)t10); - t21 = *((unsigned int *)t11); - t22 = (t20 ^ t21); - t23 = *((unsigned int *)t24); - t27 = *((unsigned int *)t26); - t28 = (t23 ^ t27); - t29 = (t22 | t28); - t30 = *((unsigned int *)t24); - t31 = *((unsigned int *)t26); - t34 = (t30 | t31); - t35 = (~(t34)); - t36 = (t29 & t35); - if (t36 != 0) - goto LAB98; - -LAB95: if (t34 != 0) - goto LAB97; - -LAB96: *((unsigned int *)t25) = 1; - -LAB98: memset(t42, 0, 8); - t33 = (t25 + 4); - t37 = *((unsigned int *)t33); - t45 = (~(t37)); - t46 = *((unsigned int *)t25); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB99; - -LAB100: if (*((unsigned int *)t33) != 0) - goto LAB101; - -LAB102: t39 = (t42 + 4); - t49 = *((unsigned int *)t42); - t50 = (!(t49)); - t51 = *((unsigned int *)t39); - t52 = (t50 || t51); - if (t52 > 0) - goto LAB103; - -LAB104: memcpy(t94, t42, 8); - -LAB105: memset(t111, 0, 8); - t102 = (t94 + 4); - t106 = *((unsigned int *)t102); - t114 = (~(t106)); - t115 = *((unsigned int *)t94); - t116 = (t115 & t114); - t117 = (t116 & 1U); - if (t117 != 0) - goto LAB117; - -LAB118: if (*((unsigned int *)t102) != 0) - goto LAB119; - -LAB120: t108 = (t111 + 4); - t118 = *((unsigned int *)t111); - t119 = *((unsigned int *)t108); - t120 = (t118 || t119); - if (t120 > 0) - goto LAB121; - -LAB122: memcpy(t163, t111, 8); - -LAB123: memset(t180, 0, 8); - t164 = (t163 + 4); - t186 = *((unsigned int *)t164); - t187 = (~(t186)); - t188 = *((unsigned int *)t163); - t189 = (t188 & t187); - t190 = (t189 & 1U); - if (t190 != 0) - goto LAB137; - -LAB138: if (*((unsigned int *)t164) != 0) - goto LAB139; - -LAB140: t171 = (t180 + 4); - t191 = *((unsigned int *)t180); - t192 = (!(t191)); - t193 = *((unsigned int *)t171); - t194 = (t192 || t193); - if (t194 > 0) - goto LAB141; - -LAB142: memcpy(t232, t180, 8); - -LAB143: memset(t249, 0, 8); - t233 = (t232 + 4); - t255 = *((unsigned int *)t233); - t256 = (~(t255)); - t257 = *((unsigned int *)t232); - t258 = (t257 & t256); - t259 = (t258 & 1U); - if (t259 != 0) - goto LAB155; - -LAB156: if (*((unsigned int *)t233) != 0) - goto LAB157; - -LAB158: t240 = (t249 + 4); - t260 = *((unsigned int *)t249); - t261 = (!(t260)); - t262 = *((unsigned int *)t240); - t263 = (t261 || t262); - if (t263 > 0) - goto LAB159; - -LAB160: memcpy(t319, t249, 8); - -LAB161: memset(t320, 0, 8); - t301 = (t319 + 4); - t317 = *((unsigned int *)t301); - t321 = (~(t317)); - t322 = *((unsigned int *)t319); - t323 = (t322 & t321); - t324 = (t323 & 1U); - if (t324 != 0) - goto LAB173; - -LAB174: if (*((unsigned int *)t301) != 0) - goto LAB175; - -LAB176: t308 = (t320 + 4); - t325 = *((unsigned int *)t320); - t326 = (!(t325)); - t327 = *((unsigned int *)t308); - t328 = (t326 || t327); - if (t328 > 0) - goto LAB177; - -LAB178: memcpy(t355, t320, 8); - -LAB179: memset(t383, 0, 8); - t384 = (t355 + 4); - t385 = *((unsigned int *)t384); - t386 = (~(t385)); - t387 = *((unsigned int *)t355); - t388 = (t387 & t386); - t389 = (t388 & 1U); - if (t389 != 0) - goto LAB191; - -LAB192: if (*((unsigned int *)t384) != 0) - goto LAB193; - -LAB194: t392 = *((unsigned int *)t9); - t393 = *((unsigned int *)t383); - t394 = (t392 & t393); - *((unsigned int *)t391) = t394; - t395 = (t9 + 4); - t396 = (t383 + 4); - t397 = (t391 + 4); - t398 = *((unsigned int *)t395); - t399 = *((unsigned int *)t396); - t400 = (t398 | t399); - *((unsigned int *)t397) = t400; - t401 = *((unsigned int *)t397); - t402 = (t401 != 0); - if (t402 == 1) - goto LAB195; - -LAB196: -LAB197: goto LAB94; - -LAB97: t32 = (t25 + 4); - *((unsigned int *)t25) = 1; - *((unsigned int *)t32) = 1; - goto LAB98; - -LAB99: *((unsigned int *)t42) = 1; - goto LAB102; - -LAB101: t38 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t38) = 1; - goto LAB102; - -LAB103: t40 = (t0 + 7368); - t41 = (t40 + 56U); - t43 = *((char **)t41); - t44 = ((char*)((ng6))); - memset(t58, 0, 8); - t57 = (t43 + 4); - t59 = (t44 + 4); - t53 = *((unsigned int *)t43); - t54 = *((unsigned int *)t44); - t55 = (t53 ^ t54); - t56 = *((unsigned int *)t57); - t60 = *((unsigned int *)t59); - t61 = (t56 ^ t60); - t62 = (t55 | t61); - t63 = *((unsigned int *)t57); - t64 = *((unsigned int *)t59); - t67 = (t63 | t64); - t68 = (~(t67)); - t69 = (t62 & t68); - if (t69 != 0) - goto LAB109; - -LAB106: if (t67 != 0) - goto LAB108; - -LAB107: *((unsigned int *)t58) = 1; - -LAB109: memset(t66, 0, 8); - t70 = (t58 + 4); - t73 = *((unsigned int *)t70); - t74 = (~(t73)); - t75 = *((unsigned int *)t58); - t76 = (t75 & t74); - t77 = (t76 & 1U); - if (t77 != 0) - goto LAB110; - -LAB111: if (*((unsigned int *)t70) != 0) - goto LAB112; - -LAB113: t78 = *((unsigned int *)t42); - t79 = *((unsigned int *)t66); - t82 = (t78 | t79); - *((unsigned int *)t94) = t82; - t72 = (t42 + 4); - t80 = (t66 + 4); - t81 = (t94 + 4); - t83 = *((unsigned int *)t72); - t84 = *((unsigned int *)t80); - t86 = (t83 | t84); - *((unsigned int *)t81) = t86; - t87 = *((unsigned int *)t81); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB114; - -LAB115: -LAB116: goto LAB105; - -LAB108: t65 = (t58 + 4); - *((unsigned int *)t58) = 1; - *((unsigned int *)t65) = 1; - goto LAB109; - -LAB110: *((unsigned int *)t66) = 1; - goto LAB113; - -LAB112: t71 = (t66 + 4); - *((unsigned int *)t66) = 1; - *((unsigned int *)t71) = 1; - goto LAB113; - -LAB114: t90 = *((unsigned int *)t94); - t91 = *((unsigned int *)t81); - *((unsigned int *)t94) = (t90 | t91); - t95 = (t42 + 4); - t101 = (t66 + 4); - t92 = *((unsigned int *)t95); - t93 = (~(t92)); - t96 = *((unsigned int *)t42); - t85 = (t96 & t93); - t97 = *((unsigned int *)t101); - t98 = (~(t97)); - t99 = *((unsigned int *)t66); - t89 = (t99 & t98); - t100 = (~(t85)); - t103 = (~(t89)); - t104 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t104 & t100); - t105 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t105 & t103); - goto LAB116; - -LAB117: *((unsigned int *)t111) = 1; - goto LAB120; - -LAB119: t107 = (t111 + 4); - *((unsigned int *)t111) = 1; - *((unsigned int *)t107) = 1; - goto LAB120; - -LAB121: t109 = (t0 + 2808U); - t110 = *((char **)t109); - memset(t127, 0, 8); - t109 = (t110 + 4); - t121 = *((unsigned int *)t109); - t122 = (~(t121)); - t123 = *((unsigned int *)t110); - t124 = (t123 & t122); - t125 = (t124 & 1U); - if (t125 != 0) - goto LAB127; - -LAB125: if (*((unsigned int *)t109) == 0) - goto LAB124; - -LAB126: t112 = (t127 + 4); - *((unsigned int *)t127) = 1; - *((unsigned int *)t112) = 1; - -LAB127: t113 = (t127 + 4); - t126 = (t110 + 4); - t129 = *((unsigned int *)t110); - t130 = (~(t129)); - *((unsigned int *)t127) = t130; - *((unsigned int *)t113) = 0; - if (*((unsigned int *)t126) != 0) - goto LAB129; - -LAB128: t137 = *((unsigned int *)t127); - *((unsigned int *)t127) = (t137 & 1U); - t138 = *((unsigned int *)t113); - *((unsigned int *)t113) = (t138 & 1U); - memset(t135, 0, 8); - t128 = (t127 + 4); - t142 = *((unsigned int *)t128); - t143 = (~(t142)); - t144 = *((unsigned int *)t127); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB130; - -LAB131: if (*((unsigned int *)t128) != 0) - goto LAB132; - -LAB133: t147 = *((unsigned int *)t111); - t148 = *((unsigned int *)t135); - t151 = (t147 & t148); - *((unsigned int *)t163) = t151; - t139 = (t111 + 4); - t140 = (t135 + 4); - t141 = (t163 + 4); - t152 = *((unsigned int *)t139); - t153 = *((unsigned int *)t140); - t155 = (t152 | t153); - *((unsigned int *)t141) = t155; - t156 = *((unsigned int *)t141); - t157 = (t156 != 0); - if (t157 == 1) - goto LAB134; - -LAB135: -LAB136: goto LAB123; - -LAB124: *((unsigned int *)t127) = 1; - goto LAB127; - -LAB129: t131 = *((unsigned int *)t127); - t132 = *((unsigned int *)t126); - *((unsigned int *)t127) = (t131 | t132); - t133 = *((unsigned int *)t113); - t136 = *((unsigned int *)t126); - *((unsigned int *)t113) = (t133 | t136); - goto LAB128; - -LAB130: *((unsigned int *)t135) = 1; - goto LAB133; - -LAB132: t134 = (t135 + 4); - *((unsigned int *)t135) = 1; - *((unsigned int *)t134) = 1; - goto LAB133; - -LAB134: t159 = *((unsigned int *)t163); - t160 = *((unsigned int *)t141); - *((unsigned int *)t163) = (t159 | t160); - t149 = (t111 + 4); - t150 = (t135 + 4); - t161 = *((unsigned int *)t111); - t162 = (~(t161)); - t165 = *((unsigned int *)t149); - t166 = (~(t165)); - t167 = *((unsigned int *)t135); - t168 = (~(t167)); - t169 = *((unsigned int *)t150); - t172 = (~(t169)); - t154 = (t162 & t166); - t158 = (t168 & t172); - t173 = (~(t154)); - t174 = (~(t158)); - t175 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t175 & t173); - t183 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t183 & t174); - t184 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t184 & t173); - t185 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t185 & t174); - goto LAB136; - -LAB137: *((unsigned int *)t180) = 1; - goto LAB140; - -LAB139: t170 = (t180 + 4); - *((unsigned int *)t180) = 1; - *((unsigned int *)t170) = 1; - goto LAB140; - -LAB141: t176 = (t0 + 7368); - t177 = (t176 + 56U); - t178 = *((char **)t177); - t179 = ((char*)((ng7))); - memset(t196, 0, 8); - t181 = (t178 + 4); - t182 = (t179 + 4); - t198 = *((unsigned int *)t178); - t199 = *((unsigned int *)t179); - t200 = (t198 ^ t199); - t201 = *((unsigned int *)t181); - t202 = *((unsigned int *)t182); - t205 = (t201 ^ t202); - t206 = (t200 | t205); - t207 = *((unsigned int *)t181); - t211 = *((unsigned int *)t182); - t212 = (t207 | t211); - t213 = (~(t212)); - t214 = (t206 & t213); - if (t214 != 0) - goto LAB147; - -LAB144: if (t212 != 0) - goto LAB146; - -LAB145: *((unsigned int *)t196) = 1; - -LAB147: memset(t204, 0, 8); - t197 = (t196 + 4); - t215 = *((unsigned int *)t197); - t216 = (~(t215)); - t217 = *((unsigned int *)t196); - t220 = (t217 & t216); - t221 = (t220 & 1U); - if (t221 != 0) - goto LAB148; - -LAB149: if (*((unsigned int *)t197) != 0) - goto LAB150; - -LAB151: t222 = *((unsigned int *)t180); - t224 = *((unsigned int *)t204); - t225 = (t222 | t224); - *((unsigned int *)t232) = t225; - t208 = (t180 + 4); - t209 = (t204 + 4); - t210 = (t232 + 4); - t226 = *((unsigned int *)t208); - t228 = *((unsigned int *)t209); - t229 = (t226 | t228); - *((unsigned int *)t210) = t229; - t230 = *((unsigned int *)t210); - t231 = (t230 != 0); - if (t231 == 1) - goto LAB152; - -LAB153: -LAB154: goto LAB143; - -LAB146: t195 = (t196 + 4); - *((unsigned int *)t196) = 1; - *((unsigned int *)t195) = 1; - goto LAB147; - -LAB148: *((unsigned int *)t204) = 1; - goto LAB151; - -LAB150: t203 = (t204 + 4); - *((unsigned int *)t204) = 1; - *((unsigned int *)t203) = 1; - goto LAB151; - -LAB152: t234 = *((unsigned int *)t232); - t235 = *((unsigned int *)t210); - *((unsigned int *)t232) = (t234 | t235); - t218 = (t180 + 4); - t219 = (t204 + 4); - t236 = *((unsigned int *)t218); - t237 = (~(t236)); - t238 = *((unsigned int *)t180); - t223 = (t238 & t237); - t241 = *((unsigned int *)t219); - t242 = (~(t241)); - t243 = *((unsigned int *)t204); - t227 = (t243 & t242); - t244 = (~(t223)); - t252 = (~(t227)); - t253 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t253 & t244); - t254 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t254 & t252); - goto LAB154; - -LAB155: *((unsigned int *)t249) = 1; - goto LAB158; - -LAB157: t239 = (t249 + 4); - *((unsigned int *)t249) = 1; - *((unsigned int *)t239) = 1; - goto LAB158; - -LAB159: t245 = (t0 + 7368); - t246 = (t245 + 56U); - t247 = *((char **)t246); - t248 = ((char*)((ng8))); - memset(t265, 0, 8); - t250 = (t247 + 4); - t251 = (t248 + 4); - t267 = *((unsigned int *)t247); - t268 = *((unsigned int *)t248); - t269 = (t267 ^ t268); - t270 = *((unsigned int *)t250); - t271 = *((unsigned int *)t251); - t274 = (t270 ^ t271); - t275 = (t269 | t274); - t276 = *((unsigned int *)t250); - t280 = *((unsigned int *)t251); - t281 = (t276 | t280); - t282 = (~(t281)); - t283 = (t275 & t282); - if (t283 != 0) - goto LAB165; - -LAB162: if (t281 != 0) - goto LAB164; - -LAB163: *((unsigned int *)t265) = 1; - -LAB165: memset(t273, 0, 8); - t266 = (t265 + 4); - t284 = *((unsigned int *)t266); - t285 = (~(t284)); - t286 = *((unsigned int *)t265); - t289 = (t286 & t285); - t290 = (t289 & 1U); - if (t290 != 0) - goto LAB166; - -LAB167: if (*((unsigned int *)t266) != 0) - goto LAB168; - -LAB169: t291 = *((unsigned int *)t249); - t293 = *((unsigned int *)t273); - t294 = (t291 | t293); - *((unsigned int *)t319) = t294; - t277 = (t249 + 4); - t278 = (t273 + 4); - t279 = (t319 + 4); - t295 = *((unsigned int *)t277); - t297 = *((unsigned int *)t278); - t298 = (t295 | t297); - *((unsigned int *)t279) = t298; - t299 = *((unsigned int *)t279); - t300 = (t299 != 0); - if (t300 == 1) - goto LAB170; - -LAB171: -LAB172: goto LAB161; - -LAB164: t264 = (t265 + 4); - *((unsigned int *)t265) = 1; - *((unsigned int *)t264) = 1; - goto LAB165; - -LAB166: *((unsigned int *)t273) = 1; - goto LAB169; - -LAB168: t272 = (t273 + 4); - *((unsigned int *)t273) = 1; - *((unsigned int *)t272) = 1; - goto LAB169; - -LAB170: t302 = *((unsigned int *)t319); - t303 = *((unsigned int *)t279); - *((unsigned int *)t319) = (t302 | t303); - t287 = (t249 + 4); - t288 = (t273 + 4); - t304 = *((unsigned int *)t287); - t305 = (~(t304)); - t306 = *((unsigned int *)t249); - t292 = (t306 & t305); - t310 = *((unsigned int *)t288); - t311 = (~(t310)); - t312 = *((unsigned int *)t273); - t296 = (t312 & t311); - t313 = (~(t292)); - t314 = (~(t296)); - t315 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t315 & t313); - t316 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t316 & t314); - goto LAB172; - -LAB173: *((unsigned int *)t320) = 1; - goto LAB176; - -LAB175: t307 = (t320 + 4); - *((unsigned int *)t320) = 1; - *((unsigned int *)t307) = 1; - goto LAB176; - -LAB177: t309 = (t0 + 7368); - t318 = (t309 + 56U); - t329 = *((char **)t318); - t330 = ((char*)((ng9))); - memset(t331, 0, 8); - t332 = (t329 + 4); - t333 = (t330 + 4); - t334 = *((unsigned int *)t329); - t335 = *((unsigned int *)t330); - t336 = (t334 ^ t335); - t337 = *((unsigned int *)t332); - t338 = *((unsigned int *)t333); - t339 = (t337 ^ t338); - t340 = (t336 | t339); - t341 = *((unsigned int *)t332); - t342 = *((unsigned int *)t333); - t343 = (t341 | t342); - t344 = (~(t343)); - t345 = (t340 & t344); - if (t345 != 0) - goto LAB183; - -LAB180: if (t343 != 0) - goto LAB182; - -LAB181: *((unsigned int *)t331) = 1; - -LAB183: memset(t347, 0, 8); - t348 = (t331 + 4); - t349 = *((unsigned int *)t348); - t350 = (~(t349)); - t351 = *((unsigned int *)t331); - t352 = (t351 & t350); - t353 = (t352 & 1U); - if (t353 != 0) - goto LAB184; - -LAB185: if (*((unsigned int *)t348) != 0) - goto LAB186; - -LAB187: t356 = *((unsigned int *)t320); - t357 = *((unsigned int *)t347); - t358 = (t356 | t357); - *((unsigned int *)t355) = t358; - t359 = (t320 + 4); - t360 = (t347 + 4); - t361 = (t355 + 4); - t362 = *((unsigned int *)t359); - t363 = *((unsigned int *)t360); - t364 = (t362 | t363); - *((unsigned int *)t361) = t364; - t365 = *((unsigned int *)t361); - t366 = (t365 != 0); - if (t366 == 1) - goto LAB188; - -LAB189: -LAB190: goto LAB179; - -LAB182: t346 = (t331 + 4); - *((unsigned int *)t331) = 1; - *((unsigned int *)t346) = 1; - goto LAB183; - -LAB184: *((unsigned int *)t347) = 1; - goto LAB187; - -LAB186: t354 = (t347 + 4); - *((unsigned int *)t347) = 1; - *((unsigned int *)t354) = 1; - goto LAB187; - -LAB188: t367 = *((unsigned int *)t355); - t368 = *((unsigned int *)t361); - *((unsigned int *)t355) = (t367 | t368); - t369 = (t320 + 4); - t370 = (t347 + 4); - t371 = *((unsigned int *)t369); - t372 = (~(t371)); - t373 = *((unsigned int *)t320); - t374 = (t373 & t372); - t375 = *((unsigned int *)t370); - t376 = (~(t375)); - t377 = *((unsigned int *)t347); - t378 = (t377 & t376); - t379 = (~(t374)); - t380 = (~(t378)); - t381 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t381 & t379); - t382 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t382 & t380); - goto LAB190; - -LAB191: *((unsigned int *)t383) = 1; - goto LAB194; - -LAB193: t390 = (t383 + 4); - *((unsigned int *)t383) = 1; - *((unsigned int *)t390) = 1; - goto LAB194; - -LAB195: t403 = *((unsigned int *)t391); - t404 = *((unsigned int *)t397); - *((unsigned int *)t391) = (t403 | t404); - t405 = (t9 + 4); - t406 = (t383 + 4); - t407 = *((unsigned int *)t9); - t408 = (~(t407)); - t409 = *((unsigned int *)t405); - t410 = (~(t409)); - t411 = *((unsigned int *)t383); - t412 = (~(t411)); - t413 = *((unsigned int *)t406); - t414 = (~(t413)); - t415 = (t408 & t410); - t416 = (t412 & t414); - t417 = (~(t415)); - t418 = (~(t416)); - t419 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t419 & t417); - t420 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t420 & t418); - t421 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t421 & t417); - t422 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t422 & t418); - goto LAB197; - -LAB198: *((unsigned int *)t4) = 1; - goto LAB201; - -LAB203: t434 = *((unsigned int *)t4); - t435 = *((unsigned int *)t431); - *((unsigned int *)t4) = (t434 | t435); - t436 = *((unsigned int *)t430); - t437 = *((unsigned int *)t431); - *((unsigned int *)t430) = (t436 | t437); - goto LAB202; - -LAB204: *((unsigned int *)t9) = 1; - goto LAB207; - -LAB206: t5 = (t9 + 4); - *((unsigned int *)t9) = 1; - *((unsigned int *)t5) = 1; - goto LAB207; - -LAB208: t7 = (t0 + 7368); - t8 = (t7 + 56U); - t10 = *((char **)t8); - t11 = ((char*)((ng1))); - memset(t25, 0, 8); - t24 = (t10 + 4); - t26 = (t11 + 4); - t20 = *((unsigned int *)t10); - t21 = *((unsigned int *)t11); - t22 = (t20 ^ t21); - t23 = *((unsigned int *)t24); - t27 = *((unsigned int *)t26); - t28 = (t23 ^ t27); - t29 = (t22 | t28); - t30 = *((unsigned int *)t24); - t31 = *((unsigned int *)t26); - t34 = (t30 | t31); - t35 = (~(t34)); - t36 = (t29 & t35); - if (t36 != 0) - goto LAB214; - -LAB211: if (t34 != 0) - goto LAB213; - -LAB212: *((unsigned int *)t25) = 1; - -LAB214: memset(t42, 0, 8); - t33 = (t25 + 4); - t37 = *((unsigned int *)t33); - t45 = (~(t37)); - t46 = *((unsigned int *)t25); - t47 = (t46 & t45); - t48 = (t47 & 1U); - if (t48 != 0) - goto LAB215; - -LAB216: if (*((unsigned int *)t33) != 0) - goto LAB217; - -LAB218: t39 = (t42 + 4); - t49 = *((unsigned int *)t42); - t50 = (!(t49)); - t51 = *((unsigned int *)t39); - t52 = (t50 || t51); - if (t52 > 0) - goto LAB219; - -LAB220: memcpy(t94, t42, 8); - -LAB221: memset(t111, 0, 8); - t102 = (t94 + 4); - t106 = *((unsigned int *)t102); - t114 = (~(t106)); - t115 = *((unsigned int *)t94); - t116 = (t115 & t114); - t117 = (t116 & 1U); - if (t117 != 0) - goto LAB233; - -LAB234: if (*((unsigned int *)t102) != 0) - goto LAB235; - -LAB236: t108 = (t111 + 4); - t118 = *((unsigned int *)t111); - t119 = *((unsigned int *)t108); - t120 = (t118 || t119); - if (t120 > 0) - goto LAB237; - -LAB238: memcpy(t163, t111, 8); - -LAB239: memset(t180, 0, 8); - t164 = (t163 + 4); - t186 = *((unsigned int *)t164); - t187 = (~(t186)); - t188 = *((unsigned int *)t163); - t189 = (t188 & t187); - t190 = (t189 & 1U); - if (t190 != 0) - goto LAB253; - -LAB254: if (*((unsigned int *)t164) != 0) - goto LAB255; - -LAB256: t171 = (t180 + 4); - t191 = *((unsigned int *)t180); - t192 = (!(t191)); - t193 = *((unsigned int *)t171); - t194 = (t192 || t193); - if (t194 > 0) - goto LAB257; - -LAB258: memcpy(t232, t180, 8); - -LAB259: memset(t249, 0, 8); - t233 = (t232 + 4); - t255 = *((unsigned int *)t233); - t256 = (~(t255)); - t257 = *((unsigned int *)t232); - t258 = (t257 & t256); - t259 = (t258 & 1U); - if (t259 != 0) - goto LAB271; - -LAB272: if (*((unsigned int *)t233) != 0) - goto LAB273; - -LAB274: t240 = (t249 + 4); - t260 = *((unsigned int *)t249); - t261 = (!(t260)); - t262 = *((unsigned int *)t240); - t263 = (t261 || t262); - if (t263 > 0) - goto LAB275; - -LAB276: memcpy(t319, t249, 8); - -LAB277: memset(t320, 0, 8); - t301 = (t319 + 4); - t317 = *((unsigned int *)t301); - t321 = (~(t317)); - t322 = *((unsigned int *)t319); - t323 = (t322 & t321); - t324 = (t323 & 1U); - if (t324 != 0) - goto LAB289; - -LAB290: if (*((unsigned int *)t301) != 0) - goto LAB291; - -LAB292: t308 = (t320 + 4); - t325 = *((unsigned int *)t320); - t326 = (!(t325)); - t327 = *((unsigned int *)t308); - t328 = (t326 || t327); - if (t328 > 0) - goto LAB293; - -LAB294: memcpy(t355, t320, 8); - -LAB295: memset(t383, 0, 8); - t384 = (t355 + 4); - t385 = *((unsigned int *)t384); - t386 = (~(t385)); - t387 = *((unsigned int *)t355); - t388 = (t387 & t386); - t389 = (t388 & 1U); - if (t389 != 0) - goto LAB307; - -LAB308: if (*((unsigned int *)t384) != 0) - goto LAB309; - -LAB310: t392 = *((unsigned int *)t9); - t393 = *((unsigned int *)t383); - t394 = (t392 & t393); - *((unsigned int *)t391) = t394; - t395 = (t9 + 4); - t396 = (t383 + 4); - t397 = (t391 + 4); - t398 = *((unsigned int *)t395); - t399 = *((unsigned int *)t396); - t400 = (t398 | t399); - *((unsigned int *)t397) = t400; - t401 = *((unsigned int *)t397); - t402 = (t401 != 0); - if (t402 == 1) - goto LAB311; - -LAB312: -LAB313: goto LAB210; - -LAB213: t32 = (t25 + 4); - *((unsigned int *)t25) = 1; - *((unsigned int *)t32) = 1; - goto LAB214; - -LAB215: *((unsigned int *)t42) = 1; - goto LAB218; - -LAB217: t38 = (t42 + 4); - *((unsigned int *)t42) = 1; - *((unsigned int *)t38) = 1; - goto LAB218; - -LAB219: t40 = (t0 + 7368); - t41 = (t40 + 56U); - t43 = *((char **)t41); - t44 = ((char*)((ng6))); - memset(t58, 0, 8); - t57 = (t43 + 4); - t59 = (t44 + 4); - t53 = *((unsigned int *)t43); - t54 = *((unsigned int *)t44); - t55 = (t53 ^ t54); - t56 = *((unsigned int *)t57); - t60 = *((unsigned int *)t59); - t61 = (t56 ^ t60); - t62 = (t55 | t61); - t63 = *((unsigned int *)t57); - t64 = *((unsigned int *)t59); - t67 = (t63 | t64); - t68 = (~(t67)); - t69 = (t62 & t68); - if (t69 != 0) - goto LAB225; - -LAB222: if (t67 != 0) - goto LAB224; - -LAB223: *((unsigned int *)t58) = 1; - -LAB225: memset(t66, 0, 8); - t70 = (t58 + 4); - t73 = *((unsigned int *)t70); - t74 = (~(t73)); - t75 = *((unsigned int *)t58); - t76 = (t75 & t74); - t77 = (t76 & 1U); - if (t77 != 0) - goto LAB226; - -LAB227: if (*((unsigned int *)t70) != 0) - goto LAB228; - -LAB229: t78 = *((unsigned int *)t42); - t79 = *((unsigned int *)t66); - t82 = (t78 | t79); - *((unsigned int *)t94) = t82; - t72 = (t42 + 4); - t80 = (t66 + 4); - t81 = (t94 + 4); - t83 = *((unsigned int *)t72); - t84 = *((unsigned int *)t80); - t86 = (t83 | t84); - *((unsigned int *)t81) = t86; - t87 = *((unsigned int *)t81); - t88 = (t87 != 0); - if (t88 == 1) - goto LAB230; - -LAB231: -LAB232: goto LAB221; - -LAB224: t65 = (t58 + 4); - *((unsigned int *)t58) = 1; - *((unsigned int *)t65) = 1; - goto LAB225; - -LAB226: *((unsigned int *)t66) = 1; - goto LAB229; - -LAB228: t71 = (t66 + 4); - *((unsigned int *)t66) = 1; - *((unsigned int *)t71) = 1; - goto LAB229; - -LAB230: t90 = *((unsigned int *)t94); - t91 = *((unsigned int *)t81); - *((unsigned int *)t94) = (t90 | t91); - t95 = (t42 + 4); - t101 = (t66 + 4); - t92 = *((unsigned int *)t95); - t93 = (~(t92)); - t96 = *((unsigned int *)t42); - t85 = (t96 & t93); - t97 = *((unsigned int *)t101); - t98 = (~(t97)); - t99 = *((unsigned int *)t66); - t89 = (t99 & t98); - t100 = (~(t85)); - t103 = (~(t89)); - t104 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t104 & t100); - t105 = *((unsigned int *)t81); - *((unsigned int *)t81) = (t105 & t103); - goto LAB232; - -LAB233: *((unsigned int *)t111) = 1; - goto LAB236; - -LAB235: t107 = (t111 + 4); - *((unsigned int *)t111) = 1; - *((unsigned int *)t107) = 1; - goto LAB236; - -LAB237: t109 = (t0 + 2808U); - t110 = *((char **)t109); - memset(t127, 0, 8); - t109 = (t110 + 4); - t121 = *((unsigned int *)t109); - t122 = (~(t121)); - t123 = *((unsigned int *)t110); - t124 = (t123 & t122); - t125 = (t124 & 1U); - if (t125 != 0) - goto LAB243; - -LAB241: if (*((unsigned int *)t109) == 0) - goto LAB240; - -LAB242: t112 = (t127 + 4); - *((unsigned int *)t127) = 1; - *((unsigned int *)t112) = 1; - -LAB243: t113 = (t127 + 4); - t126 = (t110 + 4); - t129 = *((unsigned int *)t110); - t130 = (~(t129)); - *((unsigned int *)t127) = t130; - *((unsigned int *)t113) = 0; - if (*((unsigned int *)t126) != 0) - goto LAB245; - -LAB244: t137 = *((unsigned int *)t127); - *((unsigned int *)t127) = (t137 & 1U); - t138 = *((unsigned int *)t113); - *((unsigned int *)t113) = (t138 & 1U); - memset(t135, 0, 8); - t128 = (t127 + 4); - t142 = *((unsigned int *)t128); - t143 = (~(t142)); - t144 = *((unsigned int *)t127); - t145 = (t144 & t143); - t146 = (t145 & 1U); - if (t146 != 0) - goto LAB246; - -LAB247: if (*((unsigned int *)t128) != 0) - goto LAB248; - -LAB249: t147 = *((unsigned int *)t111); - t148 = *((unsigned int *)t135); - t151 = (t147 & t148); - *((unsigned int *)t163) = t151; - t139 = (t111 + 4); - t140 = (t135 + 4); - t141 = (t163 + 4); - t152 = *((unsigned int *)t139); - t153 = *((unsigned int *)t140); - t155 = (t152 | t153); - *((unsigned int *)t141) = t155; - t156 = *((unsigned int *)t141); - t157 = (t156 != 0); - if (t157 == 1) - goto LAB250; - -LAB251: -LAB252: goto LAB239; - -LAB240: *((unsigned int *)t127) = 1; - goto LAB243; - -LAB245: t131 = *((unsigned int *)t127); - t132 = *((unsigned int *)t126); - *((unsigned int *)t127) = (t131 | t132); - t133 = *((unsigned int *)t113); - t136 = *((unsigned int *)t126); - *((unsigned int *)t113) = (t133 | t136); - goto LAB244; - -LAB246: *((unsigned int *)t135) = 1; - goto LAB249; - -LAB248: t134 = (t135 + 4); - *((unsigned int *)t135) = 1; - *((unsigned int *)t134) = 1; - goto LAB249; - -LAB250: t159 = *((unsigned int *)t163); - t160 = *((unsigned int *)t141); - *((unsigned int *)t163) = (t159 | t160); - t149 = (t111 + 4); - t150 = (t135 + 4); - t161 = *((unsigned int *)t111); - t162 = (~(t161)); - t165 = *((unsigned int *)t149); - t166 = (~(t165)); - t167 = *((unsigned int *)t135); - t168 = (~(t167)); - t169 = *((unsigned int *)t150); - t172 = (~(t169)); - t154 = (t162 & t166); - t158 = (t168 & t172); - t173 = (~(t154)); - t174 = (~(t158)); - t175 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t175 & t173); - t183 = *((unsigned int *)t141); - *((unsigned int *)t141) = (t183 & t174); - t184 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t184 & t173); - t185 = *((unsigned int *)t163); - *((unsigned int *)t163) = (t185 & t174); - goto LAB252; - -LAB253: *((unsigned int *)t180) = 1; - goto LAB256; - -LAB255: t170 = (t180 + 4); - *((unsigned int *)t180) = 1; - *((unsigned int *)t170) = 1; - goto LAB256; - -LAB257: t176 = (t0 + 7368); - t177 = (t176 + 56U); - t178 = *((char **)t177); - t179 = ((char*)((ng7))); - memset(t196, 0, 8); - t181 = (t178 + 4); - t182 = (t179 + 4); - t198 = *((unsigned int *)t178); - t199 = *((unsigned int *)t179); - t200 = (t198 ^ t199); - t201 = *((unsigned int *)t181); - t202 = *((unsigned int *)t182); - t205 = (t201 ^ t202); - t206 = (t200 | t205); - t207 = *((unsigned int *)t181); - t211 = *((unsigned int *)t182); - t212 = (t207 | t211); - t213 = (~(t212)); - t214 = (t206 & t213); - if (t214 != 0) - goto LAB263; - -LAB260: if (t212 != 0) - goto LAB262; - -LAB261: *((unsigned int *)t196) = 1; - -LAB263: memset(t204, 0, 8); - t197 = (t196 + 4); - t215 = *((unsigned int *)t197); - t216 = (~(t215)); - t217 = *((unsigned int *)t196); - t220 = (t217 & t216); - t221 = (t220 & 1U); - if (t221 != 0) - goto LAB264; - -LAB265: if (*((unsigned int *)t197) != 0) - goto LAB266; - -LAB267: t222 = *((unsigned int *)t180); - t224 = *((unsigned int *)t204); - t225 = (t222 | t224); - *((unsigned int *)t232) = t225; - t208 = (t180 + 4); - t209 = (t204 + 4); - t210 = (t232 + 4); - t226 = *((unsigned int *)t208); - t228 = *((unsigned int *)t209); - t229 = (t226 | t228); - *((unsigned int *)t210) = t229; - t230 = *((unsigned int *)t210); - t231 = (t230 != 0); - if (t231 == 1) - goto LAB268; - -LAB269: -LAB270: goto LAB259; - -LAB262: t195 = (t196 + 4); - *((unsigned int *)t196) = 1; - *((unsigned int *)t195) = 1; - goto LAB263; - -LAB264: *((unsigned int *)t204) = 1; - goto LAB267; - -LAB266: t203 = (t204 + 4); - *((unsigned int *)t204) = 1; - *((unsigned int *)t203) = 1; - goto LAB267; - -LAB268: t234 = *((unsigned int *)t232); - t235 = *((unsigned int *)t210); - *((unsigned int *)t232) = (t234 | t235); - t218 = (t180 + 4); - t219 = (t204 + 4); - t236 = *((unsigned int *)t218); - t237 = (~(t236)); - t238 = *((unsigned int *)t180); - t223 = (t238 & t237); - t241 = *((unsigned int *)t219); - t242 = (~(t241)); - t243 = *((unsigned int *)t204); - t227 = (t243 & t242); - t244 = (~(t223)); - t252 = (~(t227)); - t253 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t253 & t244); - t254 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t254 & t252); - goto LAB270; - -LAB271: *((unsigned int *)t249) = 1; - goto LAB274; - -LAB273: t239 = (t249 + 4); - *((unsigned int *)t249) = 1; - *((unsigned int *)t239) = 1; - goto LAB274; - -LAB275: t245 = (t0 + 7368); - t246 = (t245 + 56U); - t247 = *((char **)t246); - t248 = ((char*)((ng8))); - memset(t265, 0, 8); - t250 = (t247 + 4); - t251 = (t248 + 4); - t267 = *((unsigned int *)t247); - t268 = *((unsigned int *)t248); - t269 = (t267 ^ t268); - t270 = *((unsigned int *)t250); - t271 = *((unsigned int *)t251); - t274 = (t270 ^ t271); - t275 = (t269 | t274); - t276 = *((unsigned int *)t250); - t280 = *((unsigned int *)t251); - t281 = (t276 | t280); - t282 = (~(t281)); - t283 = (t275 & t282); - if (t283 != 0) - goto LAB281; - -LAB278: if (t281 != 0) - goto LAB280; - -LAB279: *((unsigned int *)t265) = 1; - -LAB281: memset(t273, 0, 8); - t266 = (t265 + 4); - t284 = *((unsigned int *)t266); - t285 = (~(t284)); - t286 = *((unsigned int *)t265); - t289 = (t286 & t285); - t290 = (t289 & 1U); - if (t290 != 0) - goto LAB282; - -LAB283: if (*((unsigned int *)t266) != 0) - goto LAB284; - -LAB285: t291 = *((unsigned int *)t249); - t293 = *((unsigned int *)t273); - t294 = (t291 | t293); - *((unsigned int *)t319) = t294; - t277 = (t249 + 4); - t278 = (t273 + 4); - t279 = (t319 + 4); - t295 = *((unsigned int *)t277); - t297 = *((unsigned int *)t278); - t298 = (t295 | t297); - *((unsigned int *)t279) = t298; - t299 = *((unsigned int *)t279); - t300 = (t299 != 0); - if (t300 == 1) - goto LAB286; - -LAB287: -LAB288: goto LAB277; - -LAB280: t264 = (t265 + 4); - *((unsigned int *)t265) = 1; - *((unsigned int *)t264) = 1; - goto LAB281; - -LAB282: *((unsigned int *)t273) = 1; - goto LAB285; - -LAB284: t272 = (t273 + 4); - *((unsigned int *)t273) = 1; - *((unsigned int *)t272) = 1; - goto LAB285; - -LAB286: t302 = *((unsigned int *)t319); - t303 = *((unsigned int *)t279); - *((unsigned int *)t319) = (t302 | t303); - t287 = (t249 + 4); - t288 = (t273 + 4); - t304 = *((unsigned int *)t287); - t305 = (~(t304)); - t306 = *((unsigned int *)t249); - t292 = (t306 & t305); - t310 = *((unsigned int *)t288); - t311 = (~(t310)); - t312 = *((unsigned int *)t273); - t296 = (t312 & t311); - t313 = (~(t292)); - t314 = (~(t296)); - t315 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t315 & t313); - t316 = *((unsigned int *)t279); - *((unsigned int *)t279) = (t316 & t314); - goto LAB288; - -LAB289: *((unsigned int *)t320) = 1; - goto LAB292; - -LAB291: t307 = (t320 + 4); - *((unsigned int *)t320) = 1; - *((unsigned int *)t307) = 1; - goto LAB292; - -LAB293: t309 = (t0 + 7368); - t318 = (t309 + 56U); - t329 = *((char **)t318); - t330 = ((char*)((ng9))); - memset(t331, 0, 8); - t332 = (t329 + 4); - t333 = (t330 + 4); - t334 = *((unsigned int *)t329); - t335 = *((unsigned int *)t330); - t336 = (t334 ^ t335); - t337 = *((unsigned int *)t332); - t338 = *((unsigned int *)t333); - t339 = (t337 ^ t338); - t340 = (t336 | t339); - t341 = *((unsigned int *)t332); - t342 = *((unsigned int *)t333); - t343 = (t341 | t342); - t344 = (~(t343)); - t345 = (t340 & t344); - if (t345 != 0) - goto LAB299; - -LAB296: if (t343 != 0) - goto LAB298; - -LAB297: *((unsigned int *)t331) = 1; - -LAB299: memset(t347, 0, 8); - t348 = (t331 + 4); - t349 = *((unsigned int *)t348); - t350 = (~(t349)); - t351 = *((unsigned int *)t331); - t352 = (t351 & t350); - t353 = (t352 & 1U); - if (t353 != 0) - goto LAB300; - -LAB301: if (*((unsigned int *)t348) != 0) - goto LAB302; - -LAB303: t356 = *((unsigned int *)t320); - t357 = *((unsigned int *)t347); - t358 = (t356 | t357); - *((unsigned int *)t355) = t358; - t359 = (t320 + 4); - t360 = (t347 + 4); - t361 = (t355 + 4); - t362 = *((unsigned int *)t359); - t363 = *((unsigned int *)t360); - t364 = (t362 | t363); - *((unsigned int *)t361) = t364; - t365 = *((unsigned int *)t361); - t366 = (t365 != 0); - if (t366 == 1) - goto LAB304; - -LAB305: -LAB306: goto LAB295; - -LAB298: t346 = (t331 + 4); - *((unsigned int *)t331) = 1; - *((unsigned int *)t346) = 1; - goto LAB299; - -LAB300: *((unsigned int *)t347) = 1; - goto LAB303; - -LAB302: t354 = (t347 + 4); - *((unsigned int *)t347) = 1; - *((unsigned int *)t354) = 1; - goto LAB303; - -LAB304: t367 = *((unsigned int *)t355); - t368 = *((unsigned int *)t361); - *((unsigned int *)t355) = (t367 | t368); - t369 = (t320 + 4); - t370 = (t347 + 4); - t371 = *((unsigned int *)t369); - t372 = (~(t371)); - t373 = *((unsigned int *)t320); - t374 = (t373 & t372); - t375 = *((unsigned int *)t370); - t376 = (~(t375)); - t377 = *((unsigned int *)t347); - t378 = (t377 & t376); - t379 = (~(t374)); - t380 = (~(t378)); - t381 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t381 & t379); - t382 = *((unsigned int *)t361); - *((unsigned int *)t361) = (t382 & t380); - goto LAB306; - -LAB307: *((unsigned int *)t383) = 1; - goto LAB310; - -LAB309: t390 = (t383 + 4); - *((unsigned int *)t383) = 1; - *((unsigned int *)t390) = 1; - goto LAB310; - -LAB311: t403 = *((unsigned int *)t391); - t404 = *((unsigned int *)t397); - *((unsigned int *)t391) = (t403 | t404); - t405 = (t9 + 4); - t406 = (t383 + 4); - t407 = *((unsigned int *)t9); - t408 = (~(t407)); - t409 = *((unsigned int *)t405); - t410 = (~(t409)); - t411 = *((unsigned int *)t383); - t412 = (~(t411)); - t413 = *((unsigned int *)t406); - t414 = (~(t413)); - t415 = (t408 & t410); - t416 = (t412 & t414); - t417 = (~(t415)); - t418 = (~(t416)); - t419 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t419 & t417); - t420 = *((unsigned int *)t397); - *((unsigned int *)t397) = (t420 & t418); - t421 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t421 & t417); - t422 = *((unsigned int *)t391); - *((unsigned int *)t391) = (t422 & t418); - goto LAB313; - -LAB314: *((unsigned int *)t4) = 1; - goto LAB317; - -LAB319: t434 = *((unsigned int *)t4); - t435 = *((unsigned int *)t431); - *((unsigned int *)t4) = (t434 | t435); - t436 = *((unsigned int *)t430); - t437 = *((unsigned int *)t431); - *((unsigned int *)t430) = (t436 | t437); - goto LAB318; - -} - - -extern void work_m_00000000000808859884_3633994423_init() -{ - static char *pe[] = {(void *)Always_13_0,(void *)Always_17_1,(void *)Always_23_2,(void *)NetDecl_29_3,(void *)NetDecl_30_4,(void *)NetDecl_31_5,(void *)NetDecl_32_6,(void *)Always_38_7,(void *)Always_39_8,(void *)Always_40_9,(void *)Always_48_10,(void *)Always_49_11,(void *)Always_56_12,(void *)Cont_110_13,(void *)Always_111_14,(void *)Always_112_15,(void *)Always_118_16}; - xsi_register_didat("work_m_00000000000808859884_3633994423", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000000808859884_3633994423.didat deleted file mode 100644 index 557c2e9603b471dbf7fb71bc591f0ee98a664890..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7580 zcmeHMOKcle6n$-hmeNAYuYn4PppZ~R?6I9>T%g3Ut;8g$n_rXin>vZpSWWEIcAAt; zH%KgCQHcdq%A$)3Sg-*hv8!0IK!_EK2(c&)8Yrwbrl-dQN1HdFO53B(10-ph20+be8SFHM16K(ok?N%Q^A8cHL zzWQJVdmcic0Mo`QG%<(%uK_=!U-Rhi_t4jX6NsGyT7Z6lLZ85G(=Yd>rf}{=SSI$` zn%x#3_1(73`rBM}&UVf+n*He>wCjn)Y*aUFSF~du*cMBvWy;o6!a;qX%XZeWtrvL? zjn^T!=%Dp=DwfG<+WM#U8`=-^Un3kd$Jh(7j2(d4Z%i1+IpR7omVteC*$)r-2AaUb zzMb&FbE_RoeX<8EVcIb;$9`E~y@#NVF{azDz z?f}P!P2jL*p9u6+6T`gcI+PLZAb!K zJEgpjs}=Fh683@lh?BM-;G9eT)_&NBe`kZug*M4od%n}bLDJ@7D( zk>^dI-NB>%9(b6?$ny>mbns}u2Oj1z@>~K82aoo9;Ndexo{s>&6UjVlzXu-XF$P}- zPu}LC3#NwNr*v#0TzBR%27Cb&P?Y{?e%Ot!JM$QM)__F^kLLHl!(2h0AAmCs9?jn% z4=Mhuf@xDjK)pP~I{KyV;@asgTyMfDtmp3tY3HnKl!N)}m`@J&>m{EYd=Ace{G_bZvQ2%mt|PMgD3rOkRA2V4#LePp*sj>lab_*AC$x;Xf(Z1%ha zTpWD&-Dh*ie&>2`#?kHK_&ZH>T?^-&B4cp-#TH#@U28AzZQ>^>+e&_M`{n(^?U!8Q z_RD*i+b{1!ZohtC!TsHSJ_8iDU&bwdvEAbpTeP$nTXeJiUi%~ME2FKf1^Y&@j+*ZR zEb~04=6OoZy-UsWgzdP7)La8<&N(&LkeX{o%{iy$98)t_Q1f|jRGjO~m>wG}JKuSo z>(06!8!S89IrkasdTg-lZ0Fo}tn0DCva_A@xn^CD4VInlX#X$&+!?sRjSD#na8Gh? zb6;~$b3gO^VeaSu82GOU{0l_w2lx$x-yitxpcUY6_BNm$coH}WJOu;+0|)_OfWJAT zz#-rn;8}p*?GFPzKn&;w;y?mO0)0R~kOE!+27p0e2si?y0rr0w7y(9sF<>0vZ-5D4 z5;zXL2=JZ$W#ARyRlou=z!WeI%m7(n7B~sa0XZNK6o5tGG{E17%fJe7miEC>6+aXh zFJ+6Rz-yU&_EaEIm|j}Q=F6o(U#{H0G!>Z06c?W!O$MeH=Vt<`bnj5d8AVmO#Eq^Y z6*q@4?0jrgu~>Wn<)bL~CPzk8ek6&ySYfH0Mns~bV}r>cj9h*& z3D#JAjNELUN{=LmaV2XiP1;m?f^Fa~DotJ#J*#jRSiH_EhQrWmR^g^Fc%7|8=?XTb zQ}M5ezmQ7m{-)BSDmK5IIa{)fuobM9yDdY?p|BNFN3!Kac6#0lMXhkv2nVf5%`mLa znh~t!-o3NSGD0d|$d};^qLUJ07=~p;YF5ZH zI%^jAy8hC7W2@8^o==pm6}90tSIR8}%2uv0wP3MqO=aggvMbpR`j-pEQ-K95SQ9g7 bnw?S8j9B5Wa5x%`L_*ss* zkl?nvzW0%w|NWoy|2$v+^Kr)|>q7>gx2`jcDG~}9lRH}1c1T$LIk>dtzIn=`cKsVqTx=XxqI@eAj8eb zTX$u!aNKB)TiLhkto#Ygu=2+>BRTB85T{?VJL;Y>7nt+SdFI@C3+7*8-SPIqa!}a+ zX65hbgRPdmF1CR@vQ0+E5=)%Gmo00E5vSs>ad@ALyji{Fzi%MJ5=q1ASr@AZH$K7& zS@~1HPH3FHJG{^SW&i$$=Y)~UkK72P*=U5f=k{k77g8=e%5YU)ADwC#Jw_Q&mc8Dn zH$Zp7%EN~br^H=Sy*c(6>y8~%{pxGe*O-gUYs_oS^fS3vt8(X{?zAG39&oi*DwTgy zDmW|u`H*ENVoky@#qrr+mD$_-_vZFgd*C2~7$Snsz z%PEFz+qKvhpIG8j5KGH9L71uJvK<8Eo-#_wJsl}6Cl4ANcP($B<#A>CNhqW&KMoL8 zU~#HY&lJ|Gl-4F<3n_ALY^_h8mH)d~91SBS60x;VslV4AVdZZN^^AsIz@}mgO;N_y z@B;|Q)|O?@EF)XeSoymvs*v;~Vs$Kyv>H+ka|_>0RQufG?~4PCU=8-D*uQ~=j4~gLZ+ApH-94LwJTGE zVzf|MXQH=KfDI!CShnfdEW5>L+4_Z*C6+aZgkf*<)TqkUf;*e{nUn(8H({Wu%GKjd znf7Uk51K}GU29}d9o(85Ck$eZ6{SW)){~R@}zQ3VM za#RVH+{GMt-pY?;r(zXHQHYPYDuf}?{$=<@(>`SO_crVnm5mkQSIpebOjYi1W`!%XcMO4b6DH5?Oto*GZQI$dy=>$VF0>r|Q9m(y= z#GUK4{$v}_zp!2lrV@pR$d>GbWxf)w;2wo;7l@2xEl@9gib8Q~bp zWqn{IT{caNBqG4hIi={bE=Z;6y(Gaiz-6z9QyM3PcbmDFrOR&8Wm%kb-I|VWArBGg zRhcVt-I+zqV>#NknPsHQEWgVVvG$<9e)NHA8RQvIzF=#q$S?|zkSBQu%jD@V4B8k= z`g_&LU0W`y`uEgUhPUqCB;N`4jj%r^VM``p%lHeK2SvTmi zEKa&kO-F~2M~Sm8fwLAckL74sJIhp>kt(-sv8gSMM11XPq}H440(uwj)&h}X6dolz zvJIBGhki<6e&I-L){YLi=&|>ui&{WM9?olcCmSLHY@8j;Q7+;PM^l?gf@dffEz@OL zoOE$bM>mj1iHqjKMb|Ko<)}V^ zY+HC`36cy4h6YnU1{91s!Lk8Kj5ZB(n0&ATnj0?t9oS|bUA5>w7U=K(7vOl3ACFq<=LmzJ32Nz zX&=&3?yqU?Z%Xd)yDY0h77Qr0$J-UM8iX7so6vJoc`IbvVYa5-AaZK^8TWIRQEinr zc7ls)Va_){lw$*%1Pyim1@CSP3iKT35#*%U#`DLy)3ZCxCehXt;>u9c-jfW!lC+;U z`+u5j_<2$oiN>;IxHp;Gk%=ZTeR7^A5k=Abg9HfY?~?e0Fhe5DeuDOqurb1$pt>}P z!QyI8$6Y9k%8f{CEtr`?uXtJ_D@IQ!TYAW1p3(h$YAr;KuXOc{|B)^i4yoD{zB z9dc0!*_|!qlc~-|`2&JrzT0ccoqzZ*>D69{rDSIp z-sx2$f?oXrbHv?;bmZ5~;&@W|S)rsDlMpAH**D+`@HTVLWImNd@V73H0_4WR0d6jm zkp)LkE7T+TffEQbOS9Ew*_tvsezTS%!dS%F+vsi^!H1dKmYDO!8*TC6h@;b>BX6X9?n&*nx(O3%V~UB=3{9$)S1 z4Q6;Wh6jpJD=SlsB?Vqdw$ zh4djPl>^P1GUo+E0Wcy8rD%uq4x<9}QzC-C+lL(OJ2q{aE=d3n+jlE95k--~L8N@> z7cv{%cg=LiLY86q6twF@nm})jR3tf>T!wL{G7+hqgC$SWj&RhDJC{jI6yKota#}LA zC~R{12FrXc>5iS!Yp%maI3FBR!z5@)@0_9?){B>*!(>!ef$b*NFQ&OlG1iPkYo0S} z#?(Zeiw-ho<2o1d+F#?vjn`f&!WJsFNilV{16>-fB}Ckf*D&td+roQPxMnu=il~m( z%*L_)Xl<5^r;a^Zs|Otek|xr#eaKWtYZu@}h78k)#USw!qP0l~!(_yRbYQeL9at%$ zp4pJl3+I1qqP5Q=4x{dkjK|=dW-8}~vQ0or)u%8Qs>|F#qcs)51sDF7 znSb6`4GVr9t3{pfmBeZ<95Gh=;qYTM< z>QgQzjxka@>xhw>{b!5R_8`!JIUN0Ih4ZcsJctMe9{ZUimSZ?SZZk^yBejH>gH^AI zDCxOmcze>`XZG()Hf&2E43W#;ok^_N;JUpTx{iV~u1bbw`yS67i5w$gO-W*M>C{{-9D$OfScx`1TDI}u_U|`yhpKXu zK$Uw38*_|wQH&&3eq~6Wk#b8jd%FSeGF-NV&bGIzm=6--J_*f(OBjI&ml7h3bRmHx zo`finO2Q~+LxRkPgeZ$ag5i@u+#oi*q9y!FOCX6S;Z0A%FSG=i4GF#4OWjEMP&wiT zk?;d%_=sQh5`*m35SU9wXuBW$>k1OwVY#zh|a8N8F@>;7;I(c6Q5{QMHO- z$tPqGjEzw57_prcc#_ua_lt{IESUmuuxkDU7Ww&Z5n-=$J(0q@*34lV1$s<6{YoiE42@ zj&no8si(f0vEF$w_33Hh%UU?)7an0L@-8hUlHn-ksQ#B~r22`B*A^gVTEE<(Xhw|H1 z)K@bu^$7h&Zun38jc=u;j^?-jLSzRfnesU%zmdrGTNkPE&^+RY@LQKIx=|J#%5UFi5Um-PdW3!>x1!(p zR$A(4erpDIFzE!D^xJ9~24j2!BIvg(sfR#ta2$^zI0&~Rg{?e~RD6J;~hjnd6eVS2Jl+D34*&m!uq-+ZoDYA24w8VsW={PAZRba*W5CDG{kk*kL(< ziAW+c2foIZp8Pm1g@yj7$QvrEt83Q_To>V~+aVJ{5l&P3_cXjO z&TVW8zt7{V*@g4n$WwCC7Ynlo8&I>dvMoCsWx$&sneie|D#^(68CJnJ#>hk?D3*LX=y1tf}nnm}{;4+K_z`Tyu`|;h#8$BUTAC zpTf7?_Eq>N$tsxpU^t2jMYxM~9WLqM(9f*tPT&CQ2jq6g+e@LRW&d5QPNujbDbua7 zCYe4iTW-pJBxd0~Wj`+MO4(1w7RvXnvR`1)lgd9~e9katu{Ex?#96A5zPF``#ur=T zdt0Uh!Pc1F`AG^!*YH|q5}ol3=`D;ToJ9GsWnynJWWN~RuWwJ`CaKvNit*w>FTy?) zDy(&zDCK#JqdtEVt%iE2&=%C~Ec`BW0R}?aXF)oCpd_saZ(x@Pw1W)R*iNuz?%WcV6`jZWv}bOq95sG@1UU~4 zD(68hXQ5Ayy3*_#0%wU6c;&maUU0UundruHfAx&SJ<@^Zlmkn1uJehJ)uU#@q0A9u z8>2Ya@#<#haf1nY7FY_op;SmW5dOLmf31f71I1zAaYgK6^`n{9A3unQbzeou=+q&L zOLKZCIDGz*u_iQNR}b|4bE(pPOJw`TqhmLRaPK-=m7`Z#d`4;Ui&b$9Z*_p=JzK0p z1NUrG_UqhLi(~#{k;5;MQGyVL7_oF5E%uSK_4}{sf?Sa#U6Q(?rWQ%!z7In#~5-ZkK}411Ooo>JK{)w)SVez;sGxCNgMe-43}bKD<#fAVZ%K9Q>tSEl(jLWYe5Pc zdDg8R$NF;K!$hT{pfD$9@T8XmCZ4Z^9L+?0A0cgEb5UkGy z3D&SAJRr$R8{{lSI}GooaQ{=b;V-x(Lwe04neCEPpmzmon{FJ??44aWzAmx;6m31{ zkAU@a6z?JY+a<|Lt-nOk9*gytx+KH1-uV-5iItf06k}~vB&Vo=DVHhULzp5bm;ocp z<*r`C7+G{hUg44q)0D=6rp(o*%q=x#-mpyJ^fYjgobT#2d{gkz(=dCoY?IDXtXWEG zl(Rs`FV{#lIAGE+=yk1vhG-mvdo-+ql9 z{7$Y62AM^iSC}Kj0}=QEgX%$)=7UvC@W`er$LN+DnJq}&6BaH*_<_;7Rv^_j>eb8^ z>i!Ksis}V7a_%NuJR~J<5DBkp32$f#-LklraKMxBnwB85A)$-KAYmg?K9tafbPx&G zBFLrFa<}$Md~vlX)|3?E+#OrKTS;4P+kV!u zAWRFPT7@UcgS<+2eg4+lot>BxxP*iYtlZ%UhjG@&TOSs;IX+NEc7t9Td8#&&h@g=p zl#xxJP)2S>0X{<*$zoKnOBNlrknw#@2yt}O|Xk;gHP?KvJ zio?mBpx`s8ktS<$7HhH-MYvhj&a1P-ti~BiCz47FpQ4xeR%+pc!>PvKBXVa~kaaj- znpe8r<$Q=TyiBOJt8gr;_N&UVUv<9@A2^r?Mt6QDi_v|EVO6ez1j2`u`r4EYHg4jZ zQw+>kD-OdNTwgzoJE|mFq=>}5ONKP9n2Xk7 z=%n}5Jb4|&2BMeiY0}YSc?7!MlB_fkTcT)(=|dTYwz?$4n4GYbax%CIR$|Jt?v$ucT!#$bhVPD9{?W+72hqf*@;6|M zEffoU49#^ZHvs-hws1A1cwtsGkTT3ViMa@~I>ClvRtvj$GhUz<-b!P)TgVi#+m|F$ z8I@t9msb$7F2`FXM`nQ?t{&iz7q$HsdGu~0_-l_y*GhTXNWw?o&#sbw`3-ofucFlF zq`6U5>%M}i$@vu(z!*x;1Qz5@x0*3Kk%2OX^J_WBDdU4BcQZ$bF1`KGj?&n18+bm^ z$f3VU4*3i`T6jKDTj4*SsPr9A)H)AR1I!N;U)U*QmB74Hc#=mEa$v@nbY^4(FRpN4 zZdHWMQtvNIz2V}Z(l;rkUzQWIJ{do{(s61~Ka|o76am*KE zmSr#Fh{!X)>N+@qt?UY4D?5{(DQ@|_wS@@T%Bug0J`)?59Ie~9!)AP28EouVw65_{`C5s0052Hhj9&1|p;l3r9*D4sb3Vz73Mx zwPB;*28-f{w!sSkvTvgTUQFd5Z9bhRT%7%9`ka4~RQy!@7wq`6ZlUzj^>(I;vy2=dC;m z>rO7JD(-&(jAvnFC3`oht_ursL9SgGb$%SL8UtvWbm_-dhXxE>Vu zGu|JLTBi-ER>c6dmZExxuNL}UQLUE;Q7f*#TbG#b{PjYg?+tM;ldT~+_GJ!Zp+L$rcGN5&~ZQLVpZ zkJ))l9hn1-u2^yZU#`bgd@Op*(dWsQYSd@xT#r4a;9O6HoF^ynmxc0T(@@7hu6Na3 zFUi&Dp3heMI#RZi;)k9muYeKN3{J!yhdu`iL}KNK``npr1+~-cbs}1@g0qB)$RXZj z^`HRermI5uQe2G1mDF%FyfCDGM(M}Q($v`<)mJ}5Suzk3chqBoFOgMUEG@EeUBPi6 zOK#L>+Od(dMh0biO`G`}tY_gVu`@VuGCS5dxD}HE!@G zYhF&+h>6EaDtmh(yqBNr*<)a4t+Xlu8g@45N?)Xv$m%nOy6E$TCd`Hcz zMm}FRoiV`Ir@=P2A!WPuz!L}ZBl)@yJ0>M9;uw70e$2jZ(L>V5(ARMUQzh;CQ+{6? zI{x^`zV7;O`MTwpech!M{uuh&svY2KMkQXm?!<`2u<9fGy5+y+>*izjb+zt@9~WQa zg5k?>C@&a3Ak!yfT{7J&f6Bqag~H8v=LN&3W%_umTc%IOxG|D)zcsP{4a=_y6Ww6a z``t*aMlo)Qy^*z?F#ht0h%bls#Z-(pDf*rp>*#wT5 z2=8>)V7NOdt-+nZE)enCn@uv+)(CA4?hbYX&%1-t8kFm0xjS~yDe{A?`!PrG#|S%# z&)*bM2b6ycto((=dzdYh1@au5YqsWD`7g%w)xuD$DmR}?Ky5qre~Ly}Trp!d!xrO@ zxZN~MjKYOOlt82Qd4)GDk8*X@0XD3P=tHN{4k1BQ@fm8 z`5R7Cfsy;X6Pblcww-FlQdWL_-YPZTObyn*ZF_+?;_0QNzREa zU~@lPgUt{Dkx^0i6op#V=e$z(xNo zMa@arin<4E+*T!fl#br+maPixj_g}?(@MMc+uVXx%UN|@)%l4}L1!Puu(1R*a<&3J zOMD6!c4d!oeUcCtm)^iO8xDxiHcs^4zn<+HOJ6qAmyCK^@cESb#OoD);+2_>j|nbf zM%)yij728cCyUb}r*MPOzQ#aaqq9irR(b+jc+}lXE^Kolb!=C7B78Edwl*rS$)O6PLrlx7>#C2jcaWX+T$=^;rB_zBnc3E1Wv-jDVDYNVmMt;(6`a*6!bVCrTqa9_d5@_ z197slD1_CCqWBqHuAt+QDCqMs7y8l}F7zX;CRJ_-A@8zC}SWD$j1*B-N1f)3IUhX%%+yw}$RqmaD6z#o$6z%C)xU1aD0jb<;0jb<~ zyxdc#xw#VosobXlDcXz9a-o%g6qnlosdE3~;I0-? zM9+82eG-r=_dP%=_YDts^963X^?+3F!yazG2fgm){vMaURk=R_QmyWdxQja;INt^HG zZuM}xJzPc7rKkd=C{6*Sbk2CVbslc3hwJfhOFr*%Sp`VZ{?WsQXS%q104e>tJY39j zao+``H24u9#pORd-1{ExzF98CBY;%7Nndbr=K)f<9UgA4hnqj!E%)z$l(gRgQWW(m zH}@PsDt87Tm3yCud&t9W0(6G#m%jvrPLCd1KF6g!4v?bF15&vwE^%{j0i<%@2c&X; zju=D9{WTz!+uH2re*Q8y_cA~#cMl*%`}@n?T;mEiHw;Mei+j*)FL&fzH#Z7M@tXul zS@gV@yWh(_5_BwgAHjy@>f&U>MsMG5dgF)L+E(0mJn`5%Uf(jNFTu4}jqs zpornQCRYbV%!$Bo-B85vz6@6sMa)!SxMolo)L3a?DGGAQc%U2XxQ@Y`7-|N(L!&$!9 zhIGR;@Aa&+(+yL-w`tQsU3>$ddhe}qdb+9j(v&{OdzsppF0yDiCtc*w(3l2;i&w7b z0N?s_L!*(tq_rc_di}C=eJJWbh>SMdpf;# zbz6E>>y2%zJ6czz)e>&79IOSe&vdk|_LHq{?MPprS+xoaw)C>L6|MffHOp4sxMuOH z^v#RgI?{_*uWq|>MS9KRWtl*sC9B%jK -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; - - - -static void Initial_77_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5408U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(77, ng0); - -LAB4: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB65; - goto LAB1; - -LAB65: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB66; - goto LAB1; - -LAB66: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB67; - goto LAB1; - -LAB67: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB68; - goto LAB1; - -LAB68: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB69; - goto LAB1; - -LAB69: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB70; - goto LAB1; - -LAB70: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB71; - goto LAB1; - -LAB71: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB72; - goto LAB1; - -LAB72: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB73; - goto LAB1; - -LAB73: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB74; - goto LAB1; - -LAB74: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB75; - goto LAB1; - -LAB75: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB76; - goto LAB1; - -LAB76: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB77; - goto LAB1; - -LAB77: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB78; - goto LAB1; - -LAB78: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB79; - goto LAB1; - -LAB79: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB80; - goto LAB1; - -LAB80: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB81; - goto LAB1; - -LAB81: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB82; - goto LAB1; - -LAB82: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB83; - goto LAB1; - -LAB83: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB84; - goto LAB1; - -LAB84: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB85; - goto LAB1; - -LAB85: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB86; - goto LAB1; - -LAB86: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB87; - goto LAB1; - -LAB87: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB88; - goto LAB1; - -LAB88: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB89; - goto LAB1; - -LAB89: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB90; - goto LAB1; - -LAB90: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB91; - goto LAB1; - -LAB91: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB92; - goto LAB1; - -LAB92: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB93; - goto LAB1; - -LAB93: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB94; - goto LAB1; - -LAB94: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB95; - goto LAB1; - -LAB95: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB96; - goto LAB1; - -LAB96: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB97; - goto LAB1; - -LAB97: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB98; - goto LAB1; - -LAB98: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB99; - goto LAB1; - -LAB99: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB100; - goto LAB1; - -LAB100: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB101; - goto LAB1; - -LAB101: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB102; - goto LAB1; - -LAB102: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB103; - goto LAB1; - -LAB103: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB104; - goto LAB1; - -LAB104: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB105; - goto LAB1; - -LAB105: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB106; - goto LAB1; - -LAB106: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB107; - goto LAB1; - -LAB107: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB108; - goto LAB1; - -LAB108: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB109; - goto LAB1; - -LAB109: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB110; - goto LAB1; - -LAB110: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB111; - goto LAB1; - -LAB111: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB112; - goto LAB1; - -LAB112: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB113; - goto LAB1; - -LAB113: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB114; - goto LAB1; - -LAB114: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB115; - goto LAB1; - -LAB115: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB116; - goto LAB1; - -LAB116: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB117; - goto LAB1; - -LAB117: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB118; - goto LAB1; - -LAB118: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB119; - goto LAB1; - -LAB119: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB120; - goto LAB1; - -LAB120: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB121; - goto LAB1; - -LAB121: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB122; - goto LAB1; - -LAB122: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB123; - goto LAB1; - -LAB123: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB124; - goto LAB1; - -LAB124: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB125; - goto LAB1; - -LAB125: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB126; - goto LAB1; - -LAB126: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB127; - goto LAB1; - -LAB127: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB128; - goto LAB1; - -LAB128: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB129; - goto LAB1; - -LAB129: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB130; - goto LAB1; - -LAB130: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB131; - goto LAB1; - -LAB131: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB132; - goto LAB1; - -LAB132: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB133; - goto LAB1; - -LAB133: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB134; - goto LAB1; - -LAB134: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB135; - goto LAB1; - -LAB135: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB136; - goto LAB1; - -LAB136: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB137; - goto LAB1; - -LAB137: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB138; - goto LAB1; - -LAB138: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB139; - goto LAB1; - -LAB139: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB140; - goto LAB1; - -LAB140: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB141; - goto LAB1; - -LAB141: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB142; - goto LAB1; - -LAB142: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB143; - goto LAB1; - -LAB143: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB144; - goto LAB1; - -LAB144: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB145; - goto LAB1; - -LAB145: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB146; - goto LAB1; - -LAB146: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB147; - goto LAB1; - -LAB147: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB148; - goto LAB1; - -LAB148: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB149; - goto LAB1; - -LAB149: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB150; - goto LAB1; - -LAB150: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB151; - goto LAB1; - -LAB151: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB152; - goto LAB1; - -LAB152: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB153; - goto LAB1; - -LAB153: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB154; - goto LAB1; - -LAB154: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB155; - goto LAB1; - -LAB155: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB156; - goto LAB1; - -LAB156: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB157; - goto LAB1; - -LAB157: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB158; - goto LAB1; - -LAB158: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB159; - goto LAB1; - -LAB159: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB160; - goto LAB1; - -LAB160: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB161; - goto LAB1; - -LAB161: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB162; - goto LAB1; - -LAB162: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB163; - goto LAB1; - -LAB163: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB164; - goto LAB1; - -LAB164: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB165; - goto LAB1; - -LAB165: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB166; - goto LAB1; - -LAB166: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB167; - goto LAB1; - -LAB167: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB168; - goto LAB1; - -LAB168: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB169; - goto LAB1; - -LAB169: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB170; - goto LAB1; - -LAB170: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB171; - goto LAB1; - -LAB171: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB172; - goto LAB1; - -LAB172: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB173; - goto LAB1; - -LAB173: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB174; - goto LAB1; - -LAB174: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB175; - goto LAB1; - -LAB175: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB176; - goto LAB1; - -LAB176: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB177; - goto LAB1; - -LAB177: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB178; - goto LAB1; - -LAB178: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB179; - goto LAB1; - -LAB179: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB180; - goto LAB1; - -LAB180: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB181; - goto LAB1; - -LAB181: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB182; - goto LAB1; - -LAB182: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB183; - goto LAB1; - -LAB183: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB184; - goto LAB1; - -LAB184: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB185; - goto LAB1; - -LAB185: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB186; - goto LAB1; - -LAB186: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB187; - goto LAB1; - -LAB187: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB188; - goto LAB1; - -LAB188: goto LAB1; - -} - -static void Initial_126_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5656U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(126, ng0); - -LAB4: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 50000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 60000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: goto LAB1; - -} - -static void Initial_144_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5904U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(144, ng0); - -LAB4: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 130000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(145, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 720000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(148, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 480000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: goto LAB1; - -} - -static void Initial_151_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 6152U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(151, ng0); - -LAB4: xsi_set_current_line(152, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(155, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 320000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(158, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(159, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(160, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(162, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 180000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(163, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(164, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(165, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(167, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 180000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(168, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(169, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(170, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(172, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 180000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(173, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(174, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 1200000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(175, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(177, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 220000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(178, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(179, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 300000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(180, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_183_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 6400U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(183, ng0); - -LAB4: xsi_set_current_line(184, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(185, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(186, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(187, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(188, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 195000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(190, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(191, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(192, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(193, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(194, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(195, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(196, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(197, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(199, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(200, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(201, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(202, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(203, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(204, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(205, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(206, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(208, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(209, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(210, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(211, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(212, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(213, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(214, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(215, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(217, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(218, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(219, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(220, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(221, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(222, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(223, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(224, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(227, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(228, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(229, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(230, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(231, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(232, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 1300000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(233, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(234, ng0); - t2 = (t0 + 6208); - xsi_process_wait(t2, 240000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: goto LAB1; - -} - - -extern void work_m_00000000001759270804_2646922372_init() -{ - static char *pe[] = {(void *)Initial_77_0,(void *)Initial_126_1,(void *)Initial_144_2,(void *)Initial_151_3,(void *)Initial_183_4}; - xsi_register_didat("work_m_00000000001759270804_2646922372", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.didat deleted file mode 100644 index ad3417be22418962a6e8b1d9fab5a9704254b972..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7072 zcmeI0X>3$g7=}+36U!xi!bm%X&I}+LS8xSW zmjsoFL@M3!M(XpkMOLS?+n?bMBdY zznObG_YGgTe%;2#BBj)x6Zmjr$uUX^oi06YIr>C6cU0 z%7caW$TdceEprcyW*^M4R~Q1_pR1J9MLM9h7?#=UR6cu&VcAx1uM|?}Yg1D|=KH&M zf3~0M5fgIGa&Da<^}Zb(*y)K*_UIy4D|`Q#TOW+icvs6Utez;q=VDhYYg<)-&jeR{ z9OaqF9eB{0mpOyIBMs=`0a$ktg27Kgx9js4QhtDQ_RuteP z_l2yd8=p;s^bw1rHP|*a6WGF>@&C2M(bYTW7s>Z_mNine*FioWV=NE3u4d)wakk|l zYir8W;~dLFuBSwv9_Lyfl4Fy3dYor@NPbP{>2bd0A-VPDJUzx*9+FpY&(mX^aNtQ;B zA809i6vd+%X3HdQoKC5mGDhx~5`FM6mwFztmAcHQLr*o7_jpfc?TwkkWS{h&NhxiK zp}fa6#YJWA%~0O!?LE@+-ZnRh$bW5l-*6SwfV`WW2DMNJ^)MY~fIL4oz}0XKTnq9% zcs<+z4$Oi^kms{zXn{D~2(w`h$aB|CFc(^(4dgkh9p=G&=ztW+vrz^Xz(QCA@~pEM zZUK46ArP|tcGV`4XlM{VI8c84e%Vi058Hz@G`s#uYtTv+X$OL-iN&jZ^7Hp1@FLSco*J- z_u&Kh5W3+b_!vHcPvJ9=T>k}p30q(*dD%+bgZSiC}<-0tQo}6j&&1~$LH?c0} zYo6EI;%lfVFA0Rh4OPB$JeBsPokV+6Tk!(bSJ6d9ptM?5M5`IyI%HHa3mVs!FL(&wkEibhJ(-r7tO6$r;s%qV1VP}O9 qPH}uuyjc3>EPdu#2FgmxgJu4RpEZ|;OUr}7P+73JCDGC-dEh_Mlmw~( diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000001759270804_2646922372.nt64.obj deleted file mode 100644 index 7b60d6d5fa9be2b93fd272ba88a1c3af66605abb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 47580 zcmchg2Y3`!7lxOPpwgt;P-zwtK)RqHC{a;BL{QYF1&H({6tRKWV$3gyy`qS{cff*O zv7?AxEFkuV9p!)Tez}*uL*mZN^N>84yg7H?`R2^o>~6BjIOg1{3G?>x!-+(*6je=R z73R(=OtIe0KP{1X5bS$~K3`ldk!YS6I3jDz$ik6n+x0TroSsPB$iB>5b^hy>7_lO2 zR6#+iQ2MTJ`X{A4CoynER=&T(6|9}j{?gxiB?k7&nfGm*oRWs7oKv#HKt61?pO{+d zC-a_d^9);#&nY^gMc167!WO-9icV{hlT$RY#lZeWV_FRB4~@tvdO4@$zC=@YRa`yi zoE3$YH?PepZrjG}yXfnskC>0eJyW|~V+xO&fHnO~TAET$N%5_95{Vc3wrF07PkS#l z#olIH5fUxUyjjRn=Z4PZpgUla=YpI^)l`CF}rE6CW*wd zNB^vBPgF^@nk?lMRsSj*=wIB@G|%xbeZrJo^X2!-EZU_uP4&BZwQ--MkZQNQ11lY% zwq9YkP90mXYupgG9NWSg=Gan$>CfqWDivr4Q_?nd0Og-tCO~62iQb#vHvZE#vAlDP zQM&0fc2gbP4pp{3aldg>PrKDTixKj~v8CM|NA;W+HRrZ6qKb)1I}b1TQT9BPceC8; zJiKTV%bk-u4=>qG%OBGB+%u#d+{&^KuDq{+tq$&Go0xe>uh>n?AJQNWE^SD!`Uh9; zSF*jK>Mn*Mz2-%gIi&U6siu7)y%9cYt1qNCZCbel(mkQRkXG5P%b(HVsbh0rNN@Sa zW^X{DXY{sB%sivjcGL1_bXOH`M(_9s7k)--yr?o~bTCnAGkPz4)K)Wk-=>9{(Fb z8_-w&k%b@7*Ite{Ae+Mt!92SvHqWk#&9kdw^X#hFJiCHM}sih|Fk50l;71YUR0S~9py*e8i*?IR<_lwZu6qb?CNvw zZqmM}Zx0{kcXg*1Rc2SS{HVJEQRUsrx9aL{FKW(!`gV?6p4IQ*N8J;M`i~RncXgi^ zHDY)fPn^w-H0=c5ABZaNK4hz|9`K^PS=oEV?m5K`+pyVE^Ifz3-n86%DH76}33Y!u ztgLTp^{s4O?pVsa7oG1l%vbFK+sOIbmwgYnRqM_e*ob?tH~y~VnseVm9^`)~WQ_EC zum6ZjI|u0pmx@XZuCaC0+nnySfkueppzQ9pikh>jmR;L&GtG+~6}hW_d3v7K)y3gY zpx>1dQ{RB6PGFf`@sJQR%J0gLDsxtCVn;>p>T&;(Cc+7f+||*3)WW#4GGc~Ved#~a zM2Pac@}tU})oHP#{8{-?W!?ho#g2;H)y@7R%_VVXWyB1#Iv{qG-<2O#=B(aGwc&nT zxh=Y~4{GV(QbfoJ-IC4WE@|s;DfmWWnE6KHKOXp+@R(HQ1E2Y+^_IsV?(omFBiv9s ze)nvbT!J4niKv6`dt|@^#xjfSHGujDy}PI#F|xzs0(99aZqWq3K6wO z>?r>h7$WLnz6{cyq|D_|L!LmkOzX;s8D@1v>?psh5K(J)s<5u6$Bv5JRV_d2thlo> zVuo2=-@rS85u*IALPT|p9Yq^yx4;llFV(NGu1@l!=Ea?r5i`u{mwH}T5u*HAg@`gg zaZS6oh!Ew^Dn!(-v7;h)b$?y2tFzhXZ0UZ)l5X-_q8JAgl@^^@N7NSi!04jKZPyh7vzsjog@2u*u1E46ou3X zb83ftam5)U_(|omcGK}mo3X z9p%p|MAU;h-U-~6T^$xXDsopJ_w%|M6n9oe%rL8h*in90A);!=j-rjU`@j%U*B%=8 z1V-+vgCBKt+*uhh!>nF7#Oo?Tl;2f|s1ssG`LhZU^;6#p>*`!TYH-|H88O4Gc8(q8 z&niUJy?wkBxGlRnBz9EfuHHY`>*|=evod0aSxt!@<#!b#s%q>g+DN+(3=wr@@3<#0 za#vY?)R4HdGGc~VJ=4qUDngXsRfwozv7=}sZB`+ozB{PGx;o2`I+jBX`Av`Cl@T+{ zs&VWne^w!)?mW;tf!ngH-m#-1cl8e60jIqXHZ<<6jF@3o6JkgCU4@8B#E$ZBfgz$U z?Gg6`M(%1KKWbRqSs5|Ite!l;>ncK&-&KgHV`4}7vkDRQRrd<(>I^^XxVW=2Vuo2Y zh#lq6Dn!(+-MkaHExYO&J1TNltGaq!9UpgAM$9m)aj~QPu0lj@>QZ4{Er=ZzxvN%w z)bO~oGGc~VE${4|zz9)(S0SPX#*U(mwC8~#qSke)u&!qNQ76Qml@T+{s!r@Ee^w!) zZtCcrz-`%8x7bmUyLxSZud5T|&dP`xW;Hr?l;2f|s6RSXSXU*nqat^;ryq4v+*uhh z!>k@@@14L1QGQn;qWZ^xg>_XFJ1TNlyZKQgf604tgE@Pqat_J$d4KqcUDHsFsnQE^iE)eD8H)^QN3bE z(MH;RV2G&IdsJ9gC;L(30ul@T+{YC!BLzpD^YUo@?-u1<*^6}hV&{isQCXJy0;vkF`=EkcyvRfwp-1=Au# z(MH;RV2G%|1=Au#MeZta!L-S7XJy0;vkF`=EkcyvRfwp-1=Au#`LhZU6}Vtpgs8|} z1umF2CGM<@m|<3d3#LVg^1BKV6}VtpgeZSjA)*2oOp6c|xvRhh)27Cql@T+{DsaKH z2vL4lA)*2oOp6fZ&niSz;DTupqS~2L*3bm<|AJW>A}Vmfv}wjnyIB5z{9&jG*%D>M z46_PcFfBrq-&KgHzy;GHL`Ci@aKW?)QIWd}Tre%4V~sT{BW9RY;DTupqWrExLwCQnYWyB1#3S2NP zLX_WCh^W8?(;`IqvkDOvxL{g@sK{LfE|@kW?yQWMVOD_)rbUSIy9yB%xL{g@D1TNV zq5>C8ix3sLtH1@*X2zYB5i`sxaKW?)QGQn;q5>C8ixB0{DnwM^f@u+=B6k(IVA`y> zvod0aSp_bb79q;-DnwM^f@u+={8@#F3S2NPLR93g0vAj>CGM<@m|<3d3#LVg^1BKV z6}VtpgeZSjA)*2oOp6c|xvRhh(`Lt=l@T+{DsaKH2vL4lA)*2oOp6fZ&niSz;DTup zq9S({xM13;ac5=346_PcFfBrq-&KgHH&Si53#LVg@@MrQQPoUD;DTupazeLcbNCTO zITuWu@7{)Fo5N2e{%EL=n|IX5d$!ZZee@w=?x@XMC4F%3^9u4NWfvy%ri_}DG@Klj zJ3cFSR&JK5&zzD!A$wA?oqKEFrBk;KUD|bR*D=|lbH~ozI&|2-ONXp6dHlcAOZRA$ zWJV>C=+ixWNI`CXLH6vClXE9z_nk6&`lQ^+g$3FD@(OdNkIEi8GJk5@!G~mzo;q<% z_OL$PI<@cEaajNC!rX$w(!61_^$!~RZW=2MLR*}bW~03%=kr%5C=tB)1YbMeVN@ zBzGz#<6O6TDI{Ylq&+_g$@l=0@e`!|C2Cru1*F=(kc{J?(kVc4OWbzXKuTNUYAYeR zJ8>@|qcx;GcZOsf4{6UMAQ`7YGB!Xmeure#s%2~0kc`(M8SC8YMo31J+BRc1NJe)^ z#z06JNl3;#S1W>KEP-S!cdIKQ8Q(+dVfJ6;j3wNXEsGj3tovcP}Jkm8-oA$;e_OZP$|z+HL}*J)55;Yr7Ii zdtMCjkNfQV`5@yRS2I88mhlH9W5>GI*cFn|8&Zb(DZGr)kc?9xbTZC|WZda$<|P6d zuR$`taI3#SG8z-04D z?_o&B`>tkQM3%7$l2Ms zLo&ud$~YO4aV{j|8c4<+kc`(|Z8aq0CrCyOHj>c@lF^+H%IF2jI02H856PGd@sHcz z5?8welJNp0<0H5FEyO>Jx@@G3Mv#mSe2|d?DPt%k<5X9h3-OP=T+0U;54hE*AsOo- zWqb?q52GfV%h-(%%4iG8802b0AsGb_|8R@k>J^ZT#~@`q4axWz;vdFFJ}9F~Gi$Vl zN^>C@he0xuZgn!GjEf;meDNAZ65UVU294G#8RF2$C_*tCkc<~0WxNK-_!^Rt*xP2*fn@COYTY0i zLm(Lw-0Eyd#&wV~Zh~a2fMmP_sgHG#jP3TZwK|ZDeIXgW-ReL{Mj@n(*^rFOAQ^W; z%2*D`_{7!LK{6`sYmLT`j8>40!y#o1gk(&FlyMd$V*w=NAy-=t$yfu)_|C0vf@Cz` z&t|lOWE>317zQb093-RI)fPZ9?t)}I<5pKeGJb>9$0kTdleX5#f|St{k}=ZN#z8XX zLCUz&t=Hcgk&5C$(Z6+&wymy2r1)MNXD~}j5Uz@ zSP#jlm2GQvAsPEYGR}6Z3n3X#LCSamlJPkt<4>qgBK7>Voi+A@ROUOZk?vRW=P`y;fVUUbmNXDs%NPYYY$*9-S8m%E^bcSRc?`k6;8K*(Y zxY(`U0Lgd`QpU@Wj4vS>|3J#vzLPcDL8ZBnjH4kLSB$(AQ}B2 zWgH2~$b)2@2`S@$P~+6??|xT%6q4~aB;#wh`X|&lW$fD3X6yyYI0%w41X9KrNXGfD z_CKgeYA?4#GFG_N*C83dK>}6{^K{DDwG7fdCLm(NaK*~51l5sU8 z<330kD{crs zY>k$XGWLaJ^n+xa2q|MCq>TBlb{Qn&en`fPZgmYL<8MeAmHJp?S4hVGkTMR2WQ=vS ziI6hRhh$vmR_}sjya_2|4J6|iNXB-3ZAKGFMh{mz7?N=kB%{!+&Vyv!0x9DzNXAQ$ zj87o-@jWD?{vo#31d`Dal5x0OJr0s_I;4zwkc{gg84p3qcovee!PUNpWYjv;8qFaY z*^rFEkTQ;gWK4&YQ4Gnr3X<`Jt33P!VMxX+ZuJ95#%4$v)pD${2PC5lq>Mu$87I5iR7e>YLNac2tM@@NRzu480Fv=L zBx8reY{o8-j9#vGC?sPPBx9CaJr9y`2c(SqAQ`VhGCqgY$IpWPqyxsWo>gJdj*WGsc0@ggMSTUYxTlCk3v)@T9A*dLNH6jH{Ckc?9xWn2WwxDJx> zw5z=c$@l`2@t0fOuD>0gy5dhh$84wL(b7d`QO4ZuKEZ#(R+Z_ym&iHzcFpQ8uGFB%`mZ9S+GD3&}Xm ztrkNv?tzr?5G3PGNXD0t`uGi!(QJUNHHT#MfMgu)R!2fI&ViIs49U0!lJPiHCt<#? zeFc*7qpSS}$*4cj8f_pMT_735A!Uq&WSkBuV<9BtMo7lO7^?M6t(6OfFz-RkF%j2cJVj2$2udqXk~f|PL-BqQI|WL$E{uj$#?)##!^VeYDmU6 zkox!wlCj${w$=iY(F>AstXs{6WE4TlxCoMQ2PESuNEvTHGB&!}UyzJOL#(kMB;x=` zMiNp+E+k_vq>RfU88<^RR=V07kc@938I_N-mT_AGD;w2EQDm-12s*hJqIb{ZAiu+uJ$h^quKG+Xa~tS2$C@xQbrym;~c1I zD&uNM#_f=d*IeywNXCzljOxR!(GZf+4N}HIkc{DwjA@WE=0GxTa<$tb8P7v9K6IRcZS)&zHnhVLufn=QIRwqHqSO6*Ga!AGl zkc^ig?eASk#wJ&*oV3Pnkc^Iyj6RTzagZ`5LCPqCWLyu)xEqqO%GKV5WNd_F)EZ%p zrjU%DkTUu}GLn#t>5wwchGg98YIj32Rzfn?y44>b84X6-jHZx`PLPZvAY~j6$vDH+ z&W23&|J=$r$Ta zr$frP5>m$Xkc{P!j5i_eZ!ILFYM!mtf@HLUWb|;WM?f;BK+2d7$yfl%xCK(i!;p;k zU2QESV-qB!{>j$Z1CntFq>LjV8RH-sr$frP5R!4Pt33?KSOv-0;8uT!Wb8b_X6ymU z=n2Uf3@Kw2B;#CHyAYCbDW$ZY~8Xch0Tu8<-kc^Yv>M4*iu7#Ab7?SZMBx5zC{e1z+ zs5#lzc7$Z?1Ig&+RtG>b3Ls^i0?D`(l5q#5jAf9Fk6rBxNJe6cH5x%OT0$}ogOo7< zk}&~N#$2dQ!hBylACmE)t1W|MyaUPj)~)^x$=H3W&1eb9=ncsj3e`zvjD=)e;A-jwwNXE-hz0{Gu3CZ{l zl2Ik!X4Hpdbau5Kkc?rFjLB~GbV$YxkTPz8WIO}Ocn?w^8z33m7uZ^TNJbVUqpw>X z49S=YDdTiV#+8tadmv>z0m=Bx)iyvfsuo(K86;zGNXC(nG6q93ra;O#2a<6KB;!$6 zdjgX2J|yEux4IdUvDb8)u{R{+5J<*wNEs(XGXCdkmq0S^g=9SMR#!tZ{)E)WW=O`) zGpx}bQbsRG#u!&S8Io}>q>O9a>K%}b*CA!BhGhH%$*3{YW;B9iba%C0kc<-`8ToE? zF4Q=6i(LXK;|@s13y_SDAocMr)Hr3-on>o{AQ>GX898osC?w-lNEvgXCaJw#3(0r@ zQpVGejPY_8l(EsR zR+(*$){rvVLNX46WF#SFOoo(kv8!DH$#@WwvC^%+2g&#cQbv_it+6{KqZ6czzL1RZ zt~MD`#s!d!MQ-&TNXA=`GTwt^{0hmad78~=49Pga)p|oRPK0D|!V)|1UnTP=Sfy0g zk=a_S%^kIY8t`AGMAq26i9CT#z5Tm6kr-FWU{w{;-W$WbRZ28ZR8DQ9&+Yr<)ZDC2 z9Xoe6pI7F;DyfAbyx)iaj8!F(oII|bDVu$18!GKh#k?6KwM_@}nb~m5et2r1Fn=qm z{g`#`?h@v&NVinZqGJBObW3Fc74w&;s$>=B&f+dA^=2BVQt4dI;NohfrPPNk{zPT*;hu|1B$j%Z|*stWxQ5e}mG`u1~9)yhLK@R+V?Q zs{FiFrGDB(Dkt{gvy6Y-nl(C+4EJZS$-K#Vg^A>0yw4jsG1hGMpLS}W+&}Hp zj{MPW+NT{lC);oNv`d#{yF@aXH)(1zIjbNqnV&l@ub?nDKdC>?Eo+6p@*O>$Kd4RD z3UUjRqo?QR^QXAUiFuQA)7NH9oHB04$o%BUf`Ytplan(>PMq$oPtBh)I=7%8IdddU F{U7M958?m- diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c deleted file mode 100644 index 1ffd132..0000000 --- a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.c +++ /dev/null @@ -1,337 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; -static unsigned int ng1[] = {1U, 0U}; -static unsigned int ng2[] = {0U, 0U}; - - - -static void NetDecl_16_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - char *t16; - -LAB0: t1 = (t0 + 6960U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1960U); - t3 = *((char **)t2); - t2 = (t0 + 8648); - t4 = (t2 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t3 + 4); - t11 = *((unsigned int *)t3); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t2, 0, 0U); - t16 = (t0 + 8520); - *((int *)t16) = 1; - -LAB1: return; -} - -static void Cont_48_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7208U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 3640); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8712); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8536); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_49_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7456U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8776); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8552); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_50_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7704U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(50, ng0); - t2 = (t0 + 3960); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8840); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8568); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Initial_52_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 7952U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(52, ng0); - -LAB4: xsi_set_current_line(53, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3640); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 7760); - xsi_process_wait(t2, 100000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(56, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3640); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_60_5(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 8200U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(60, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3800); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = (t0 + 8008); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3800); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - goto LAB1; - -} - - -extern void work_m_00000000004134447467_2073120511_init() -{ - static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat deleted file mode 100644 index b3df1012d35fb4e3dee900696a8bb5cd20016fe9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5500 zcmeH~O>7%Q6vro}K%hViln>E@N~!oP{>nN@p@gKiaZKFW@;V97>&8p4^iAf_= z5C??91r>=4oT6UZV-FGHgnHnB5Jw~;PDSbg)C-4j0ulcI*_miOGX98>0Kt=9-kZ1Y z{dRWzc4l+o=iYOdFRe}X2qA9Via(Gd^t~OSUVx=u({|-1A=YmbV*l+ztllNWm(U-f z%cz}4d}X%~SD?##gt!d73f+MA-Yvvls0Td>orY4@aIKYmi=69D-rzk#7#)UxUhC(3 zh4>13P3!sla1A<*`L9Di24@fE_b%)T^f%07Cu-MVuj@H6W|VU?zOm-Mv=pwL5iE}y zV@Z@HlGlxLiRs_0)x?`wq^cU)W?~qPa(E4ot>h@yfn&~<@@Z()U&El~9PP2~TP1C| z{m9yc>{QvCn>6%!k2sXbWu>qE?QrhUwd}KrE;x7UTF&j&E;xKA z`CRO|*S0vfz-v#;`tUs>hn0c$x3fOn(#T=oEOx=+Hbf5l=$$S&_v%_~`&S8nclIS;+^^xVr}&;QW-EqG zg&eh0p!ho!#*l3|jFY9VH>HGqsLmA>|9~Ry?S{iRIqG^F9M-Y;28t4)tLhC;U2lWK z_nnjf1sqRv?6RTX?NMW&>!WrGaCShCZj;lP{@;l5xBme8XMMEQgUDH3f1`)LFFxJs zgtZ?*J7L^kM+p1xlMWdVI1JA1rw$nh90vRH*A5wvIt=#YE-Y*(>v7Ow@ch}=A>%QJ z!L#RZhm1oGgXhkW!{B~qzKH}-jF z&!2hBF?k#tv7d)AJJ05sIhy<0d4~1B{r9iHZru>vU-)iwU*fkOzti}Qm4xzt{sAcW znsFcBMjn&GsS~)M5N3yb<)@k7=H5L}>YdL##+_y(1zibUtBgOJ~DPu)S z89!rZ_@lX(?D2RpJyNbK7(Y?Y)It4BK5h{)OwW7{+9QQLNKAa6OF`HsyHp;{_ z??k1RuAHjMc)yH^)ZA=MdV?~qy(2OaddZmV6S>)-7ED%UG9kUKL4QmpJ8K2BPg}D3 zzS-g0N>@pyqLB?2gPCYe26Iz0lD?d(Oh+oql?dbK<`&LIXJl+kym+7Ic>`YmfK0>& T`r?ULG9Hhd4o*+jaHl^2kybHp diff --git a/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_iobm_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index 1ad0c1cfbbbbaae0cfe26748e4dad3463cbbc7ea..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2810 zcmbVOO>7%g5S~rkHa!4QXlVI2)RiI-Ydf(M7nS1BAZ?L_EFwgtBE2~F)>+{sW_RQI z&;wvmYORd;IU#X~kPsY;#G&aasFi|*gg6j_I927sPlb@URjB5h_txumQ@1E1`Mq!E z&CK^^-tP03&P9mj;y$8BB#MyknsrxVHB{m9HQ<50NJm-w&>#>Z{bYoegc{XMk%}Ok9G`}-iUkyc(pmChsV}>`HK;-)K-SC$8^Swly zGqI=!+!fAkLzh%nd4#?Z&z%tS&Gf&Q1-kkdl;Ez*{eD42yZ>t zytdA}Fub%R{K;s^8nEw7zaBJipl<2C#oW&ci=~a?>g0S%|C_?ubtDn9ln0EZ-;XpHxa#_N3Rzz z&!X8;Zm0M5A*3Bd#f z8);nA_7BhO&qmY}7CQIMM*RsQegwfAJryR7KDvWA3BQ1NSP>h$C0^`DJcf(nmWuf? z3W%$CXi)LcZi(mm5hotrK|CBL{t`D1h`&Yru3ByM5P#5-zXm}9S@q|C2bA9M=YyKk zpHdUm{nRZt845vtqvyUlNHxQpt%_Dm9gwoD$=Ssfpxx zBAra?B@1_>pO-_#T|k&e?=%7Te2DW*iL(=vR#u16mV|-Ae4>79PH6Z2p zePH_$NcsH@^bmd#%AtuW;uMgIC;_R=O&}HVP2l%K;P-1_8^TE`zgK`%=1+lC<}ZL$ z=HGz0z6g!ttkr51NUaut)av`e>L%+!#B#GuR7S15?^}gqSu~2$fb>y%XB1UaPbU%?)=@m62ne4i zKg}F9NMgCn#KeR0frallDI6hv`0^o6)Xh_b#&3tp!|QM2$L~$oauybTqq>&&VBvSI zYxxKkehZZ){C&bD4Qgc+ei*1L;cpYUA1#YTezLm~{w_gs5Ag2ZJVIQyXSWrR{ z_Tb;)UGT7=cMmIG^bhdhNxXQmzBg&ol(Y}>oA-Y6o0<0}Z~Cu~0oj8hz-8r?L6h!O zDnEt;9Dd;UwGhWAai~E1sn+kvPE2jY7PJqwZWwM9EGAx&ZoIl-8|Q|LGn4hWUrXzc z-JaZY6OQu!nA7Qp&i*gkiJnv|P9&?_PV};>M_-)i+fQ2PM8BiI^NY%Tdy0lQ`0!_r zrg)`Wf)mS}*;S=J!g!mO9c8zg(Qz|6ZidG>`=9Mk2Z=oo#?QFN_0(!sy=hpwtut;k zSfgnerey%+bgX7F#w^ybxS?BS&Cu($8vcV@ouL@`y}s;E1Ph}|09p!Th#9)&#A4<}65<#$ z?{duhl%ZQC`<`Qtr&*Dvj*;Xd=z3g)!sA_cS97PXDn#o++EQ4Y@J4(Q3(5O#h<%r( z$L>Ic(jBW7Tsz?jpO5g}@`*3xT7?Wo;mX9s=a|-H*pVW@({j%OAC7I;o3_ok*)Yv! WlQF}@3~2wHc_1wu)0ydZyR+SOW;U%Dx!G=qb?J6? zyF1%$(L`e;T2QH?6%j!ctO7=3FwqzdAxZ+#Xd;Pe48}x0sC=jzF(DY%-!pR#J$uOP zl!T9clXu_yzUMi=d+s@B&b@o`pDX4cIsL@we-;)N?hk^XrLPQvzg!svzq+R3JJtum zr`m!bt%#GivQR+DIPBZxJ1*v`VHcUWM)5)U!*UI8;VKgq>h@OseT%uK9V7K)sM`1C zKQwJuE4s$5x#v2k$h|N(hRUzk4cq11<8uIxE!Q>L8{}v)KD7N9s`g72pOT}KR&Jj| zm%&>33OPEq&9--{#x)+w=QZ%pmdsWYZY~DJU~UYR-zklIjZUN-{iSqHsvUD< zsQdwGJZ^N7%3JBYA_j9~sQky$c+%*kl(*8k6hP+2Q2Eo+_=C|oq@2rU&xM4xcKu#% z3|0H1I=*0ZCY86+d6&^~BRYRm$A250!{?!+pILo8Zbaw5Xe^V>&CHi|*VjiK^A(kL69n(|gUo5Y~w#!&fwX^a`2IpwW% zt`mcf8$;#e(x@4oqsm+9yhjW=ZVZ(llg1rJXI^%x&N1b!bnIE@ zMs)6z#%ZH-{5*8*S?5M{9+Sq;jLz-nq4QZWJeM0o<-d@|Ge+m*%3D3x2{GunF;xCr zX`C@SpHSXP=PohmxG_}zyfpq{biSutub`&qA#H06T#W|*aPcsHTr#!&fsb=qt?K5m$$ba+3bb~EwzgD#8w7sXVdxK%0RkUZZ*&eSO!xqE-PBD~oZ#y@>Zim_!?{o4tIV|5$VixiFt)&%wSRzfKNIj`=M6=@R*i^6TZW z+gZ6iS1;8yeQ?aOtQG9P*EJKFeCczAWD?hg~hld^S`K z_EmYi9QJZK=Cf_8!CoYYeFV!Hg83|K1-n8J`v~^!a?EF0E7;Y7*hjEy<(SX1R`jHSu5r~A?Pkeen0Ev7%XdsQ)fM4Q(`}FYVTCUd=?Jx z7W)N7`tu!%`7G-RyG`sD6=C_-#C(?Zgxw+bZbex8e+?|Z5j$Si6SiOMNkv%u|B|oE zdcu~(e#x+$RhZARMzFVt{jwsu?0?K>StHm9vG*v#vi~ukWo)qXV!xsY%l^lFma)O! zA@-|^u;iM?MDmi>?UY@2GZ zFN*!9A}nVW=Cd1BgMCHp1B$SmRhZB6%&?aUdQcITvkLQBo*DL9LEln@ZI@#{%X7eP z5%iEEEN2zwvpfgvc0u1(guOwI`7F-?J0R#gim+Scn9uSYur~{OSP_=93iDaU23r;M zh$1X!73Q;y4ffN5zN-k!I}h_&#s+&z&}l_j-g%hMGB(&p1)WfY<(;QxtX~uD{^eYO zeL~Qkrah%-85_~y-OGM|T1lrO8hle>jDZ~9_JMs?Y_}q9^r3B;$$j=WVtY+nmm=0o z_xEDAsU2PPv1aUZVn3jE*d9PxGxm(w52_uOv0%;EKa0(%9ri{*na^IV8uRd1u~|h} z#*O(bYXSRrv3W(f#Kd}Rf zu*?V6j9o5hQ0=fgbp?zvo! zQ6uNO1a_AS2NkIoWv9%rxt>utC>Yv)uBWEA+Q|Hs`#?Y=5qK zZ_miHHzr1AD>Ji++efRFLy6qf*xcbtwKkjRo2c!cJCN8nIy1ecluwLJPaaI{&-Qjk z>Gb|Wq887L9_~2$#<~|XgN-ixCnhJV#}ZMxqdU=G%E!49A#t=aGch?eo|v5(ON>t* zm=qk>rluQz>URtpe~XpTgU5qn<<`vDp`e%vs>MtxsAl<7*q0BgBm5bX7l#J3Dk`Xw zEezE|`wz zrsLY->0r1}hzmp6fj-rT`gX>Bg`I^Ut9uSLF87o(eQ`OrD9a8kYU_8i!IGO5OXWtY z0mKs;k_J(a45EHf*Ux5#%Ol0S)3cZ_p7{aROdoHDh&m0GScHW?>VlGBZY*9vG+$WB#jak5(~=dvF4 zKbQ5!>2q0XYuxE%QQYNZ$++9e(s7TIb;i9;))hyM@nk0FM~~0Osh&7$jK>tx$vA2Z z$reO3Mr8}Vanu-?DMYExIC2ITrMlzD8D5l9kH!G)EJR(JmimvlJ`HKz!%cfNE9Y7} Ww`yAIsa=uIq^4$3rHkpQSN;#rdhUP# diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimcrash.log deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log deleted file mode 100644 index ca7f480..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/isimkernel.log +++ /dev/null @@ -1,28 +0,0 @@ -Command line: - t_ram_isim_beh.exe - -simmode gui - -simrunnum 0 - -socket 65106 - -Sat Dec 11 08:29:09 2021 - - - Elaboration Time: 0.046875 sec - - Current Memory Usage: 5140.57 Meg - - Total Signals : 45 - Total Nets : 67 - Total Signal Drivers : 30 - Total Blocks : 3 - Total Primitive Blocks : 2 - Total Processes : 40 - Total Traceable Variables : 38 - Total Scalar Nets and Variables : 189 - - Total Simulation Time: 0.078125 sec - - Current Memory Usage: 4668.71 Meg - -Sun Dec 12 07:05:22 2021 - diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/libPortability.dll deleted file mode 100644 index 3df10615c328487adf73c79cbaf80c09e97eba72..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 901632 zcmd?Sdwf*Y)i*wq%#Z*H6O?EaltBkgjkP0DpWvX*BojCz6NrF{A`-<5)*B`>f`Xbb z31mDRrH{6@wXODUtBfENfL%=`VWedZQGeSW{Uf4zJ@ z%-Pqq_g;Igwbx#I?VXw{m)UY{Hk$+g6A7Db1-|^}m*21c=|lFAk zjiaW`xv9ZDxBm7U>#w=hJ^Py5Zo56=zV>=|ee^c>O}Dv&lc&0Gz5TlD&nhe&!~Dt$MZS#N`iA=1NE6%vhcbpI4lCzB ztN!|1Zb!k>2oE^59f$8zhn1^D>i-k}G{f2cVxCQl+qJ~#Kl~=owjukU7Qf1qf4LU> z(2bIhprrnHP2Y#&iQ*=d-qT@^Ovnx$IH+Q=YH zw?9w%P81=#)XLqkL5olG6ounKPf0i)_P9GVJ&+&PEAvCXwt80-wJM9Wv4Nuc+%at( z&)7RQ_@`QZnaE!2+tHIftLzb{d9HMzgKf`9K?nTUhb8FwNhsar5TVlDQjs-&>DRf8XTyHa@)HcuCa{jsM~2ufJXRw|@2GLxb^v z0|T&dP-z5n67iv_wAfgCbYSc*hb@{5bONc_)4f3-I`$HW>!DP-4xDHH(*usI1jNhi ziB$0oU3N}#S3pDvN>;bDMTfM!937-G;+Hr&(p^Hn>Teokd}un%c3B~-eY-KOD3MOp zhnBZx_PD%F8|%Cgg2eTG>6FZ$H*7ecd=~R(6u-!izP&5xag)Ezf?-Z;8oilhlWNkU z|E3QcQJVB-fJ!xezxm69i9}fU=ZD8m$d8_^>3-1Zgrev$(uDpK&oUNoTFqLsI;4_m zA4v#WzBYDpJ}Fz(h-^Za`&URczd_TtYI@-h))b&BShTJvoh6~Lz83j+B0sFJLXi;4 zC+bR4rBwaPQuW=b92HRc=cV#X`{jqF{o_*btIOAh^q0|uR~7VAHh4AS^H3s@AiDgT zk`cgEt$F6P4%;&H@YU7q;n1+YKCENBZv+xkysqWjh?!b*UY)~sKhVayvDMzB>|hIO z{?hQ(3~1)7*C@CP6|d9!6gp z`}V<(0-HU0JjVGULX>xdF&9eS)#hgkJXLFSD zM?7;hy+?Qc2q2!YM@PiE?Q!Q)L^_>|@SD(#!b1<{+035b46wPDJ8wtYxP+_a2c%4+ z@P$7i>*OwmPde=>Fhxt7=BmboTu}2gS>&^o)IvV{O~enb`Mo5qPZS^gd7iDMEpjH( z9smGMM_P|cgP1K|_XjKOHI?QV)7G2wjJ?(Q=_)oJJ&E&OnkYW&ag;NP6W<$z4^qt7 zuLsyRG`E3i@zdPQF6ytTtPh^GgMy4{p6Qz2ZQinsF}*_5&$VOy0g&a==B7mP35@G+ zXgb1$D(_R_cEk_>E_2XNmbIL+=uPRCbo5ATPL<- ztNod@DfCJD|LXa%W9+H<`MjO;(}Q2m&utH25<(a|=I3>!Wz0_%x^CXPM&{?IzekT# z^D|looHajVl6ap9#9H%noQxgw6H;lMpZa9Eb5&Yuey)(lQ}goxv_KS}wJbS5@BZ?r z^KLj5UXXt422=-K)nW%t708AgOj~ z#>1X%LWkYvk_|cR!8DIQq;E2}r(&A1RLYpYS(jrY;l3)o74~T6IYLQ#S*l1CiiCBy zd2`%ubNzLf`B?{t*JsX<_%?GYzCqVtyUE-Y;BBuKU+5_XySr=j)tHO*L?b9uGf%kK zftn26cfK9XM#rTZ`Po|ODDzI8fl};pD$_2V#nzOteJ0J>9F+-!}gFR>Nxg$*0TY9OA2ThKxK03%%NyJyTu2QLbU8)dm|h1ivsN2 zaWan?*cpwI#7<4C!p>`}6m~9<_%`!ge7_1iFHt}V=mT03JJ*cuhn>dm6n2~#S$1FF zMP_r`*J9_X>r&WhzXI6V19c~)SKDIqzqGlUO~gP^(?tggdag>2xYqPwIxIYm-H2`k zRqiW=G&X9zYL9IK!ja9z5jfFhD;2&xp&lI9MCN~i`0Ab^3=4w zEToUrTC*#e>NiKIHY_u;F)@`+qioOT)3UW*?-0*SBfDu1KJFJw&ki*=2(Ld-AoGMC7zscVd^T zeL{Y$EfGCI)AO*!OVsA?icKg;L@*Y&Vy&wg_%`q#W8ax$eN zAe~7_1X9ZB79f{A!a24g=PYp8P}Gb6=dhSig%tb!d`u0-ho&Cmx|*yp1?ie@^Rd$5$3m zns_l%^vs^9Ez;t-{q~$;HgBNue-h=T8N-m8(Ue_kPCp!930ZM`PR0hJiWzB6E8xtKcX&L zq>Kcx4aC|@umeaGf9pqi5O5Q)`I?0;uCDkpY%=6qrMg#D6dLPC_iFU+8tjOA z9r!IlQFd>Aq$Gr)gne67_X6l%m2_`?`HmXj_J|{-Uol@ZCYL0NNBj^|*|H;2AjJ8K z&}*r(MnH9|0H#wWiR}LCt1s8A-5qdkZDOY9YwDQG6L%2^%x= zYxItgK2b0}DXdRQVY~!rC(_5$XmKQ@zh2|(hzw66-381i@jhM#)=}fzCQ2~JHXmb~ zh*tfNaBPVP)_Lm6Kl&t*SQaKvZox1Ei6W3@;kYMJ1{zK&DRzja7eQH0Ou=tgFM8M2 z3%cnF8$aIpYImL z^^h16#UnWYeP)Gb+*7Lg4n&UE#x~543>8V#@MGpd67@xUAkzY_@2?IU=iUm=tZsfU zGG5aSnxvB^B+Z!WPSlo!G3Q}pLXl=%j?qEKeV?=zpX-*U z`@RASrHpvIF`1u-o z+AmgwJFtU+-s}7UM_*N<#X9me-?qpwZS4H{oIiB_3XBP9(GN9WhwJ<8ph4r?m;Nr# z*1R@yjt1fg8xzsJDj;Dhkbnw1$pi5@{gE(`a1s;U(%|P0BLZ5oa8UEnVYCGO^XND@ zD%~UrMbXcmKZAaDADMm%w=?s-Z`t~r8U=)sf4cJ-6au33Es3_c^OuOghIE#3v1X3_ zA?$9Tad2k0c^05(apw{#Y}w*qPKi6CnJLM>@e9%7YOcfLVQmBsC*z-Zo&ovDegLd* zQSeNn&6rsl)?W#O@oOMzCu?AQEcOAAbbEaXeiY}2g!60ktu-QU@e6sjHu`$l_X;_` z62-ggUHBpAS3;zb^8q*H`#U(lJOPyryni=%pEMhMNX=KF1|?H=Pa6}pSo280%X%?+ zHIlvsN{5mJ7mWEWYH*b#mQsWaDj~S<6P*4!c*cD83@pWQ3)E{L!geFJsY&^+mgT}f zv`>vMa_%F@7*|`V6UY=pWQ60w!sM3 zw6Otqq}bdD^MB@0Hd0TtM2>C`8_iM~7{lU=AzHAyYN%-tpTGVd@u^70GWS`!-e>&; zgGGe?tQ~06hb7dXx|%&Z(JiWcn(l1+BS^n|wH9yo*pOSJza7&1#HO~J5`I25sYIpQ z+%m~hMCO`nRB)-sl;JtQ&7s4luRF^m6v&5#p%lSZTDJ$AfALaRjvS-uuP9irLSgOs zg;ain8n6#swn|qiN-9;TZMUPnA)GKc@*r*n$GT^Or5#u zap+&w;CN7-QjnSY!(WP4wneqJUld!k!vbaj0A&C;>HBt@)R&DiD=&CRfqeFSR`;7_ zwGLaX&kikEq6=?V_5G>?7ofD&K9x(ASd!KL-O2V@z+5_!q%$c$Q9NiFk#+TSE&daa zEOALJp8$4($oIP*#&OC6I|)`_yo;ZhiAAD2a=I2@>Uo?AAP0?0<6xO0^Fa)5nHF2T z6M6Z)L)E+)540g_zA^&krQzK$I{BLuuI6@7*UEfmzW3h{syKuJFi2JyQ#mFh-y*XHcgjG3hVUO3e;n*y!qmx$L`!5j;oZ@PTrX-9o3}{$4H=55pMBQVfxx%o? z77)kHHraeVEM&{9!Uxe7`jC^@Qq%HYWVQwld;-O!bK&??DxyQN*WxdVpyp!c!p0;Y z#ExWX%o?~tl6ebbwOhx{Z*3Ye6#UW7(kp-O<`spFr(^%*cW*?x}6k}zWMo) z5E~klZb;LiBvP#HidE$&qHYZw08NCOq$+HZz-20q0J>#069WG7Ra-Y3dA4NZEtm|l zI-$ct`vnIK)1x;DL&0>l{6jK;dbJzq*?>6EO{ku? zX@*)oYR$@s9lEU2Zo;rLX__9SZMoUg$inC~Nb^NcDL6m(`N! z-DIA+o5X;Mr^~2_psQ%Y&B79_=-DTk;y) z^10lWPq$?Bn@?IYI!L?NE*uDj^|#0DV9Rc^B4qVPGruiC-DL*Lk^)zl#cj@>0)hK8 zjuFc-s(H<==w(U-;z%_B9)h&JhqZQtuU{7G%0Ll zJ5Aw!*3U8}?J~3^$oHDR28@iV%O6aMS?N`UIgEB1wqLi2|HH*Ki>RY8> zH&XSz>|}-c=bvV_d|bNaGPE2*5fIW7_vayaONt#fntz3~(|l`SR0!!yWz7xg&7Mrz zI+`*S!Ug7x48eLHj7-ZyF||zR_Z}ns6#@s4NX_}jL$0YNzaNKwz9W+NCwot$%q{6* z+rMGTQTfH_5*fj5ap*>KxSL4EhBe>x>jG`G(1_TuV5wZwxH21^l0%O41 z4S@}8a55k{|AbWoi)zl89ad9=&=o8A7=svS8@d!4t40wiO!dD6Rjrb*wOU+NYQ7V+ zX0yWFdpD7d5oqRyWUAY2N06s>ST)H}Qw(raZ1vo1gT~?KZMt*I69qP<*moiX#s18d zMc_m8>ZNKG@^b}%GT3b%0ur$9v_YeP2t{H0=!N{CqMts|%hc{I{T?a@%B~hm|KSRL zdUKztC(y0*5(cfHY8lRA&8xdg3v!%$Fn zA)GH%BFm?}AEY+UcMr2ZS>6M*0gfeIj8#+p^EYMZlx_D3a&v#!OqXa~IJF@Y^z%g6 z;J*w@nOlq95T! zP&k4f3d6-^n%4eKZld@hDY@Exb@o2!tH;{~{IkvTIcz9@r0@^^(Qnw$MQI0xjIa!1 zt^G?tRuz_sF~nklOoLcQFZR+Mw2E26eGq4XTQx>3pU2TBqW&b{3J{*j8JV@KO>+=zq~>I zCC?LaJ_Monntl`JbdB!|%xR~&vsvbJDCCvH_i;U}DF-x|h{C-!Rkm0$Y)iTWMp>YwQQtl_wjzM4c1V?TO<5xAie%z&cM)oX$P zQwcIbNqSdY1Oi=eu3StJu-=><1HMo@3+0>(w{_HT1t_1RkIdr8p|ru!AC6z=@rU(O z0%-e7*GK^3cwg1;z<4`-AEUo(%v}Hs8dl@|;z;8S>wv;BK(rCEj=cj}m&-urY*_Mv zJ_U!7z#KWZ+f71f|HP>!x-?@#j?gSgtqz(frk-9cptm|L)|aoj#$%y{S_ctoO!AxU zU(%myJo+(7)5pwD{Fv_gs|C*PM#g#}}bBh{^F7 z0O(?TX(|JQL9zINK6TmfH<8yC2Bw)_nULhKI{mg&-(h&FeS~INU70d0w8a=S9SPm-(ETvcnr*m~Ci-(h26eh!Xq{2~1f^T2-k z30C32U^DteTO-95dRE?+(Kllpcx-Bk)i%wxXldJ>#MVTp!?LnoYEvug*d`{kVNhvp zIDQZIgKRjC@x>Pa`*{eaD1qk#j=e|$%0LNKDN3jUC8#NP^CSbu)139N{*O?6M#-f> zJBE@|V^nPm#nBu*a^rsRTV)ZxVAINykTG=y!e|dphc|E2;yGA;Kv|V2g_R}_$6YQ* zag@|&tk8UKMyf;c1}M`FTSD;#I7nE~E&RFOoL>Q*Pbn2qSR}8`*9?%T@q&;tCfN68 zbQ|@I`Q*sC)M*-t{@CienH@@>Oi6W`Nx@yUQUHuqn<#$yK5m%NKVr=AyLk?II@Chi z-(+YLF*FUGo`|7oMS)H~{DbwX=@{Ge^0rVMCzSCjj3KR)X09+t_Rou8hH-F6KCfU` z$>ND(4LuR%1uKnaOm~ykOZnra5Q8~X?DFe~4dGEtB2N<011=lRT`{a1+za8{D?R~u z7ok*3q)Z1glxrI1trU27YsN5-ni8}iQ{uIzq=HkT=?;(P3wrYDunOmEc%$}d&OU|K zQVg}N4t>(k;mf1)h!(P68|K%oDK zvU9p}aimA|e!=Dyx5wHPdbw&?l%*4m=OATKe6?Xcu-HDCXN zrl|1Dy~AwjOZ|##lyLEsbJJ zJYZ*QknSRLw)M^+HqHxU6dVml(*2o?O%1m9uhm4el_Ju%66O7So+fk)rTap?@JMTCyp=` zu}{F9ZtI<85&K0Jv7Z2w4^}FT(hj~S7^UBfbKRnblj&cN5ws)$aD4NG;wOOOt=t<` zt{VM3p?Jt^<*T4!4NMe&`z|#;i`Zvk!bA}|jNK;S<{38-+9x+8NAtL{4GqERvi? zg|eL5EH;?+XUI7`4%VxS>LH<|)@ysER%%8dp+JvGn9r$PMT?kC;i;_ox(7;MnjVu> z=qF)noWm47($OzTi(KYpnc$rzIX+PCMOa9o5Mbnxcs!%UY{j8D>CnhzkB+sJ8+eof zY^e1tg0V^wa3Z(y5TGa`Cy$n)Uo!)HxEBBi!3aB}=U8~RpnbpiIiP(smjgzy1S8D_ z=Z41SN4+owx#790P9iSJHwQy3QOURuEeVFv$NOMaSY0ZH-HwpY24cag0?iX#Ntc;A zD!%TpalvzoX=f&f!Y21)Xs`YJbU3Yv;<3m`y6A-ADU>L_k&3RYyaPgG9iHe5RA}8{ z;I)o>$mzoI=`Lib4@bAp$o`uYHGA8PNzmO7w?%G$8g%4sM7<^o2o-83M&L}5(s z{Gl-ZJW9%($VtGYKySfHU(28ARC~ZhZrYX|2jigm5M=TV%=gmiRbs$0Jcd)j*Z?+W_%Lauk3$44pG zU9H^4L_n;Q}s!NBZeef6CF)ka*Yl@ zuh;373aZ&C$~C~)%s+rndcK8P)T0RzJ8N?tT!^?R9zaLal|!-lRHHXWaj%bHpbEPM z#?6HBKFZRO7pashHe$tX)-r3IfWbZl1_S8B(t1XjGg;<0#EEZv6g!|hus#kA>fik` zq)$>90X9AXHrAK}BWg)^wbY?}z<1CUyIcXU(K{{RXg8y8o54XnAp$1>|FMD8T;K`m z_sAkj(+98=gh?!8E&XMK!Z~+@(ScY`U5i=~tR4g1465400D^s9Ko0Y_A>?XVjcmfS zrUY`vZMMMwCWsR_BJy`c2)0Xf?n+_#Iuu~$5@u4isyPCJTrJPSDo>LDxG-ZdIT%du zeHn8fSZ+5@vcS}FdmdYm0R|HVlxO4PZ&34k#}RaTqQIEuZ<+3?K7Vg?AbsDp_<-Ky zKwO+H9!Hs%qiLw>S{zHoRot=N;+cD zbSz8zYV@7l;rS+kF>*Lhv3tQ7!@(HVIoKw1y{toMdvMQ{!YkZ01h_D`7I6_mfGb!) z)^pdQN0}t~tLT)qDhkyQ0v0}84KF5&U%vy4;p-$yU^S4!zqH9Us=mgAFbLhWu!J6&qjWYWWw)f`h>_?RCYrAx0qvj?V7>IuiYN z2nzBX;_vync_1$IQWj^Zf4;5t!|)S@IoKMF(?8~;-cz}H`~L#d^E%g}KMQ8p5wnwJ z4R$V6b%Np=lm;E}QVcRhg@Q>i#eVICfhRnDMd3 z{uW%wHFgFlohv)_09aaM{cN3NiJliQ(-{k%wI9!hcOwoAzac!S?^k0dC0H0hG7ldV^RK;+1aKa+q1PI9!b5Q%YJZOR;W#m#YZP zB>uF?7RRu%!$0hhYdRQw4#>Gj4xfy>KxYRc;jl5fUhD}C^SN)cT>pN%N{)cCJaw-F zCBrI$m9U7;uTwxWklCYeV;``R^+jKR$0XW#(epTI6K*X};7gA_iNj%xacZvHK@S-x zqh46ED(K!Tc2H}*PWiVR&WmwWL0bqM!l5B_>z^iy|8NtMVhc(k(ih}&S4^+u&1M_C zDh^kr{Chg(&OAJfZh?UtR0I?Y0YMi#%)XqokJJijf``GxS3#P_pHiMVbWh)B{>vm$ zV%S|c6Vr#lx(_)7JN>n^@&|qIV?A7L?n84hdk=@1en`OgVLiMUs!EkY&OkHJQT`Ey zKq-H`Tp>Xd73SE}U@$?7pFbL#pBrWd?{Uo4fXGG*k#3M`$~p(efHzr!2V-z*ik_&^ zVLg3`1fo&J=~l^B8a(1|z?}=nr(5HTt**^7NaM8hhis0FN^FE_m1>8c2cO)w$XQ{1 z3e+U6v<8Bu1V0qP7mHx2hcIZ%zGCot2p&;r?_x-2UP=&{WcLgbLok{g!%ZTb zG8ktI@J8auvc*Sw|Byid+&?%MkWH{Ph_z!odD@NQwlT3JQ9RrVmXh+Qd8HW{A#gtT z3p#f5_D=Ds2~*3BhcF75+2R4=>$+Afn8rkyKfeY?Gj>lFIIfmYb zPdF}Zp*@KIfbXqIW5&5}srKRv zQm&?yN^T~ptFa7bT*_e9rA!ISWbYcnCR@|T5Rx94V{Uj+5SE*E2j`Bm zAHr%dQdZ^2NmS^T+ZLIMZsl{8I3FjR>GRFYuo7%EJu4x!^s8ZSom6Tb?}qi177MXF z>;s3;JOZ1+RxN%jsOeVV<5p1At?rN^>-YkI#~^8#;|7Wm}UsY;Y|PIL9^C=bYKtKPSmw+4g43UgfPW2Vv|EK>7@- z(LW67AHvUb7U!W4yy0qAYWOy-?4OW3cJqVl2FU)9JHT!@;U>lCW|5Q1-jcdcC+osAjk;!{co_>C_u5mH%M!&Asl1JqPf6B{WY)w91MYjF zRHvg1-8}c*i{Y}+@)0~Re@E`u4{193T6`v#1XuOJnwF2SK%9kG$W{F&oN@yzxFba5 zbF*|R)Fz{N6f))g3v7hCWp`u#6^Lmr2qwPG_PO;%VKTX z5DMAm6~C>+)(hZY9n~7n`{;wC9^+6eg%(?tA6Q{?U@zZs{Q&H0AI(QtGaej)PcZ(N z#PnE!BtFDMExtrzdTbbCffbDy6w(+m8vz1!f1*nBqw>ug3EQ>&(GgBSZGDU%*Yem& z__QwJFCr&Pguj}uyXAumju zACyOi8a-D{;=+6hm<{S`+=i~Opm3tAaT|{)EGV4lGVml81SRI(oE$?}Sa>7@3)c{~ zYq<>H8f36laN=4nXlNCbAR@>Rl+ePIITHQB4BW(HDsoh15iCgj#P;V$N5;R$c?-sy zia0$Mo}kLdR+r-S7JqDiZe&nwzavugJUzt%@IRP07;%`5Vtu)H=3+6(f&d{Af!~xu zH}9hQ38^3Pp?$rH&8E|JX+Irr{hlI<$lh^@}YRc7a4=_SHy$YJARyVle$Wb?cq;|Y~*p~FscJ*$L3&H=gR;P@@4 zwdr1{yaCs8|Dlj1ePC}596~&BsI}=nD=X0&NJP7n(z*O)NUY<31?!Sg>Bo6H;583= zW;?nYTLqY=y|sDhiI_1-fBNqjRP)duC*yxWImCyj;wt}9#G}iTEu^MS0&*Vij;$mW zU{T)}of%t63bfrht-KA+q|fJb&Y*pCHBs0|EO#Bb)N9VI;dCY`p7!^^3KrnS=XA12 z2+9SLaiFP>(rn(bAaoI4VC-tW&3wNOOnI2_Ko70`vz#SwSA8G=kbBrDzCA_>y)F~~ zKyFST(H2Uy2Y}a5VpY&54b}fEIWAC+aE0nCq>ywsEz`&-X&)xc##UHcscT`|QnuE$ zyr^-1V|{Mp2aSP>VfJVMjcJKCFrj1NyO>yqT5QLaM~l--?>KLRV_e0&V!Yrrt|B@l zGb7TQ{iYY%yXLFf`_56?J148XF%buPaHJQ*C~wTuL3%h2J`o+s8`tPs-d3L*Yio@4 z)kO1fcuF||N5LbC3z1jmzp<0E>JP}QU;T~hYv9b9yTSYAtHoe}C#%fw3&iMUa5TOa z7TEHa1>5a6EORZ-Z`?EzLz66z#6cp zJ%yI+4Uv#2e&sTX5az+5zJd<*_N#@4#j!D&_R&l$Ey;Djzl{H`e&dJQhpE2-jlkM} z6%3?F6!b$t^vu-Y-_II+^w{iymy9cq3?tQAy_=ovN%_kmeO*o2I*6F*}?5W<`S zMJ2vC-gZ^Dw@!6}>+Z4$#obz!mngn`Y90tS=otky?J631m65QuY9LcjX{{Q>@2RM_ z74=%H1~cj*p`m^OBcp?@GxA%j3K>4FwF;s*=sCT$%9SWSFeM9iYt>NZ0p>CMo{D-! ztyRY|>}jnU#_#CXD#&<&a~!{bbA0M{&F5DL{mwn2J>zgEB*=t)=1xK zqY7%#W^(~z+U#z=CKiI}Y_oYk9+9#PkeLUS789iJztz!5;-$?ExPLQ}nyu*}-4xwg zZYP6n$x!C!=K*T0uf)~z67);9lLLDfXs^(`Ndm6sl`4Z8iu0vgvGSI6Q9h^T2X3O@ zfifu>g7t7hhH$8=S7?9lZmLSR>kol+GVl?rJ#~`N*r!ZR~9f8#k6f zRM$dET%N(aTB5k&60{6UCS~$N;0ewyl7mRbeKc1KAC!g6a~lEkf`8wK7MJ`CDL9vr zYowdZ!5Ap>;Tz#<2_UQSz!=w}3JH|EmV5^Rb3%wH=wnXHXXqg`#-H4breKTmBmB4( z79--ljS)}aHT+ag!%x+V_zAv+pX$%?GyWy-Rh|hLNW}rf#_qz;`3v#mI|V=Emf+`t zUi@76HhwOG*~a!f3`fL%qP8Nek4#D}UUufoAybR85~1QU(T&RfJ^1;WZlFZP2>g6q zH+ptSADlfr9}pX)?)=CK%aA!1?5Qy1Dfp?n6+gkB;-~ue_!-Z%33LXja3VDJPxv{1 z0DgQ0_!;*X{9G^`KNo(0pNsG)L*D=AF~0%`U)K*5sdx-O-=H6Yc0Vwz(CS4fNJ^>E zR}1YB*R@DY_BW6?wx{EAM?#hm=b8#Sc8n|Oj$5l z-dWgWt^y&JxAi=!AUFiV4h7+FJl{78yYVp}&4kd&l(9(&jRK+f7Ffy52*N8sySCn$ zU}O6|E+)=mA}Oj@qiter<2^Q8q#XVI0StVB72T?}fvUA*2>aWatran4{Uocu$4F}w zaMP*n9DAtBtP!NHH(xqOhyZU1+RUv`#~^iT zpj6hXZ5KqF*Bs=hp9YQsQfU?Do{LyClXDPDOABm+AwjSS%SfZJNYuJD9c$F)#1wxr zfUKl@*pOnpE0(|fnV-xo*M zu$8_x5yRFmgNOEI*w^6-Me&0amKcaXQCu{MnGj~kEeaU$QUV%ZDCct4)q_hUCO*9p0L;#WjhZwLyI@j8fd_H z*VN9C+RXp*0)*1LcHSBdjQH7$RtcyU95D7R=R%iHl{ zkEF7voYz2OagFrTz&J5a_IBFdy|5LHDStUIrrn#X z8IH14%9vbll|5uQMj$0*1W#fumJE)8PRJz3$q3qmP|#h=3y?8pVlL7HW4c=>=BlEA zS%tlsV`Lg=mBU-*MAC31$;D2jked;Dp={@ zSw+{8^Py34OmjI~^C?|zLF0NL^;RG?3Z&ixq}Dj$Ha!4OD7j+AM4(^~sH-XatfuTh zP1*VyAO<*@%iZXziAKSR=s-BPoh^IqVP8kXpepFpC+3Z@V>@m*zJ{YG!Tx>W-G56T)+$wMI#2n;F&Fs7!=H(*q?8EEjGS7j$v4`h5nCC>^z|6dY zF;!#2CpxRV;S(|7j)vnnq_&KX4q&ysFhuy=P;6B`k+R4sNQ7=ee@QqyY@4gO21#@Z_0k{IANU*oat5gXCTBl;T8$l-xT zJAfA;L$Nja+TJe$de?Z@@)4&5T5I-|zg#_L=6)nrx6a&8gXk$Bv34xYYXhy-`%sVY z_Ml`R03=W@vrIS2^aaMOWpiJk%wCi^)UOQTklK-TkZrft?8yYN4%vGw5T7CQ3zYj3 z@Y>m>F{`S_thY*6w^o0Sj<#+zAS(b? z;Eh4Fl}Uyl&RLxe!(Ge6J|~KWePGK}nE@yR0_#*@90JBEl$I*uL=kYfA41mxlPh2x z8DJbJ1Hs@wlq!=WWgtLOaB@*57iA8n%Gjk0gioqW4$9=9%$F=P0+SoAI3R`W8HMa9 z1a98cz>T>tFW?Qb5O=STHOX?4ieqZmqRqf2rHXQ0KAiYT{~+$vdD&#_LS;p>n-BYN zL067|WTA}9iB#7TnzF$XC{+XvOw^vu<`X@9>XmDo&E$)&)i#ro&a#smf39-393$ry1)z0y9qWPd&ZPj61`FZS> zGM=l&7N%&W!iky&1AFHZo~_tAh z{7n30AVy&-4DV09JCL}<5AD!g0{0|^AVaqhlsw<~&*106tEnVr;pfj13rb676png?zmYgOLy%Yj59~pW7YKw}pH=8uBG6C%0Q~#|9Gjk=o5; z051M5I*4i8LgkBsl!6NhUS<=rpJ%>!h6J~D z^?geDPpqXJ_pQHk9OkX>a+$Y)nzxJb39R@X=k39>W!^NTh=VWeDjnt-7v|88(t`;q zPt@?b=MDtbG?CH0HM!kc@?B0~ui&xl*}&$*Zl2{rJGjCg;Aa1wW1!_W3_;G)Q<>BL zc0ume05o~uDwTSgN*#byL&N;6YZy$tOX1wDT5L@&HV;_w+s&fZ>Vx{Kb~C@V`cUho ziOyugxxAl_A z+Ji0sadHg%b*g{Tr`uBIrT35CqjRDY1UkFh z?pnS@y4!9nV}DXdJ|5PW^Oe@Ya=Ietm^f#}u8pTEy}3XdSKF1R(3G)MAs=Rkl>tl@ zj#6ZLnpSiA(pS`y^BI|V_>Q^zw}ZAI0o_i(&&HVP9WXD6ww zr~}D^#_Z@Ffd6;|jfi+=lwBSuOQYgxze0tKtUoG*$dmZE?&723l68;H>-m-BOu9lx7 z6uckY^i{Q@ccY`=wgP|BW1(W$*y!~E>0W?`yzj7Q^^QQJ*$6f&j~+vC^c94|LJ1re z#`YqmuOfPOIz2j?Gdy9O9O2-?H3nq44&WvC_2x%FD|j~N}v809%Plb2CMRWozyb`=A;Xk>UMQHO0 z4SQNBI&QR`IxDAuO0|zCO=2rp)v<6;S7Qps>{bS0w$*NGqa`_%+X2_5xGt&vU#rgHP3@lLZ+lHQ zF9c^{Ntir?1ID$qZgc6|WG8#%yFl9wxTI=KIIeL4+#lduG|}0(kM0lq$`vhL^H0ni zJs@&B#4j5AH7FO;&7KhiT6iLWhV=mBAEhxx$KrmB+4&ENL6dUN1{ z3?JLraGc|cO}UQB4~bjm*V%e+8?VJ!7aq(}1PP3q_i4jZbZ#GX{=M@Q+GWjHS0X zZ~Y*l1{)-tZsP9*3Kxx_^&k8?zt>3{^L#9BI{S-nj6>2NDgyWDx;QCQJ7?ii*-)5| zzlpjsT2M*P&7j{{;!8eVQTQ(&D;dR;)u^V+sP5#b?inpRg3Hu^%#&p(JzlALK&n2e zs{Tq<{U52ifK@A1)hDg0?_zI(ZNwKaLB$dWqu)WRb5+%$wSvnN@xf^`tLCYyZf1Z~ zpHNkwM^#XYA`(S?;D3E}n2#>u=;AWD96KkZ@5XjX-lgHWhL~+X6grfLPEEWjx06%w zIHtG!qs&tboNTNxW**dH2@u-ds1nlGnls+8+%#56z}0*WVPTf)cMzMK!p1oR%o`ZS zndESXHjjrS5a+*R4W@;JbyzrtxH~M6T2*?V@}{I7!YumnG6nc#nlzK?}znH<tnbSx zyY_cYy7nj4wHKvpZ?J23oFbTdo*AIZW6-0P9hxf$!?dQa>Dfxk?mHkbexP9FDatMq z%Kisod|Sad&Z6v1XJx}M1cu^{DGEk|g0WCwyiFKAqof~;EExCqgAq!yiOfrqPm*8Z z7tNhK>dST}@Mmmar$ZEN$7&bWKQNES9dfL%<$IDV?11<)vVhK(g${zX=B7Pd=xTC5 zOD}Xf-sL-lYxyfUfl2$Orhg(QmJ`5-G;+xCu1x1rPa`JBd{V9fZc``Gy!o+zD3-6> z16uAEn(xn^AEHdd5UuRsB%|Oof!zBPOT9V_YddG&df+vdaiw0pk)Paf`u81FSlc(_|zFIR6X33-J8(*>X7V*_&beNCgL$z!7($R?J_dST8Knj6z~S6~hKymu z!8W}hN0^Ja&zvsn3@%Y-*77LaYH^vxdC@eL>Yp-ka_==ffF=e?wrsc?d{~9mU=RXA4=9K6x|1E&c>BDPvP@?Remed4I&+k-!VhGQViB<*$> z--Eyv_)S!-)cDRA!bIy&fZR&qWi)#lF@d6^5(7Vk+Xj)n**ux!JCGCgr|!4N%$w(J zA;|*;>5-m#BuJA0iQ|NIccZyg5ZjqOI_|xS$KPW*>?umrQSntER z3Rm_9*nP8pKhM=x#T24ab^%3@6u2fZ}l`rIyqR^FZN|k#Xv- zL;?%aARr{_>WKpXT}wD~K#eUxp|*tci=9?{3Fo_aB=*L`(?xn+vA#rfP&$E1$1JEk zmOMGKbSAw+KKHZH@QH>{zPW?*j#pOAmsOy|T+4u=3pM5fHb_&R3H8qC8P=Kg>`79c zgPI*G(pOdc98C=l$hrB1h=k-+pJ11{Fx)b zaXr+oQp?w>Z>lW@z5##XC2?V~-NIr|z9lU7fDG!NgXFK2zWL$_muDozrt<@gZld^3j5LEBU9KN(2|AS@Hc1D?B>I^k?eN5f}Q51DeJS@ z?OKRq?Bem{RR+5if+hN~+Xt`rV>ehXj7e_uQxLcoU#!^eP2XAMv+?=yap14<*?hK* zEoJc86JYe*z7(IWTz4cs>r1T3;l7+3@TX>-N$3EJ>ua2L|~9+N!X=wz>t69gE4Jv_o$q`GoQ32?D-hvHIh1witlB zUF`Sdf8Q|Owdiq#63~+Zdp`vqJXBu{UJBq;asXnnhCCd;(Oy{X%dIbfb>DvHZ>oJ& zj{3&~#uWJGt~GxFagVth7%(bfhC@jTMBMX-!{kD2bo6}z{qHv{gN>AB9Hdp@<@ezO~L*tscn!Cn5@g+>epVySX+#b}OYj$D@ zR_h@Y$jMIp;c5LbmZ1!<)Q9~LWqzJ6V}2VYG~*F6yJlc*BJeu3%f1$qXl*5?Aq_0C z45ns^{c=xbGdrn^{mn zNrbM&FR>R?@?6VLfDRga*B(gV{xB|B8y9@%#svOsi24KP*7^%WEr_)m<_tZQRH~Nn`IwiO-&!?L2Zm7zr#u<2qpuJB&R4C%3#x#$m3fE{amf3 z4Dzp0`I%Ios=h%kNMp8wt_d&|wwLtuo|@$!4kp0UF6p{i<>4W7IxqI0!j%ge^6l7{ z<2oa}7I1YngzKs}UvxC_K6y{lc@ED41l;j}Cke5$>0_cX8Qsv?^dX|wAL~Ik@h3=9 zdF(Y-!p^3TSOnL%>(b*$hEn->?c+%K>OPwKy8zQ+znFGm%6{P$qx^2D8klSGWZkWm znQC>WJNGkKregbWC8H04#=o)?n3M5v?!JyMnQ^NP$)&lPX>-B(Obg74_>=M|vp%fv zuQBQd;Ql-?67=l9*ckRL^0wQH|B`e7?2O1LiF}FBDH1w}(5VtSgpfx<34}%?Iuc*LCTJ4zK!)QJrvzmzj$jI{eqqWmG~6RS`xzMp*^KZ3hEHKrjD5-2scf9FFBtPMw1=V548hBs z-9`xZUv!%xytEyp)m?SfwdhW$n>3eN2LQ7-27DhkctT|dgJoZ^dw4%H<p=5Z z(sPVYB>+AJk(ZW+U%H_d!M1Mw?StgKN{SZj>0zpqMTIA0{3{fJ%dxy_#z`Bm#S@OS zP(6YPz|?_Vnq!S%?$)MSkP1@#_H@!ER#HwnX^NFZL1a~&Y9%?+Nz<$(n9-A^F13B@WMQ5pK!iut^$mNL@3tyw6(32&~V<+T750mI@ z6@_wY%b@>2d}WXs@s%1rYSci76ArWDKYjT4+1l5RUtm)HC&%x__$yXYhQRV3a#e4v z)Pn=h35;zD16;I(u`>f_H?bbWUWD19!1FjwvAqDZ8@qTWQ{pSV_-HVc8t2}IcUg^_ z9o5F&35(thT+7hk(zjqz-jM|ih-vc=DoO{MmrB71=4*Y9 z-l4AtI;>@oP!?xHNdQXMS3~K}F{%!IO%aDtb?|G701bkriT z4*Z3jRSr5iYO#;7Xf!}ip-8L1gz*j!KI8C7QP`<)-+_R5>gq%S`0Nya9Bn;E_@i6f}jh0#0UX^)Myn~>I!;XYPnh-z#ae-AbGs3;1BC>fJJv%{7lY; zxj!GCFi72Pr3wSLHhpSE$-v0vy=a1xUbQxTZbe0Kv^ITVMMZG5Htn&ZA~;%`_A;uZ z9X^yI8Tc>iC-2y~B>wUD*jMSBk1XyIU2t>_!D}*>@Yk=XY1!jy=Bmp+QtBmTZlHAl zlPK`gN#m(GiLlE^%V8QNUPf9j)7asRG#rsC*_V;#WEurvMw+xsDVUL#M{N{_Y#d;w zcaE6~7}q!G6u4E!mos4zq0?+14CnBiPP)zpd}{-)$^0A8!8qQG?+ed{W#rx~MSj9P zd8858GH&2J$Nk;C#~Fdg#yRIX8{2=^JIDz94dL9z_O;;eAH{as%UAipr#aC9m8}IO zy{^hOPl*HZ%KUEQ;XoY9e1tz(4pCd-UH({S{KxUNR=n2aUc61(J%V^_BHM z+mc!fHS?qLl$r^h<|+B}=(I3y14Zz{G2YVva2%jvo^}QGcM`?#7EvA)-Xd+jf%jby z4`WC43~LD91ApV<7}Bl#iix!W-)7)_bNNT&*s&%&dn?d>wT4G0y(xNzYj8oyH<*BS zH34O-qK;NqFW#ldYuo7<1iikibpoC-Y6~RVYkaE~KEp0?Ba|eC1FG#bDM*)8S1x5& zD*h8aX#3yv!2O@-LH9T8!7Sn+<9^To?Y?Mw?%!zVwGQoZ_=CSG4iSb6ozpxOSZyQ$ z%#a(oiWU^m84#*;LT9* z7E0QA7CfNri14nJQbqmXO_S991v1{@3f`HL_Ag1pJq7sl5?`lC>K3ME$fIGB`aDzd zjQ_|+Ogr!k|M7}oL22|P7$EU>(!u=tUO-UeegI_yiE3MK5t6n_^#ziIJsu8+xvyD& zEUoN6@jMP-*xzI<1M>IX`iZ-Y^C>P0CEVpeIN`EZa$WfJY5mh`93=1E3Q46U)`n-p zTz>Dh$^GUxTn|>I#E~=5IEAqaI=al zL(+tSMF}R6LsYin_O8G%KZY5M2MX{XI0>AI>kY21K&h4NMzSZ1-n#txDi5{%Q0>|Z zurs`?r>m(CfSA)Invx1p0aBq6sZePubWSQneN2@Lr$W&PA~-S zKR5*Gt1{Q5dxK}~sITBdg-G?ytrDmxA)QKJm#Au1I^iLmYF$?o`)#GeSAyv!lFm_B z>28(ok#vs9N~fNR^4y$siAHFpQyoM)wahNr?RagHz+DH@HAzp79tpK7om*O%xVoBB z=!lCRg%WkhN-q3de{mjhi>D;H^~T!I75L^eQ!B8aPYCP$o6)5s&^EW3{9>DTFX#eK z$e%tH27m71`Qzb_mp|uJ>uaEYRO?sHt%k=ht|zYVx3PMdTcUuzr8#(lJD{(jW$*)7 z2H&P-&;?Q7yp#p`{wl-o2zCPOoQoP-XF{v9+k73CWrn=w28O8c{Hv=fU(HNe zFpe#yz6NKN-2dAX-LbwA4JW`NjsAiWo29Qbjy4Ai+_-nx_TG`uf=sW%2`mJ_5z1qe zhTaSz48dH!N_n^gF3&qw&H=%g-XRclXdXT#-4Jx8J#XXqS8V>72L#r8kNS31=|G{X z1jBl_`3x$A_3mCgcDh#QlVY!gjL?|@JWi=s6@<#RB2=JP;khVI03ts20nJoqzC_>}5+KRMQpU6*N(2R_$>gZkouK77862fmEH1Fu!uN3q}G z2QgX@5aS0i+P)CuN004dMDf2Kll_pA0(y+H3OW4fG1)Mw-JBk?0W;P_^q8h1{OGZ;itwYy zC~yFPA3ZirMflNU(^Z5YJ$99f@T14-RD>TrcAbjwLz6N#3rXvs^?qn=`3@l`$f`N` zUuXVmup%q?G()qgP-A_dQ2!Z->wEa;hoi%0?1MV6`GvMyQ+4@oN@U8`fa6ds9aJ{}X-F}`8_h2^M zpYhB-J#U%#9c}?3+|x4vmRn(t{vw3YUM)VrwRIC-*vD;FCA*x(8h^2Blhi`0T9P%U zAgVxMiinacST;??*IHHGruoT{sQ3dbpP=tWIDsBxS30`gJlZiZElJ-rd(49Ig41>_%DB?vi+ za28|_`;0$3frUReNjQlj?4lk*$wcwF37lC&t_E?AHK)=ThV6FYACVK}9~CNLCbqf! zYa*hb9@)R}l6T-=D0_G8q`c;Zd0GC2Zw|l`ab*9(M(MbH&nkPwY2NBUIdux2_99I0 z+LG^AJ14RdpSrW^oom(0TCI5AntiH_C%D69?V0;;zD^uy4d(N4&fTz;CN*)@CN%G! z4+f{ybDrPc@6RyPN&J{}9f=RkjAysszd!H{E72083-@K6TBpa`IMx;!+-To}P9hln zB6$v-EMevIZ&n;J-!F63c-JvN)^1lb|0YA@T}8OA@TK^LtA&3|*WbF}81w&7_vP_X6df7*TLRK%u|qId$*t z+gZ@}{l0%bf0Dj+Z!M=zopb8csZ*!Un3u?S+`|pCSD!@iZ^ZllxiT|Ey_YgAkszbq zk^0-?_P0OibixgU8v@CLbYx^=)cfcCU>k_OGrsK3%Kpg$h*N@}z>@QzzN#m2tO7eQ zPvMP(gjus}EPHzH0Xmo=zT%5N$k1kymIp??PceygB-|k&!tf7!`dD8EmL_1wa%sYm zSmB!u1Kx~!hyO^_&7!+JE|K)q!&w^x4knBAZ7Bf|Fw36^fG&|9fP~hAzX91erWty; zcs*`@Qzy(NI&_$Ipd>Sa;CnYqA#~GV+Cs@-{p}I^+vz%elT--T;u5&^qlj0EAaDox*Q?nh>{ z^pU!Fr(m^)xy=pBE+xHS5egFn@HH0t-WRwGwKW%90PpUd!QMj0I1T~|{+$62P!RD% ztUcX)3S7dS1v3!$Zg}|AKduAn!}`P83qf#`b-`g;dRdH;Cya8MTw7#w=>Z;*b93p! z?}3C4xfG`54!Dy1l!n8kHUo(Ki21Wi$Q6AOmV8bArYjSc&`p6O(D^rmhpV;NBotz+ z1hq1dH;4n%FsPzbUU22znS1*ic6=Y#k#AtQlDMwI$wxV!O!xMsKGOAZ2U9T;Td2WQ zu-hY=`~b=iq2mWMtC0mG1rwnBVq}gP&a)>NkTt50K#A+qpChRf$~|<;2ao(qUf@tT zebmAy&;c3)h0y{CKw11LVZo0zMliALqs~z-0|PsSzE5g~99!(Jng!UH_<32<&9($m z6MjKwR(BOxU2=3aNfy0yB&$6%T7FW#6FV@X#a*K6Gt41R{{lruPAx~g+^@nQ;KE_Z zuc-HZe3J7*7?w?Z#(hOPN7UQEVhmRm^%m z`;ATu)C|r}2=)P!=i-%wKL$r$sk7(U+0T-6#v0Tf_1;DigE36Ec=Q&ep?=8Rc`bJm zg4uqGAcJJ;RB(@59z$Yxgb2j_c4?hF7Va(>Jjb~h>F2wodnC9a|Pg+N; zVBvQ(woXA`a2tbU6i;{fm}lXP3A9O)9lk*djK&^}xGlo>y_=d8p}cKY3-+U3kW9>; zAYMlQhu3Us_zS{zFqtNAHg?Y_jggd`dnS**yIy;vXf2<6Fv zZfc%Y+bGnA<1yWCO-xWb@CTU8A0I>I|Hb&6YxjR|+y1wK7n{Et-sylBU?S8d04A($ zMtrnY<3CU%V7{;(Fz0EQ4L8jHyYXLakN+XyrKJ=Y%4sRz?+3tP zJ2?z76dnedF^sA}@bLV!U`A7s4^F9E_rU%&4+k^sXQwhekl#=Y_Ik=2pBzc|@v^rG zUr7|at>xhp!&jx1hc5@$T%LsqA)QeWx(2{2iLKbgTsbe`gQs(Kqy*Cjlnx$bkVO>F z4K+fO_-}A`oXC8AZIlkEy4DYQFs6Yvv2RL&taxT zo7qY}nBAh@Es~3Ego}jL{bYQD{emD6Rosyyb|2Y4bPdkvv99T><-;cyhc9UY;B47*)Y_3=R0g*m+3^dhD5>10g}zJdh|?)LJn>?dGPo4yw)9Y>c8gA$-%R+d0$hQ5NahnO)!`?7}ydw-x7TBrgmzJ zeOkI8VwI(*P^|@LjbGteoD3ny`%PY36ZJkO^@Wz;?cm;_(TG(WJgjDBbS!*zy0(_0 zm8Pz}A@xB1G#kU@dD&kGm~;^amL40{RUJ5&<2AAS+2= zRm9w6i@+vk=ZWMFywa1@w0bp#x6obLPdgyQ0q`1?xtl^92S9cWXz;uQ^|9z1%A}2D z<~g_>fm3RQ+^P4|X7%|lW<`wDO@^t|1z5DBC)oPjpzZ*f;fo3jxvf`o@Ed44*T;w% z+_mAo6l9egPu*hxCC=aE_2z)*^_9`a!Zoumn-r*B*;8OHH@_+Ky#5Bl;J2A{ssMxl zJE?HRO`xFzSde;NYR1`i?GIy^DM#@pNduvMak=2$&^J85wd*^U!eZizo#>tC^=2+I z!YLa7^rYwtH5Usitb6M|Ao~GUPyH1@Rk7#wR>1Z~AaY@1;pW*B1CjFM5-sQsqLQ`k zpP~0`QSzDo`yXV+y1PcsM*Qvm}fIh-Qzd_rD-fKwAbc zeAQd`29fxQ_H*Gm2-GsP4~WTPxXF4o1OltnoQMUiP|XIlLq>d~dLO@(5pUzy8m!hA z+Yz8`ukj)8))1l{i}-{RLL*l<%$GW?MgxTu%O7&%Rx}h{m0llHo?`K3mxuMKc1Bi0 zD5Rxou!UTYNf7Lb1JCA%`B}k}o%01T1y5W!zcnu?Fu%EHq{JHP6&MxIX4m0 zwL0$`_T?yJ0;Jv=MimH`qDT&kl$+zBTA<>gO)1ABk8oV6OaiW`0C0hSB!G)rY*|m=L(ue3@T)u@eghPYxh{IAe05FBaA z;GlM30`coW41QPS7H+`4h_k3%_(!}S4U(m4)O+@qM3TacO|f+wr$o3h!MHV9eYqkz zVS#^fB0Wg(J^@HO9Xq&jpY8LCO+a3fw4bKf`k!tn$6Un%X z3ZcAs=!Eaj(eD@N_o4B1+dX$9PyzFv{zx*iuq&Sq)LpUK{p`Q&O6V_u)$h5xNdt56 zVLSITR&Ml-7<|NQs|rK_j{|1d!oRpB3|$D(Tdo8BRaw6As?&*WO#5`wfd?M5fY z>P{GuF%_>fpx%I;_WUC^u|qG{`=Qv=3g^OW2tpA~K)lTUxdH4x4}lTi(D+#~Bvd6Z zpEthc0Zh{_tcd)KH@2b3zNPcfrh4@&h&@cvey|ixcx%+FVoI0eo4A|}_j;t6r9Hz% zJ zONqiN{1T0rWlD+4YWz;eub@;q#jbQnFmb^E(9iJs>BaK`u?;L-7R$qrEia&JE;Klg zSlYv3snLgH(g3z)J%Dt+@j;i*Y6*5y9uhL{{AJS|q9V4R7Cygc9Nd;ZYTK_U&#jeb zdE|27Ww(renfd;Jo!0tS#vg;x;rN>$6pTxsc088Nmb_6fBYFkYLTJyJwDuN`OP}&} z!JpHo4L3iK`pV4oY&rtq7F#Sd)1hwRDThxcZk|D?l+k$zV#NhIVh3U&Vdd~gSAphu zY_Rap=~I?j^Iqp>ItPc#6XNmNasPP)k84oG3kYUciOmuR7HIb^frE4Ao(w+}Z*)cB)+tXy$zlKf zdKv?#Vc2Nh$AqSR+FCXvyM+Pkenq+6Y|huD4eYqyob32Ig7mkVWj$$ZG+qtKuF3DJB6Np_;q{#Fg~l(VErK7bEaOBK+)t%Dq_nnA4%CYyEXQgU10g8!Oxt$V=wPx;tAz zn;m^K{4ZMUylZOLG6ahy2{skpkUr%xQr@Y+Fzh80181HPII}cGD3T|g^UpJn%O`z7 zOKsApv}<8i13}WhK+JgRPZol_mPg_y5~kdKWIN&dk`-Vm!_N#y->}X1yG*B)1m)HX_xBK9ruDI}H z(k&X`9+0{QwFjariwmzzpYkAI?7$0@hr$e+?aPD}-%Y%G+fpp|1e_piTI(n!1yD*+ z>ktpiQrxAuKw>px2Ow_x)b?nZE84~X+KrfRF8`c=02FupFGAL_e@^vjqCl$Z*P_u_ zT&|TLvtMFN6eEvbtjPQ&yH2=A#N4Nj1E_meB=S0$jp`05BHM?SS42#o%mMt2cMTFKq6q-Rooa(Jp<|3 z7@4WZxB1=!=|+`=^MbKlu?o%9Zw|!Q_aV}_1EbUYueHhll;ltDBtQEf^)B9yUV=N& zLpUR4ZjCu3#l|!0z2C~kvxjx^j2+R>wy-FyR9n>k7)98_8|#Sm1ciL}8C_!tL33xK zDkonD`gaAEux78;UoZl=#pa^O$V@fxBhua$hbzuPwf{!7Fv>?prYSE!gJYZ^=l9ZD zV!{%Tfwo$cxrGfQJs&p8|3=bkJnJVpsp{!3Y9__3ym5B`HH zV&#`JQy4Li<0_EykLmK6hb(`KF3(IZ0Hpktx;$ZXpDkbyV(kyr<=b>X#xvepffdfR zRHC5CLZcJsi54^UsGqx&W9qf(C*y~e_)kI}2T{0Yn($;iQvDqP2{Ul_$Q&O{eDlSI7yu139!K%1#~DBgPX z>eOufa&_IBZ`FGgKj4b1OOCffQBGFNH^R;k@xBK@b)NHIOeW;(PzZMw>l#+c*NhGLQFGP`0nZ@UT=`f#nM^$j?Nn)s|( zQ@ry8bxxgxNF^XwiBXylVuU`7 z6%xXfl5-1cXudAIx=_q8FS!!SdweZ*0aMgm*Ayu6(V`o8|GKbJw ziT6VEy9=gbvDTX5bZE{qBnS(bAR1~3P~_NeM&XScFGGR&+Kq`0cvjubcL-WA)`mWx z6tBTxJZy!V=hk2jG&mF#OjtxF^t{9T7);?ZF=A$*lVqCZsXfYY@N0Qa?p zcTyVwnhp|xP;Cn%>r18B|9zXXP>C$$CXYs(-Q#S6+z|Xd-$FIts0Of&z$?gzQ;82- z)E>jo4{QN$vN)~wKFKk#XC+Js#BaVbq;*dnof@4!6yc>)`MZK&7X}}}SHU35r&;AU zqivR#LFfazks%RY7{uBvWPSoV*2p|6o3hF((0{gzqEn{|N=G_hi~r&x=EvyHX?OhW zwy$x-?Evqb~o2Eb}rHZo`DMyY@YYWqj%ppbI(gmU>7YF90bv%Sh>3 z4f=fDQVHsEXaBry_7{-BVwoB?-VXuP4u8|U(;Y8|&;qtaH$#a1Eh9xYn$st+} z5DPJ`Vb?6VA|Sn{^LM~CIqC>$-BZW;!f7A_FG-nF`65BF<{GK)I()UbCP%%wUt{o1 zv>7{}z?uk!`Yd7*nw0zznH_AVov7JH^8I|HW*bSIaej<#E4&|C5_-d}NzrxfXSxeA0|#8w|jNjhb!xNOWMh7=k-|svh}bl>6R3wk@*# z4Ll0lfCH#cwB;G-lQ=p`6k}?ctf!WR*_krnsiogSkspBAzIEBVfHSPsR_($Ys+FhE zfJ5m9Kj;lz*jj3sM2J;F1^w@!dx;UF2#ZGO+)3 z4qplB31W}zk&v*!-krm5Ho63P zoh`dOzBkm`%>=r3NF{3uVeoQ+7+X73Ldam zBmPCry0UA-Akf+&=>k>V^(40fq<{H_b@!uAiXA#{7l91>eNk_BRt`9-)%6HBhaIZZ z@U1-Ds~IIU+()xYv)3}~MUG0t3lM;e$&NRK801;uS(bA1HTl3^a}&myB3uY;&Pozq zyoL*XC+?s=FzYBhoFyQ|>2M4;rDFKkswYz^!4=2FPYJ$LQ@*8?{c{iaK<(opK{#0b z2W<~GKUdvk@w!WQ=6wyjt^2H3t$Sm9D^u&TpM;sLS#%bvq!6{W-Q%P|*Ua6D42VKZ z4wiX(7}EZ_sHg64ppQvFlLcEXE5f`a_C22?d)_{lR7S1mmT5$@=s(wp)G2Ywc5MU1 zeQjZ$)CP#A9|efe95KCd_B{@4!tHqS^6^6RLuR1qrM&d%`$s+X*9m{e`uVnC!PU=) z(1i3xdU*vJaHA!)Ekti4gBvXaHAJ4eE_#Bq?XONBC$L7~2dF`~OjPb|ImSlKl_487 zL#`Io7*4UTe~1TUR$I7-wE^TmD1h+^-RjJ8z|O(74tDD7SAXXm7^Ty>h?W#!V)%hoFv^4)pNaCBB*xZelETW zGQno*tM4rW+lc04Ba%GVnh}JEy{s<|n=c+dRF$QRgb?aDE=+66cT;2%2T56&oVdrkG_2I!PG%)$ zrf$C5>&YnNJ?uFxz;WKTk>lw-$*cLvr{+E^In=Xuj>X8qeIo(?D*bhx^YwmRes@p1 ze5uayxRYa=lz&^7KNVkjLy=T=91;ek8uZgiwp1e$2BIVGZm$%i*z@?k`0DQVo?X)I z`W_&xmai$kSY_0EZWCvmU6gA2&L1IE8K?`0sfOU0q}@EKrhjA$!n0smBGb_ta&*=% ze$V0$v}wU+*E}^#w_GRwKT`3Hc;i%>5eN21_++Vkd1|OtvO=US`&`4493^W?SoBZO z2}Y{w8IFVXGPgknYxZR`DDnfa?k5LJ>7Xe0wrMJ^WAlsI^Wk% zyV`sS`MAGh!*C_?$Ou|>UyQV2T8U*7WgN?#-1}_WvT9O7a=o`y{vL`|7Ee$whCq^>YpE!9k=j$pCu4VnQqzbIu z(9*-z@Rr^;9IA@A-dF#yBs zqoLDK>l4g@!7!BPcPS1D_|&tu+GwaI*d|~*K$}-snSI#75ihl1Mx-K14{NpfqT$NH zYk5xQ+U$Jon6_ES*}F`Bs4op(nVPVG87lavl7FiBXEOg(^Uw6+dH>C>0ngLnuosSJVfu>SQJ_x5Y#FZtI2^IG-C>5&fPY=Awb4YN+_64y?PV>wwYpzk{HLw|npCc!2Wj76fX za8>XG+n=@eTM)CAH%AT8pF;}qOmUpMT4Lrx`zeWi;+jLKpx<6aIsd zyb00zM;VQuCJWiW4(_daMc_@~<+(Bh8`PG+Cnvy}qxCe9_b-gO3GyypqR9)7Tk#oR zl8(?{)*%VGLdRj)>rXXT?D900rqwn?L%ry0i&KUbX?Qh0y>C`>>j+ z1R*4-K{vrjjszM&_ux*nQ6;fkrflZI4Zz;`GE5vAg_m+TNsA`#AIa(SNb1of_%Ibo zxik1`cygg!n++u@eJE|8N3iaT+5p_Ozb~qiH2{*cms>m8*ko>2rz6Gf2xvaCIh}__ zVu$Uee%YV4psHon@F#-1&Q=?=jju6u#cJ8LZdThHSz!ltQLM6mydDg$VeqK}_l(MP zQB&^bP6;aNy?%KI2tX~Ky61sq!U)ck4VE#$$hEW~A?Eu&(zzkFQ-1aHi=nP~gq#L$ zphHe26NOE|W8wd-U)I6}iJ8?9{V4>oj$IzTe}i+t18}Q`8*X#Gk^9aeKwsRbG2hTY z)DSch0OKqG5%KXF%sb`@&CDUkgDg1sEx&^vq$ee7|1gqztgr{;c^KwU^cOT_4#ixA zV;AO7K#y=^Lvir}U`7?|z#}sGeEtdm3Bw0fwuoH&miCkf3rZtdlGT>wv|J40us_Lf z2j>sb1WtOD<*3tyl4R*HER9wVzgj;MbMm3ubM`~!eBrsj1|IMgh~ogH)7+Cf(lKXE zK#a5<3R2)V13q_ldp|ak+RXU75+CJ%g3o(iw(yDlbXLGaZgGyqz~}YyLF2P4cq0y< z&Ug;{U$yUzwSQh`?eF%)#&a$RFs^-Y4H*CY9N3>i1F+lq3uClKycsML$v7TAfhFI9 z64hP*rLN~ajvvf5px^wKXO>an3S{xlta1@zur>j~;?oE7AmWd4_~U$Tg#QtL>wTK% z&I0r_8KU0z|E*1hd61fUX>4%Os{j=+3`N$}sd@Oz|4>VFTp ztomoQtKVSpCPftN-Iy0Dm-f zCaaHR%;JxR{a;I6u(M?yUj>v>O5~FUd9XPV+65-?KxTQkEQ^dB$vBIZnEPSBKowTU z9(qC}t^$aw5X4=n8&1*yZt%b#kBPzp!DrERQ@4{W4FD0GYb{>2_k2I`OB= zV=wfCq?(W|=2KU_)KN7opE}~VllHGi91U0d8|<3&eV%{ny!~emi?x5QT?+Fl)@@7v z_wA3cYtrrS#3**we^>iW$mZ&QyY?;mjHJ$FA<7arh2G8xrjcG!O4`%Tqihjl7^W zxpqyO9ivL*J}T3|3ARmJ@(#|Ik@KrK_fWENXs2FJb-kT{G6SbW z)iMKljP)8A2=QzN@)6_J3=F2q74U}x$C&|!XEp;+!m`Z(M0ifDRh$p04D4x038M7r z1%b3+%5!vYc@yv8-hwg(f$R|KFE0q>1XFNQDye@1PR@0Ke?-#vD`NH7h-&+$Z906u zKW+j8gmG!R|L0ebSaAP98aLT4dWO>Zf-1bYMqZTQg?T}xlO7~#m}4?#%J-8beLB*n zq`gQNBhamR0T!JZ_sP3N{SNh($ z3bwwjmy%vR&`HaF=OT_F2UDA9tV&WSCSw&1%-TE z!b214jd$48D+FAaDT?KX_W1L7bTHCug~-vdI6cWTND=Pn^b5;`S_{}o(QN{=L%hGwP>V<^>ZdNxl!xyBP6)E8h(#?vr z@CBJ>MSA#xo~DtBfE=dLGd%1#)1QU&W&yM4xG>@kBlK{%2xJJ%A}FDSJN~q(pXalz z`cIeo^Q8WPQh&bGKUnJbOZ~;VenZz^-f{hNzK$Oc(%Ic&T~V_UL}>xm*Je@W<0-=B z#o+PBlXwNunluj7e94IYtj9qpn3j3V?9CXlg{iI)yI7A{HQr&wHg}N`+a^C8vHR)b z5$ScfOd}XE_^m!h@@&9}taNhqMoe4Ru-(Owv6xIaZ1*j)hpqebmObVf43_%#8XjhZ ze>yuDhgJu};?F6&5I#+etP#ugY97<$<<5mOGCg&?m&Q7uhmpqW4E{)*Y%$Vl;gP4C zMoM^O9`+x?BL|vBdU&La#7G&5kunmcdL&BqNR*bF7gs`FLdcY!UQh}l^DG6)S_)4b zwKEDzDPu~@3rb@$W^E`1=JP89W-_i?#(1WLao)}>N(+}};wL>^+7mySIJbNLf?W?McjZ&+i`+MX@~g4k*EE}HPOjNGH=Va5cnNutN;HHcxeoQ4VfJyaQao8 znux6ScoO>*&pglhdI5-vQn1pNf;40XXobdc?#2pr2gYGR_%aCf;Z^DdCaj@buNFg9 z(zqKg#5Y`sZ@3WOa3Q|oLVUx8_)0YKm1yECDJKn;Xc{WfG*qH#s6^9HiKd|vO+zJ` zhDtOIm4JpWguJ6U*#~!FN(YLIHSCPM{pxkRHO$znJoOWNngw_tv*f8S|H?Uhixed_ z$jdjM7HmS|ZsH5SYV=4&NTL5JQ>FiWfAnPQF-P^VAAKs>e#}!@|B&Y93sCB-E3NE? zivC?{6el*Z8qZnDL2w;cw(MVJ6)=2Nn1Npq1_GB#e3ep|6zW+X@u#S|r&Bp8brn&X zvqA!IxR;<}f6=xs&Q-Qd@$bLS zLf?{5<5rlCXp{%h*TudFD?}!BkR_`|e7%zY8@X5p|MZm{9)*!Pm4PxFTVP~fhf7C( zY8!at%l}dpzrcs^RM=DDc`>7KIS(DLQ+EQVhRHYKnLfcVPcM|4m*SqxVVS`b-RGwa z#L=m3oLt7!so|o%%n)kTvPo7LZN-w-KhMu>3Y5^o19`qK*hAflqeH9)snB=UQ0jiW z@+10TG2qf=y!Lt9OFJ!U;S2HPh%Y}`+Zq1~&M8%LGTMj*6^M>Rsk>_ZY4E%f z$%vcPU&7qNU3^oMNk0%oS~S$hCJ2i_;K*qai2t_Ltr`52#y`pUqb;G3)m5Mrw-dYW zAB~Z`g~&*2@&hlxiPOJps19|A8r?s!566{9Fh<}BbsP@*QiZ=#9(Jg3MNG#PdZo=5 zrcuN<6iPGC_lsRP=n%t0v0(EGsGje7HFO#lH!D70}5(|hbc&_yMxgX&6e z3yfhNPRqiSkF6lM68cdi&QpE=sI2?yUqcgoo0wlz?5T&@9-q^{iF&vHT}(q+lKEt0 z)=* z!?n)Gj}$+S=3C1PbvWN(pRb1SK>xp&ilp`J^~YrifIj>MB-QG}w(=%qqYV#PoA1$C z`AXf5tZxix;GPvn1$$OF>3j|RV5W*64E>}nrQX?}ikA=2tM(Zt_eB_qv~YZ85M$A- z#(``Xv|@v47SE;dQ!Qwid0MwE&1B2_(Xw@NtdgSS#Byw5MZE7og2;wKPjW*fb-3Uo zdBED%R0?329e^yXjPlD0w*-$Gj)F&&nL5UO88*Q|>-dh-sMyn6%UvWV7=bww>iJ6` z>jkmdhfqXzmAe4M`3o&F~KvT3F zg3iTyioTtHx7PM6RIkT?cIHj2nO&R^8r*a)h5T$lKMpM2%sH=z`WsxGv_RX4fMs|k z0%4-Or9i+O*mQ0&%AvJKAF_jeRgw{_$cXX1<9yI^7N&Kplaf9PbZV!8*fcBNb+3`D zIR9q&@x$#FF!x*P8hH2_g)4$si(}8H@U0-s^DD}8mj?kgyboSj2wa%GyewJU3VP^u zm^^m2;1+t4sHL&_h6}jVYb40G%z5etz`KBRYTMC-7SU!|g!AQ?A`F0VCyskj6)o(w z08a@2429(|Ba8#Py>8$q%)o%|T6tV);M5FU4K9*0aDAouHFsS(^tfumH5oDpa&;f# z8OfBi4@ax{h+~yG7{HsEJA$K#UxXCXMSKqTD&1cirODHU%gF5H(dm_tVJLnJlIK$gPLv?N>1 z49tFsCM{;Jw8UuL0zHhCs^TG=ndu4;gaAVyQ0NHV8^m20+W|vr`p0^TyUUKoC1Pa; z<$gF3S{(jSFbsLRQ6uC!p1Ey6dq4=#>Y(IlO<{Q`=tfBuNFd0fouH%&C>bqPcYu;Y zeqcYoLgj5bKld+o=P!6r$QNTyK>@kg>qsdF&xDLbJgI@HhZGw(-H*PSy*_L}V_Iyy z#YeXLCMN0yMq~sC<2`vaM~GAhBim9Ph#m(;bbIhbt!W~<0>~k@6u|_b(=ZX3BYf%; zppI3hfvEGwkGr&G4}_Yg>`ul5yZotJ_qpgeGOSXagHDr7syG5z39M1yNYL(GB*yP8 zVuZd`xVtk-yg`;xN5+vMK!grV-qpalt!Y|+a_LT%1+o%6RSgwns~m_l=BW$evyO^p zW|l)6Xn-J*?Q(Ge!*Tvn`T-TL4qa!Mmgmo)A#}nEjx!itw6G{Ec$xucA{OMYI3uBt zJ*a&6+0j7|X$y?nqRh#yr+`0l5X>B_1wk+Ih6v`unHpn(v~Smy5yUSJ0Y;)%CiM34;s||IFFzUOb`Q>KhCHb1fZQi4(Hc4 z+|K%BX$2Jut1HACOA80m%%SPr0~nggJ%FJ-xd$*5`?PSoIF5S&;(TEa&E_7!&>ZXm zm_xC1aJo4ZI|q5@Q0yEGG>2m6Am1E{orA&VQ0yG|&7s&iC^m;0;Q$tJ*f}UitVr}1 z)|$`Qd)P_Cr7+mgGC#6PZ4`{e^?_8~$^O?JU&QZ!EwXl!to<(}JK2BQ@}n@8`uGEP zJc}RxPWiTiV$<4tBNf==jhO`e4l0F!)=ZCRA>f)A(|5DenVikUL;zg@BaazToP*qI zVXeInufK1$vYf8{hwR0NVfznZO<}K_jBDP++&l=931owK6u^(Q-%gDfKx{J#HwSxh zCIW-n5=-LtbGamoBqI!`GA9)yc08414P)Y})3s1XWHE!wP7zo$!6--yUT+i(O$$!K z-^}2p_?s21z=fz7Q6E~n`2*e+mkTiW!m6zjgW2-;2w1oiLw&3o`Y}|f_1s54r?G1V zhXvtb*Z4h-H4n|=pG^Kq!(gj7*OoDskb;mZ zVE2z+`cU46UDnJtw!mZ$xUjCTvA)O1TKJb)B0a zWArWCT~Y7M`?@4J0cHGuBhQM=vq>9vm9h@y-F|Bs=f?~I`{)Ih@KyUqL2g>hL_e1| z9}#MULKz-yj=mW$kFnN61VJ6)ommXBYRu9HFH%_W8>1k&)tcb;+Wx5Z(b?JTR1W1y zy1w2;T?G5|0wcQ7hQnxmk3eA5>^Oz?tjrivSr@d?-(+&w%~~sd6%Hu4v!Ml3F?9j( zjvRijZNlPBFPOpE8!;rZVN8|9Zod7WSoOY-xX4{qZbNpCo*kpeSG8?8itS0k} z$aE#~yCbE~P^SV_K*#;2f>dE{RG;Gk5hN!Y5kzY&ToJgbiQG|1Eq!fQ>pLv3C6S)?F@s+jMVR zek(WMFT;MVbq11*S54JSY;0xWOS2GBuiShSCzIe*msXzJ&3M_F+{_ge%yGCwRcU3B zqMR})al_4Pa>@$dG3+((V5llwB`%rv$5Z%!l2N#K+918y#rk&p&G7V(3|oTpy$fWa z_7!YnTNY{# z*caFS^sQZWu)ur+@!l3uI-|cn{KiyK1_OJOu_4uPQP8(gsa=E|WjJLYI>k|SA$Zdz z+}#>AT8>c*^DUIDt!ECuX6NMcWYw}^l)7y^+%YdLvXHUO&Wi?KoNECyZ zJh1f-ki^Rg7H;(1R$U3>hq1bd(nMwhk(m{sq4U-T?+n&74M_ zF)+e&ws5b=`@-EEH60fWev~gF>7G8czCg0{LD2j}s~*&(xH`;jxJOKC!QwB32M7F5 z?`j8r%>GazI3^5anaPxY)ncCetH1{nf>AImD})(9EF;NAVWOv=$_7^JQSayADs0@r zHVhLnNKJ>(j|8IS$;+u!df#A%Nb2AJlY|RO3T~I95eO&7l^=u>7EJ|3Qh76%ZrzQ- zwBS)N?^d#d5${OpCu+G}YLP8NsY2Z(maT|^@dxV9DM1sLrW@Ut+}j65lLk zc5o?JPw~DYedoZX@Oh#dWcRtxy25pyyBlnFvh~~8`G?)L8$ssl>v2)dc5@TmMGnV? z$xUAqA@8aW?!iTCM?b<*LLS~ss@5c%gt>(+*F%Y~M3WTu}s&nrF}BubiWPl>vrz%yf?>j$Pz zFLT_K#Ds{ZYaw_o01v@wK%CFJx0Yi%_E_NhEj_hGlVhWMlh$RskvQWW&o`!6!Y ztlKrj=*rRVuD6wMNGG=WzR%rthdyG$^AmjUSa<>l9pqnnsQlVqk?|sr-(m)jdRKss zFe`-ya#Ep8Q1?Yh6k9OzxK|4SKVToXa)VS5M~MZ93giVD#G_l&7eeHUPk;{$2A~K?IGge-H!!vxfF(E%vDmPO+V=~$+R)ko)tciN>A)k@pno=+`c0hD7eHU#- zy&q7{VnS{XW7}?vmZKTCCk#)B$no?DimjzcNSy`w?PaR0KdPu3Sf}(E$Hv@D-Z#|E z2n@DB%=>6VR8RcDVr*8n59jg%U_8*%be;Dh?Rzsedph>((PB7X=ICNPLbd~@{&)gR z#|lg*AyJ#3U|oYSU7b`c%Ud>j_KgV%w+XsATUWJ_|I&XdFM@FB%Ho*05BtzyiDiq0 z%r~05qTbv&^euQ5Z?QgF4OvT6EEc{YG!Nqm&_ssw^?gWaXb_I+hJLtsQ5<3l@4j#(%!M2I^qM`xks-8>t> zT5~C*24tM^d6xur$L*L)d+E8fr_80uIu==h?=Azj^ZHBNG@>t>n66d~buheTdgmC9 z$FGm83UfE~%v#RpKu9<%>a9jXkLfr(0gI*O#Nzo#M7_iCS0ANj)-=Ck%Iq2bu% z;D|1DKcR$21VmSgZuxNBlc!t0hAo4co`Oo&f(EJOM!~4MC9TD%78WDyYuFT%u2FC8<5iWj^fye|3NU?f82f_uOdw zsRK4s5LFfDIHYs&-Uj610Quoo9`&99q*xO%=3t*}?%~R#HzzGX{KypCh=d#Nj^cfF zw!X()a%0^r)?;Qo`51uU+``-IqTX?ks#e$RU-N`&uGJ90;R%XH)y9ERXB>^3c zD|n~(Qc1)Ye2E(@n@iY^a(Pr@sPf{|7dkd30kGAMJ(_1l!|=zp+o#?}+;f!{C( zz557<0xeyJDhMbjBgq0VcCxkU764jo_Iif7u{Fau7wjl(mVM{{3sFPrx6eMi+Kqio?C~1lrN>0Ep2aXt+~_#cdZsar7iyVCI;J zv8P7)6?{~XPXNkD5LolWHZ^Vq(OQz`6iS+>(QS4#Eo_hyAG9)R;e&g$k$rVh8r<)+ zq{TTEbvwMMz$Hg4J(*&@syOz^QoLZZxlv|@pf~ERz!OAKfh*e*62PST;_Q=ANd_x9 z*7a3{QU~W@I*>;{T=m=KQPy3KJo>;bj~WUbdGrpZhYsZt_yeAoR3oXeX%<x-^9+abzPMz)jvY-C?u zDtNzbMN2ALQb&CwXg^$|y=5q2ZD|Geh;``I(DPDmv}ed|5P-n?3Y}{eaxF>lYownO z1Vu=csT}ptMbdfi^V10WFx^lc$*%AJk=vY zOUeed80cjQbd0~#`Kx#31&}Whg3H_jd)E{mn_7b@6Z^+>1KqQgq=xb*S&-(b?Z0Q0 zO(HX6+hC5&kolJPZB)ojirgde$hbtk&)y&;bumR@74#n@LDw@EX}UJ^qu#k7I=Aj} z*=%cmgI(UzUCOQOQSTp-IXn~Oj@lRm$R!F!quxGF#xvX0+O>VHd;V!NS2{97N>P*; zI90(*cfD{$j!?axs-SxzX1`p&B=aP z1#CHGVP-NuqX(B;KoK*40vWqyZP87{jN7I#z{)K)g>#YJ#*L`8ju338kJ;-TL+zgU zt0Zm3O9s&x>WJHgs$o}{pIrlVsl#FWw-zjtes-Wk-c1Pe*r7NSeOA2oF~q*U8@L(JS~5mj2{=;43NyO0r3Tn{p!6N+tS)M1H4#6!;pSABH*kjq63dcM7eaJpvY}?RV+=w@ zMl$|B5r&W@GvKaRGo;U??zn{F=%&V@qqOIVf;A#e;NttkE{Qc(*IW{u>aGg z|0hZNziUc8jt@M%+pPK?vT=+-8+v!l4u-m=>QLk^XZzgZ=Akh30uXyz)ceezC>q4_ zWD)zhc@D8(I;k^JBNQR)lNdd4x-zJv%OVBqK^)@Y8NvE9Ss^Z=Gb?_9`Ci_gIFd8p zW%##VzGI<=Sg;0e{_E{od?fZ8LaF|<_XjgPi}&jSd4EO$9Nj7WaLR|2YJQfSdA0?r zUoGgz3dJXa06>c1d`6pdd2R6Lm`;nw9}0W%rqjvuL{d=Y=!JNUBBPneMEjzD8S11f zI>A~S`js7O%RcsOx!_3bMiuHINdZxnY7Kmeh=B_A8lLpJRz7&3rZ~w8m4GDkRjNr4 zUAn|rKFLb6w(%E6w5fnw+%IM#4p1I04pl|df8mo1Bkj}}@!7d>n1iJmFSOA0;9^Hm{db z1R{9!-3?fiT_7CjeV-qJkZLsr(>auCn&=!&bGh^N;alKCj1?&^-GiQK8oxx>)(pl@ zS~_;4q<9~krKd%s3}Srlz4mN#Pc^F77L8MA(YPkqX5NM0S4O3i{m;m5tz}v-qWl^D ziL7MO^-AW1-$TpCWyo?45->--Lqt??A#fy~u#EX-5V9QWtYFRvrR!zm4!%#u^?w@L zA9Lvvy^66$t8f|%{?OOJA9^ZIWwr5%?u^gxyzViN%q)d0fc z&-%+d<4>+9YoO0_ipxQ1IgWNeUzn+-e*vELdXlO?jD`KTt8G|R76Z*V zL;BNtJ>WjIxrXfN{mOaa1B(;6d1O!@?mwG^tnLNfQFtK$`_v1k$$~Bk)M?I_g$EZ} z=S=1BF3cAA=By;#_Cm`&Uw5$H8hy!c$LI7~2cL24b01)`@Hq&RPlpOA{I^PQ%O_9R zTPH#Usc_wbh669g-S+;Q6=@XLT$*dK?FQ9{jw=Us{Lv_1O)iUE;Dh&8R-kYVHsv;cWBa9nVKRh_cf;mUU zZs@iptNxAI|E!x^=6<4PgK0D&fv?{qYszB1b*^ zkv_kpx+5|$#8Dci+Lp6f7@u)p20zP20{7?es!C0{i@|Bp^GcoWeV>(ve-OXlDQQ^3 zk*ZXq?@fWOzL$x~YBdrPa;V<^{Zr&m%Phy zok|AliO3{>NE4gUAfNKom8f6FgvlzE76-|?dt8tVu^_3RMoyM$PLskJCfZa7ztpoM znD1q1hOj^$5%m^K7Tond6K6d7M$qT?yfe9$5qQ6_RKGdzoAC1ku@;$B}AALiREnsIXvmj@eF00ldEloa3@p zkW@hd&nK!XIMtE{R%segzW3Q1(89OSGU-kJxX{8?y17wkj=<-r`*AJ-%GgE~#R&(S z7I@JyKTlUP_}QX`>3mhKCf(s4`)c(&jR}iZs@1KM*0eBL8FxD);8QOnA++!y5W)K% zNFb9q24)V1J`I8G5g^{ChBNVM-)jBJq6XYJw_cTOXV=!Nosh^7wrRM-%+grgG%{H| z2|(CHo+?7Sq!FL)!44$R=S{l(M?u>bwffZ27KHUx7L80+U8HcvR6wG(eXeO_x@y>F zJw?5dKgwWY9_$Vojlulk49s`k09Go<1vCRiRxo$1<|5*CceYJ4b5W&5Gwu?TmqqqT+nE%ge*Fe5uMGK!O_26zTbb7Bi|zsD~>+v2W$eSp)SO9 z(V=|rtogHYIk zz>dgVdFQQjK5e)*IiWZ*A_?E7%1ev>rmK~hE6J-g6-IG13{8+ag}-vt7gwWMG?oWt zFB|jGKxW8uGE}Qo%s_!tjX>u~p}d+I&;?KPyoNw-4+^v6FiymqYypD&MVi*CTj3yT zm6~>1O~KgENhmrtbVBXHq?@qYLgpUR+d?z$2f||u7KRBb1z|P7XxJ}b*mF zDO9MTX+F_`rU8%6WkG#b68{c9gQp5U>z{!@XvIL+p%M)5pK?WL>#AyERfX)w>FR1c zT2pTaY}JOIJ&R-Zyc5s=Rg!iuu!r7=?4HFjd(!zfZCi`%PkdHS4YlW@PRRQWng89Z z5AG9M7lDiZ2|K8#?p5G3|7cI$iyXS}J0jJs-H1z1W7RD?@Ws+lt5vDq=p9nDf@?xrkXFwuxjDDB4-XU?c3&D@jo<;~^I@cRAUgKz3(ChSIXb zjZ{mmYBRpE_5a9U9bCu%U^4u1gc+x+%iQaWOmxPQmnU7=$$-Z6Id?3YYiW(8(bGEd zn%eg*pa6mZSnRuY9uPy};GaiF0meo6P)|SZeck3!_P*|YS2+8+=8!rT#`VdOi@}RH zk=78LViZ*RrgX#b7^Xk76}PVYv+y1vy9+l4FEk3r_@-bNYD`XfWMo!(q%;%f*TJ+b z*+WMIrMBxO(?>`8%O4dA@P&J%Mva8JTbQ&eqIx@i`)19&-pffZkXu8&qNk>0Lv@ zJr3WXj)p-0*bDE16gG=Ml6laukj2$={V4LnW#6>@m0tKvbZFX-#(?N8oykz?=#zBh zQ1&u+eOuLEaijLrJM{A+#CM1E;QP| zLk=C#78|wJcPF-kL-u`C|qP^ zHdKU}X;G3_@JC`jG;5;E*CXDr-TfEW$GQ*qom(#;@6nWr{(rE9%CqBf$ePrTN6Apl z*N4Nt)G5;XFT>fO0J`52OnQZHBAv5*4nILfMU=kO{n+-$V}AnkiqqM`Zes$@uotg_F9%>V z=V#}!J{T519|x6d&dMrQi}QGwIf5~!k81y3i05i&Qizr~l=-WZLLWMO(%E3Tc#)~Q z5cvz#g(xT+)miRYVwu9nB6g1^cy25J)e0|;?E$e%*iO&+SLg6?S%&x>aGiM z2As}vgK<9Xa$2*(UFB8>_0?F^ibIe!Yy}x`tB-fdS`H@a|ELm&;F1lTvxlD%9}b|6 zWwUkF&#)vzGg?*aC_z7<2%-cHdP6|6%RGuQOJUzhP&c9!B9XGGKVV2jMZ%vvKIQX| z-%`#GD+_lI%u6l{Uz0W*EQ5bpc=mY~qq)GmqMX*`a`OY+b6SL|!+i%4s7UJZH+GlX zmt*|FfJ|KH@xtob20wn`TQd1${8RY13;*^S(Po@)j%57!0{zX8ywIM7cshNJ8YV`p zkQW(NN`~)5lu8dIGT3R7A(+a|j;`2gUWU;i>W^si{@>R7{aQ*N#pCBkAY;_)znBA( z8omjGmxZOnmh%w6=b`Nh2}_Rz%A($RXz>mD;6Q*^V-~A$$sO$fz`{U@6SyeQZYK!7 z$veu!RSS4xxBC78JJH=m@Wk|>C4@UfyKkCz@6{Ha(2OAK~c;pUbXg=D9#! z7l}fMIVZ2>eUb?R+fnSITtZpy5AYY#k-BtfXCtD&+A*PxS_JR%6ya1S=wfi<@WQ>G zxi6t4ZW{~sJ1>%Q0MF>XJ|ApEbif+uL6?AlOx)`DO7(bg~xcLF%R}kW|aB^8>YFb(D*GA)kWJ;RDagEhZHiWPdQSV-`&9KO| z2Y9HZcu8!fwQ~xQZhbCf24gacRQK?jm!muBpn`L9-NJ1{k^75 z1D|?MC?>ewquvwFC&Ig{rDIYPqKLz^BCT-91XM8V6Er(YY6AS>K_mGHzpBGrfnCgu zQ~5SL=(G+$4%8o0@uMz5^{QD;r1whadvRYELzA(2*V6g<6hD5ZPeHXG?(3}AUsRB8$@O)O3lOW?oK7&$eEE(}W zP(%vSJf$WACI&97NYqgr2VSgYFQjN%7{gqd#;A1VrgspkFEf{yndiaDe{JyS1x5kZ z%UDb_Vn}5%U53T<+K?(kFx@UjVWn^CAWbSTD(0hQ09Pk;XPqt83DG;subI; z*kbcjSf+@DG@avMLt83*ZKiO&*JWN z7#Yvv%{BYRdWz+qRaCixJQZEh`my9!FCeSvo%pl~pB8A7toFXGhVX%n7n_5Uc!w0Mm_Rv*M-NH?_6iM83Dbp*!OWWcv7zLq zgnn>7M>@1imJ6?eA<;2RdhqIqdPkzMB@lnnmM77HfcbvyXGy^vYC;F#UxJKKM>eVx z5%2^^$+QX`gF?V>>rEwfJA*xIe@+T{x(~WZuA9PwWY%zWm$ehJDx;uSfI`~x2u8%% zGm(W}s%7}a4itB$&f%*xb;|Dm1^ez9@MdvjXc8yUx5}-(Xu$hVa1=eX#Gw;5TxyKZ zkA~tAS(sge9_Vf>Ciy6o1ZR#S|4Wngb3szdt+|?Xtp>#wWyfh0?D4)B~?*dEd*@mLH$QLDl zoNSkbcdua;ghGeqjAiZ;S0u!p9p|Xhf)vrGUG@N(-ypv#IJZ>d^a~~}ECgG4-&j{% ztO!?mfVfWW=$?cX*X-YG*y={<4jJrFCRd7pX?HeZ((yFS_t>MSVLm~`pw{y#1%`G_ zf;x=*T(L8~UiF077MzDt1~jzh4Opvs_oQxg`Y=ps(QrO-hov+2x|>y6SyDplVeELb znnk}h-AzBOdKDeJT{#5M(fR?s+`@B!>3Zsa zK(#Ip>7%vR%Qv{f!FF@z<^udwsfG?AratX0!+{a}=(Ko4yUYW@r85JV!PgC_iaqTX;ZPeVjQLn9T* zbPNPmPZo~f%dxGyT!v|l>aQIwz>WBx!tvdxX7>}5>ztLWa6GJL;s0hY!XqK}b6bZB zN_qI<>>B(6uSLLXM27{EEI3DkR|9qiUO^)eVb~PFP9%k-zr;i|w2joZF-2o%iRCvA z<+$t;pQ64DWJ$WihB>KlOys3H1XEJjiM^5f5_KggY1Eaf zIjci|%;JUD(3MiJvUH`o>}qDwm(Ehgtig~$$b0H=*EpD6AKQ79_bl;zTz!eKdsl2- zD}3kZjlwI@S_Y8Ff!$r8iKzE^FqgdU&*O-Aor!j$-i3TkR!Cs8SVJ;5X-m=tcW2WU z0=32Z0L=wA5Mce#UVWLL?X#+2&8$Mws3OO%LO!61BPK0qS003QCc&yeW}N#Iu%I?* zaOx~@>TGcIhnhmFiZex3oGGee?Fy)hb|p(7lc5+5(%Ry9Xp5&oTSPd3y6h^nVk?YZ zDvX&_80~^jqo7t7PkUWd#}km$Y9mfz*}1~V1+``6G{6@}wL0BFh2; z-OwnhvrtlJqeOq`v>UpT-4Lv?Zj5nv!{13abU}rJx*OTpaBdW}ceQ8nrWkxpl-X7n zKCoY_MJI9mgzR-k)CTA@A|lEC76KHPM7SOsQzmMJoQis{gakx)%c;BGg{tLwI?fxh znMkT}xdfG>NV7spjj{Y0ycz#y4lonqm$0BG2NtPGo|{E`<{xNfK8gzVtQ=%ofnNv4 z`ymO`**@V;=r_IPVS~zfNpHYF^%@Qh2hiB#vd0kM?2-J^PG+K3_+i;e%{gn4#7?;u ze|8No*m(#@)cc5?)HU2|Cv^>Tk?h~lT88QIhpY937xgBAPu-K_7DG>t&dJVA$iB+S zz8$!YZM%SBtBC<9*rUoU1siE7*uDO7$TgWm_lak4^oIGfyTJWQbK5 zF0^&dTA?yFtJwm_;{kibzW(}$uwzl{cyloHq_<%IG51EjtB?r1#z_?RvU(35Ce=12 zO{zWEW%l|32(7nXeGdm1KEW`!MSXz;4kmc&Kj&NomI3x*S?K2{HG@~gs&pb!>2Y&kArp%pS1|S4>2U`)w|aiPZ%cx+FPpu!+xl`YAGpj0Mx-`tNe*B^bkGuQj@#h&Xi#jhh3anf(ZgE|^+ zn{*9(fp5(X1EQ*{ya{GdPurlbqB+yt8`I*kUO*I6EmJ&oD@kVDz1a|mR3xbft9F?&1&KSp(jj+Am-RHrC0Yez#Lwoy7CDPYW+T)eUM}i zB98P1^;gu$D(=89JY6a6y5IztUZ3|QOSqN-Uln1K85&T2cslk?Gd{pkCuX!anRou7 zjkFU?LE23$*3ynR?OfVf`d-KMbv=B+Fzgn6OC4`VcGja}1fZ@c{?+C>(qG)qa)pA%E_hL)LR86mg5?QD-6$2*cPm) zjx>El*gIU(E5Sg!)f51-8?YpTyb>^g-*RnliMN3n+WHdpj>gneZua`|9Pa(#y!S|; z>w+u@EKJ#IJTMK;Nxk_$9LcVRS(}B|MtH9Ln6*BglAp+3IZQ?V!k?)36;ueG6*~*# zb>vx_$v`(9KB6C66cZbN4H3Kt5Fito!iY2CxD5qr5rqDCcs&4G9pVVXwblJCDU`_% zAT;;eKv_wHaJ1_hFZDBL~|hvgh8G$AP^v~g9deb z(p;j`oTpuLpABi-+*{-AnE<-k7Z_#BB4n`)r;84ngWlAw{O*7I!5Fb7P zCjE|HP~cN&MHn#{F6N;XszO%}hI`$fCW~FiBBgh#I^tQZ=l>6F-vS?1as8jyVt|AV z!eUg=Rij4H8pTIKM0X*9yRy;v#`;R7Ka2HIlwFMq8cb4Iu3M>M)oNSnt6HsZ5qvcP zBw&E>s1L;YeoTy7!7AW`{eQn_=H9)#31IvC{rRxDubDGv&YU@O=FFKh&hoFb4a4jT z;IGHwyRGBzMsW7-NJxk;{VivZixH*2^=9edy%T{I zue{Ra)}eu$(4&8z`KA%l;N*-~(UEPD<}G>HkE09LSuuCcUs)B~KO;aBpyA zWZT%L=_RRi;zKf!Xgapyu-I9>Ud4+|`3mgTvq#2v|NNmC+<@i^e2Y|nedQij)wP~z z$xy^UB-mPi&Bs=1Y4?p{8EBtn z$Z-I0IM_-aE~i#G9wOZ_5wNEneWWo80Ea7fhyzSsIOPNSi=*UV9Y9VRsBqS=&jcA) zsehmZx>Oh!WR!7>!2OPHAyAAcr)0UALc=-i{y(JWmtX=9J=fgdi=GcuvbA?aPx6PU zO44`p15CbEl!ZI%rQ^yOFVMVpe#Q&cv!w67`v5`@S{UI{fil6Mixt-L7z$1h@0|A3 z9{wAQRaSC5 z2KMoia}}lBAVvxQc{J(Ua6i8W*6_lm!zq$lSY?%ZSX*eUJ|l!5hm@iUgKtLT9>8X4 zU`-CNJ@Gn%HO-O;)-+K#rrtdTjD_!%e!9*u(aG@X(ZmL&Bc(cYN2RwRrBnI~xJT&& zG_X7e*dO@1>SEDm_Czn?_8N3KHb`8soYF9nr?5$KU$Wn)O7S-H^BVN zqd2q)yE>YvSAKCmp{|9mA)ISIyjLq&cC*IjM!DF{!nKJTHS@YB<7UEiaN$+U6L1a{ zWFC))^Dv+W9?bgv2&P9OBX|r@BcGDKTY;b%(38I3-Ghh3Ozr)c5Vst7V`!-hY8eOL zsTgtH{15-x+U zCvKb~9B?B=H}H87usUvR!~=vLSOs2hzDESd8+DC&l$x1VSTno%uKQ{HA<3YL7Q}qr zwKP~IeSh3rYmA~UCh}mHf!QH8w)gpz(85DFHY)@_iBZxCCI(Z11#-(C{3z}?7pxcs zhN9QGD`Abk*?e^n3ASSYi00|b(dysCz~SUs`wWQiE;Sf^4+q;hA{?t4T>|#2ED=t^ zT#s>c0=Q>F5cj3&>h55^fPrJZ`WtK|XpLcL>}UcVRI_nHGqtVRIH8#u1~+rgGZe)B z*31slQ4XI>`i`DQ-e}g;#))?cJCn!E$lfgNCWCu3+m7RVb0cm*`VRyIRw;ZNy})GX z_e?lE{W0l#j4AE)TAfJx9{&@u*glu5o8bbPo_F4QID31RZsCmY?qLUNbmsV;%?oc* z@2H0z0+kR0|E9x<1zd>`E+oj4X>rc=z(}HyJ6R$KO~{!Iq zX?$Pw`qCZ;-Z>6D*c4G92?Cv3cC&R_2(_CEj6IA5nGm!R=jJS}EzIpX3;H7Hgx8`L zR9V4k$63{znsyjdN17W;b7GxH?@n_)Mb>~;=P+Lt^1)}lo6}J2VvQeylhhGn zC^u3S3i_K5l^%@wa7KaqF=GxhCVtL!?EQ$PU5DQ=tNP?%*ni6snloyws(Q)~A_B7n zv3HT~ZUyFjQ9;*FwV@rm??9SV;PZp~(K_Ov+m65S$*da+iU78jvuA)n^cO~1Zs0YxAcvhxWq zWoxe<(p!J|JsOU~zwXg4enFZ4Ainzko)fm3%6*`-cLJ0#KMn~M(ZM0%8G#f%WNL(+ z<4Y_dvuYrjUWJHY?{p$JVvj|I!st4%EDb=DQi|u@hJgkHgrtYvCz5C_6+T9~L~9VY zkz}%*Z{RA^B;4?ea-H>8ztdSG9jz7;@E>kD*W$m04fvxTk}8Nyf~$O6>_`WUxNwco zkR}GjoQSxa<~hXYeNurR4n#>Jkg)WfOtKVp5Gow8<(@}5@9s?Gxz=!W6bgV1=io<> z(MiTf|XJ+LaoznTi~PX`{RgBJybjOZ1qz#q`d zFgBex2A)1`FvJ9-IA5X;{d@wQcD^M1WMJNn9ID{QkqV4JdU5s%in9+6)soJ&m<7RN zOz%3bm!h;~YIpRIa%2}Trge%;>bD!o!BRkDxG%Ypv}E40C_kkS=OHMtdvB6S}XLXc;-LW7+O zal^zMIHR#l^xy%o(8qkwzX=Z*X=J--!>MH_6liHb$1zw0v!mB*{}{_ z{{X5X^))pVcR)f_V^D9j)BI{w3Q19^cic+7K_JP%bIrO^Rw{7SetlL-S_#4Sqlnye zEVxQqX&P&1&o!j)RQFO*M`Z-HnM;T=D>FM>fWPT~w1?Syc6#_LEyXu>-F3fqZ@r)yL{I!c*>ySf#|NOC7;lZ)=iwb9j3+%C5U~4K>w>> zJ~Fa1n0w$lw-``ohdeAqdf;7CBS^X{bVaF$wyXa67SIzD!mY!0LQmvpy7&4@w*&ZL z1OLvx^11kG{0M($U+L2Nie>L^f7^5|>Vfvq%SPVznD$%U9@YQoyFJFCgE)nHt{L#d z_A>ihRRsuA&IqTRq0px3Sw52K1|Aua%aq$UdZD;LY?(F7u=+ce+8 zJ_&ILb8om1ilvY#U53>Gg-Y{M`lVB1-{lQ8 zibem*s5Hwj<@pcj3-CA*hX(r!Y;C^0FgB5kDwQ;}qh{k#GaJqiXjY7JmvFIVLSZVt zyG3_`u2g*?o{J>zjebV2Kq4+LRnm@T$j%v9(7Q#=j1y#u0m8+xWPMAH* z;_Tr2rjYv00JoytoK7yD+#uno;fA@`FfmX>AklyKt-S;6|W=ra)$Gy(J zte>lI==CwmY-!qcZxQCHC34REQvCqS_DQ8`p?(PB!CT@zc-^~1(_YEINE;Rv5^lXJ zU!TVfS+Tv~;j{5?k z?6*8B#v)g#$Jgf3aYv?-?00pDkKljFkMk)9bGJ_HKzX{P{UO1O4-N ztop=9+rGh`8(}XQ>&2^cH{!L0lNSdsa4PURO_zL9i8{gDm8y`r3#y~dUAa1hcfbo4 zoa=xWqDphIBzaPyy5LO@!=0`lG{af?K^RU|^Ffmugrku@gVegeQgi?D9rnQio(_BQ z=MHO0i)HnXlL2@q9rj=V@O0SkhV{{5U;9_D4*S(-8RZ&wapyyH!G6<`UutQFP0dNm zt0N!(f2H5?`XCUjm#!Aaq>B%jRYHnzij5vVhlT?2B=1rmf&z2I*q{upe! z@=n!3V=FnQHdYim3rf7#2JWtYZI$%w1}V;rbrdY;sOjK0o}U%}3C7r|_{E&DkZ4U+ zhd@|6Z*&gwuf2t-lM_r=kKud} zB|*jlDblMT=1U(Q$$|g(**VDx4YTlU z3`Iv4a&v8N?3Z%9y$bIta!Vh9ji#n^+2KVHZ0I$NZzTRnW|&JY$4HkSFPN3z1MA?a zd0~bp5TnH>J$cwt$;Q+HOQ)!h&^yRNe;Eq+Xo4vez>kC6#9A{Mu|2Mn$(Ht9xiA+C zUh1jAt`wc3&zh%I@XtUw6P2dvyKg%uR=Big_tZJF3ZR0?9)zIkQqr_~dxZ*T6^HMy z@H@~dAzDIfM27dYF2~nsS)o3^%p>(}F7G#>emUx5l;KoD#>c?nc^r2-RW61r+2S9Y zmX6=#AUHZ~pL{A!RR=ZGossgHE@$fFc8If@sb-%p8&^m<&@OWu+`vlS&|sqBhTfh81&E5pbrqxGUq_N zc2Y$XA7{?qb49YbGJS=Nd`uLjbK0{M{G?f>iPpBPXqPA?sW*qrZlzqBz{8$IVcg;{rVz7xM=FA`&iyXQNdlYPEZJvlV{ ze5bos8+=3R@s|l?$LBjqa2Z)xGUsKmKLOuKRNxyp3{HXjYlI8m81mu&g5?vgxj*fY zC8+vCtzffa7SW|{LPtlCH%>3YHCQPw2NN7ZQlpW~+QPzJopxHKKJPNvR;WB;i!{=j zK{ccwqsBvp(B&P8UQ3|k`T{B#UEqRUseYFR`|y$surDAc0nSW#Xa)rlx-^Kq5OyRS zI~0!!Xz=eL2G-o?<2`Z$dWGg+ilQ79zO>G2=H)PcOF~^_Kr**_dUY+?23I4rKxi;DaYoDE;S*S zjmq((4$Uz~^Ngh`@Y=aA!#ya+8d}V<#E`D)LjBJ<&k-c7$L};I#E{mdY)DmaMq6i$ zOPnlAMHfLrQ=XToC*B1?GA2V13t9E2f(fweDJvVlPjxkp7amuqqrb!DqY~T~0tukx zaipmsmxK(?ovH~FUPr(^4dE$GX{qJyPD5lDj`C@S>b?p?r4doGssf`MRw2XR`)|v# zwlF>BZZO8}cY-5PTki6P(<9 zU9TQ`$MeP>Y)u|Z5#yJgRk1>ppuk*0uX=SZ5TMWtQQq}s&w;lWnr3Vvk-?@^`-Bp< zPaFoT6H4U{o6JuT7K`UUo(mhBBPdP(3~{b4gK`6B+j>xj6sVxdCy1@SkQWl13QE|) z1wN>^&o}fZM|G-NJYqPrUVQkk`d_4ZMGA^;;mp*hph5gvEd0;I4ZJzT$qK_ZBZu%6 zJ)Pif!`GDa6>51tL64Dq<7}PCg3s*c_h_bU@9{zHzzpz?emwPk!vb23Bbz^n_(KS) zv`jsZ+`uF`{OtA*3c9aGK|rHuW-1>8tG~4${=)uLHKy&rfjRMWk|$NDe*<+Bg?B9Y z3snWv!BWUSP)B6C3(mD{9fh|Vp{PAkc&`om=eLy20S-7ekmiO6Re~7 zy8{O1sE_v{;n1md>?t)&)H^3f`3q2VH6{{Gw4(Z3|4lJaMbrAsJW^|wn&`u|8PQeY z!s41J9D5QLE7p^z{J(w-DEVK)dg*##%K%z`l$r%jh#LcMr<#DFnR>x6*j;wz03!z8 zjp*xqdy&;VrUqE&#QiEsFNEeXNAVF`5jj@{CA3ZiWeJBJ^Ik|BN6vQ1`k~PCqwv_6KnX+b+3zZkK<5AT-L?DNM z)AVvd=`)}NJ1qRc3(C-9qvL}aNeto$6f+*h2qAIV{+LXo()+2W>CI#N&NVM~l@n|- zlVd0bN8xlz1S-)U+R%F~9(M4Hy4KIJ+>kuATn{7M33V$*SyJzCNV9VP{AocxkDqpBPagm+jgEL05)De8aWD*Mm&}`xSN2^D-e4+aM0$8f+!cfXM1n zKcgy(Xoqlc(=Y1rf+01!)EQ79ToWNM+KGKV=%{%(A{s26Ua&E1HH}d5q{v7AjUtnQ zQ8@SA4A>}aJxzkiz%_VD#S3q)!U&zs&)C2DqJE2I`rYiY-!s9ksbx*amW+m8D3g)H zHnT=uWRdf`pQK+IOy&Bi0CqYr9i4m8mySW-G%uX~mub)awa}y2;u!K|wujmJOh)6- ziT1z@il$R%fKqp(C_X?Y0@YP&g=|DJYWVdCiKi95Rce$LLY#ZO@vI&NF_f%QcQJ!! z{UhY`3TKDDQ!{S`Z4 zx0Px=Z+Tz@X08~qIa~$luNR_gAM=qimW$YI2{>bdbwG_#>!IvMxe^0g5Uh9GwH{3@ zRo89Qvklyill9ZnXr=B{ui;=q_LXFy=2wt24h@diJ;dL_Z9^C;TK|r#9uJ%(Z(;U} zp5t%551)|#j?0*zD!?i0r0NDyd3F73P^=e{RY5OE1^{9G)G<&x#ka1JRmC6X-xroa zgyOvvs#znZrZ~6&gqhA6jijn~b3X*>2c8mz4n$b3-oQX*^sUdI){KQLrmXM2CW~n8 zkK?T%@BngjzXnuXFv-B$OQBxZVHp-h*W-6uI@f8?`ko>jU|Uc64Uw-xF=+;Wy8Gg$D=Z?)+)T2`r+I;leHd3|fB^*85(rS5S)FkqXSi>IC= zT_=UFVeoQrP%8aUsy3t_^!g?{=Tzz`y?iezVJv$IJykq0AnHAym^uao3`&S6@TH7Q z-H4%IBM0BTqUL~NI#Op%;y!6uD|tFNBCn=u%J;ED84Qe+uVDP$0K`fm5#Hfb$&fG=yJ? z^>UKEf*JO747C-io`s!-RH?v=o1ia$iD|Z$k2TO{D$wQ9XCqch%F!ty$7+@yRFACX6K*TjOevAoB*0rU06qg2_LMe0 zM z%IDmct8X6zsS&SawQwKeYO%zSX)z| z{5I^Nwc)|)0SRs8J4wHYO*U$8?5A@=E#JgPr`1iVV#`iu$1yFbebH|X| zfi%S_yh4pZ;Y}~dv=IT~neaOu5}FHo9X!sxeuv>w`jDTZ?C6~bI9CBNbV|Wn?}{1; z;mH^}K`U8*hdSjQAqSYCHhEcYP1V%z{Vl(jL7n~S$j3k+JqDGlPrfGdf#IYIXjZP4 zuF5m#UmURkI+Lgpt|k6X$j=)44eHm|f-Cl_fhrqAn4y~lA%0% zHKuAO2?w-nhzG-|&eSX6repJ=enHpj8Gs+2(06<&&3aX-L`EWno*Ri2)(;fs|9v&1) zPAmmCsNch4$`u9Z_~&Dlm7~g3>ZqIEBo$zGV|8F6Xn+n@k-goiul0tE_L3MQoR7L* z0<#|y?tqs-lesHZSDL#Lb&0uye;DqF$2ngXn6tBv+qj*^?)a+)S)yJvcctnna~D(( znY(iJC*EZ>_MQ^tRg52fHFh8NcXZl%bsyIwb>FU6$9$sc1}5!PuVCyG?ypyWdJykH zHIIXX(N{CAuH1kG@ibqFin<>06);leEDb%FyEHT}$La{tP)gAO!5gFwt$dh;qj!o~ zUhKTFgV=LJM4)@U>A9G~h&{1#xsZp`$YNrovx!mIlkNTNY`N)dulKBHQ$s;SbEy~>z-Uk~;Eu8nkVZp*(RMR;rK;H6m8g7kSE#l= zz{+*1uRiAy^bXNJkhhHFl4&4y1eLkE_6?bKNJDbX4L#^hEMH^PY576q0Ucesri0*XA8-ki39nLILgs*8}q z0Mc!o2DI(tLK2m(vU@ZgZ(NW&)ma}Bp2Qp-ggj6;gdM4FbgG+h z7OLw%WM*nO6T_vF^qsi8TjB_z{HCw>KU#InzU2Y1pRrL`}v!fIU8CYg$6f;0u;kFN9yyTORy1v0Q5hk z2|s=qWCk9-e#Nxn6m`vg=C)k@%DD}ypX1hyK{PqL`@LPldihVgOL`~1;6TWeE!y}8 zzsX0+nn)&CWA8#e(R=tvjtkAYgiV5}8~>n5E|FCqId&##7z@-3z-|wDvzltcLyro= z#&-4myjq!d*(u(oHEgnQ|I%cGDQq z1?v!?qXk^3oaK6Xi3J<^>pe3teR9?mZQ`3$2-l1n`d92i8_yQue+7*N|**z!;-&>U9*Bp{e2IiP*jSsVg-b z=<+R6bE<{iFO-`9Mm;FJO4O(3u2j8i?t@t_|j6ZBNdz>YO5~({>ovPhxuEZP*L*n zp|F&9Ps0N`w-Hw>RV9tBdTb1-J-Hl=y~aP)T`8nqL057zNgkrr6D)?r|0QUm!!59AB9Do3WhDzp4ao>bG* z<)4gHQmv30&s$+74t$MldhpPO*l{xOmFt8U`1Ue)rD~|TD^UZ?U7^as9Yy1}ACnV1 zIOXk-c_a4;w}IZ+-ra=D4vt@x{Ujyg(=6)@-z>_Djj6H2Ti}w={%R`qTnWK|=PT4t z5R*pM*82m=Eea+zwBI<0D12lsD$!lxxvXX*TRQsdd4ij0p(a6w_|W>Nj1BJELOr`J zhky!}4K`><1y+8njR_cPrr;dZAcr0qe=1@_{PX>@3MFI_H#STpdAKHwu%L|Xq%~ky z29wlK$*2^G9#IsS`}RsY6Y?sR0l$pI|?|JjsH&KO##oG(S-e#|L#8GO~E)M@uuz}r6t~! zj(VGpH+2q&^{jYPCo$gCPiE_IQ{l>-=}x$*!$b#o!`gnhsbU8l4MTl~n_BlF$uR*f zY{E@V!kCo`e2CYmOcB^DIAe?(Zt5(O7dCMzBSIesbsp%*2hGmB&9$X0V z;M(HKs$L%(Lk9D!G!CHI1&s( zl%v;F4)sT{SBQo$X&2wgv;u1ZZV6lT)XeP=D`Zild;Y{+v-<7b>&^PfR!VFOiDA2X zUMwH}N$^tQ2lJ2LcjfnDelI*?Il|k`ZCm_AuD&|S+^aR&ms>Bm2#gftQiEcGQMCdr__3 zNsms)UKg2+*jzTDRGU^(Y4n|nH{yUrf1hTArI?K~s8LEyqPSELFKV)V18^5k)XXld zopsLaqHsse>=Jka=7g8l%r3~SO$?vSoL;iJ1VGVS-d88JJUL6~ui_mAP=CL&Z~zBGqlvd$93m zvAxL6<069_loOV60v7-X(Xde&6jg*-ovu|zzAXC;&%(-4fp=Wh8ipsHkEP=aTXbIQ*BjrR$+Wd zxM}*Vg53B(@W-s8+;HNwSta3)0*0|a(s^>DwP_QJ0(+5lb`3+*^AICX5sMVZ!|6?;mXry6~z1VQWW;rtgTH9 zo#o+;|7-am{1UW%Dm4J(&3bz^4CuZMc)+@lPdzF!t}j0uhaO^|hCVc=SpZoKD^#fv^+;yKzQQrlPwxcFeX?BX;U4F(N*cUKA;WrBv?X(h%imONAy zp>Cg#z%iqd(FLCg|4&G3z!#;#=k@~s@|&!s-I*AZ%!d9Jcs>oC;~6z(%N{XKQUdWO zkpg{=^!rOkHvKL(keB-QLwbbnK-2=@{A(Agw1;PO1dS(w-aq^R%E%ZnW{E*0go)b8ND#xzXpDSNYL{E5Iv2>A}2%wUkGLo44f0ig>C84$ee|at!`*Npp;(-_4{S z#`MUP7b`M~fTT9cKvqr-!>!M{?@WEh0wGhMV_w$vNq3a*Ue}zw)b|w9=faN?snPDw zV7yxhD~?;OL)K(e%SD*@BK`dvz;u*%XyVIRGMZR)0%tsJ8gnvU^YbbE48{vGO;B=Q-?${ZIgTazra5M>HqD{FMM0^+w`h7CI^sdEWH~Q4!R0-Zb z!?zv2Cz+JB%u3MVo8x=|?*u)RmiD;{S}okYZqse((t~s!C`(k>#_;>iw zx;%aq2J}5o>W9Yp11m|cq(4AFT;8geCyFmaI=wZdd@)SciQ;qd0z;znt~UW774|_I zSAoFuU_4{NG+Xo5c_m7k=NqP>89=kY1770Kry!j}3!zUKFLp>D-Tt<(lt?17BFK9_Jy%$ebqSVSA@h}oX6)Kcey=ml>e+QGf z(@`3@7H2Ej5UNYmZ9bILEx zDE|Q*5RUq1mH*{8p8DfHv;L-hQ-3^}`Xk|wsXvpY>o4Wsu_CMfZuz?Y4hp*dYx}6b z0Cnn*1Wv8fSMYCtq;eYu&s)C+D*uQ5;~@>tEh1ew?oeKW-?!I4oc6hdi5&g(d^|uu zJtKJntd203sv}W1S_C06U{=B0W`D3QB-aCoc?%tHH>8*NzDTP#5L1GQp|!*J&A*Kp zgQh8x41!@h%u0Mkp8gYga=E>z_yyec7IgPGFf(K=EAG_iKITie_X*^;2Vco-@98YX z^tY+F&)~Q48c%6Zi;Qy#;KT3j7VMYzFyLUd$fkhppxylRIL| zSHua%!FR}bu$)#Pf-a2U0J~j+aHRH_er;c;0HGkkS)Ijo5mu~gd1l4#MH;Et;11vI zxM#(BCQ>OIkD0_p0%4l�SECD1X*-}XZG2zgc)kw56DYRQGv`wH@%RO&Fhp!pZA zdVcr*OxOFL(;h=5yCeU2PBQv`7TTX}O1H==tpS`1LB?9#eE4#7ph`@7tqVfH>{(A~bx&n_ZUK9z&4EX^&lSpV=PkHxhME zdo%zY(;hy9e0qkre5G!Whb0%<;}&u?Mv1KUxQ*#(4`zH2^eT_TknDr7s9LBWZj{w) z30bAuRus??ZpgIV=EXw3 zI2RQ1lLn%epZ5+E|+SIJJa1|LB6nkpM2z2HwSN; z#=QC&bP0d!WZu#OC##ungg*v2F8~GyQ4O!s*K~6u%v`g z1np_aL1~Nf%Z=6L=4bSfQLfHj1}Vz4xlLmx>B3(@H5TR(L9Vl_+dB%F9VJBJfs>Ry zD|RV}xa-0JARAYNK%G`l8>IXVE-C@n0(6zA-3hzt9b=8TUF{2E$^u7Fz1{@h-Dj~F za%^6_@WOUgcx+zm40Rw%(xn`jF6EI0Eag63%JI4si>2)6E#*zOloyt`rCg3u<_HN+ zTEcU4;f4hlt6hxozl-zqo61Ocw&8~$1efW9e4e?4E!LO0a7X@`MtCSzgKATa?yBd4 zJP*`>JdhqQ{Q)Q`C00?t8oRV0Co!QAzRK~VEqia!^rdH6+csU>^%S1T;zhWqqnwZ`QS=qC1yBeaasRi>(=KG_iG11$p3>woDy^VFIAr=sctCrxui;J~t#f@*pj| zzP(NhuYbP`$WWa!y9D|_wsmC411zzXx-aB=D46wJk@Z~ZKKEHah}L=$Q5zRh4N#|p z(B39Fit=0n!@)t}uh*kZ?1?3blS=RoPQwV%c?P!RgI7LBz|zJnjcX=SB+SE`NzLi+1nKt{j& zZ9jcisBWB*m&4u1GnZhzkQz@W@zJdH9KHrw_UXcYu#lT}?dNqU!!UHocHEKSj}6@_ zXxWD|?Cx1ndpvaFGeDLTA!caw#+;#2T?L%*3CVEsUGl_I^=njtY1HSyQQlzDoHvDP z!*sI8D)s7Owh3}`>=fg>k;s}3b=ytk-H=wF6-%@{>7}i~EWU*66;ax5axy-`x zOP}omVd(m|VBn_jqlSC17p~JEUN6dkDTBS~58VS%9~WDrkM>!{R@KHOUiB$9pjozG z)hu#*f3@!YZBKc6{|1OO^!_EdsAHb+^!{7;=2b)(glu!%i#W<_goIupYXMbo{M(yn z*H*8-@@P=#EPxmJ8WziGDiC~8#*)D_WF`Z9Jf;VQpq#Lz!axtFC$2?w?~QPjsmH|knx2W|MehVZKYN@tudwNQ8xZmR;7_$Dt)ey)BXm-v}6<> z*u(>cK+6V$*M-n}zPS#}$U}<2ZAWU%YSWm32{FH^vkxhY*sl_^yFkydUF$(C(!9jw zlLlbf^RVF)!79r?Wjp=BqKlvI6l_{HFwSE{3-5zEZ?WuA9A2b7pTAPK=QA(r_5=f= zDSI_w3$%IC2*R6yK`5@k^;S^?ACJ$EiH9hi#YUXik$YI}-@!##LZWu5&s9D~vNoCQ zty0Is`)H2qV?`gHsZky`cqXPheswv0j}qf?&$zTEq~P}JFFMIaPt^ll@7LAuR7>Kh zwiY#mOl{9dv+M65sZDJO##2$!wUmq*l%PY#cM@!Xh%Rw#fyA-pm#|00Kq>G$0=tnJ zDxAJn85#KoWgcnJy5YJ#t&y9soQ^4Nm)aHTvz3DJx1$iBu2&JjKwaOls<*K-b*jNc z*>rOwAz4fWDgn~T$S#L;hD^a}dHLhp=nTgrQ%*U19|xV0 zG8owx30mid&pA&>0vdrMK~0M!K^?$UE?xEkU5val)G6cEung*4s)_RhSlYwRZ*Asi zze;`loFfzeNtuXZ*q)(CVusKPyGoa&@o`5dnIA_IrBo$wi9jxyn4$Mo~+TiV&?< zS01Hx0*tkz9v%Swa^iwiAom#=gEztcMwOr*AHR7(55)CqU({y>!|aScAzARbs0SFC zpcq1f#ret4A&>oT6!ol#w11wjOGJW792IHnAWJ<1Ms0}NACMg@qlx><=26AKLy$qO z+VD^ZlGuaP0MVDW&?dknk>+i=@dNq9G?ZRN5j|^pZ<-8hVAsS8lCe3w3d;4`}Y za^vSzy#slg#f_imhtEq74&a;Z${*Q3<(^LP%oU)OJ+4F!mo<}~pP~QEaVI^C^&H%6 z^0;^i4V~iJN`$kSO!-7c_u5q>tV$QA9Psp$|pgIA-$ ziXX-*!HOTT5vd6}thnC-icXxg_j9u1XJAE^NLF+iaTi9@r@S(J3sjEfXy z&4UP6U?q;T66Y0yBAso`CvD=;aZ+t}t3vXG0cL|* z!myjKfVpl?q#4a!*mDRBUFEsby1Awm=E=21@7uOl>nZjuVSPxU2k})Md>JR#bx?th zg%3*W#hAHd&(3cb`~+$lBak!GNOb4@o@wIKNA|-EsrV1LsFHipz%;zkNOUpZJc?j*vYQF~ zpraZ@FzN&&)tG(6qLv9LC?c5Z;Sn0Q0|Yk+PSBSE7M4db3pIffH8|t628_imQk$*B zD3Uh#BS?$WIBCatQ8X%N!q9aIb-gaN{HX$vxE8*=$Myq>m%+<~M?)6#Pa$~*UojSF zW&{h@yJGLWYz4k<@-nzeURMfDU*H2tgM8ibkIK^S`zfVtu1R~ z`!sz!AU3?|+v3=6v(L=6u)A$-{4-99IaPsLcK+g~<8xwPaOQ^52cqkwTy^x5>^qRZ z9In7SSWo;LMH#XzEx^Vtv@+UKEGHgICG(3KQ$kGiej3=+Mtu%#COSD(ASk^VuDYbK zC|-^FhAKzKMnPyGJ_uy2|D}OA;vLmq+yl3;0o?!kPV<)Hc!8BXA9lMz*5s25sxCRA zCq`enI#P5W`o?f1?&rew8+UJAvX1#b4skTC=`>J7#*$wSE@GjUG?wX1Zjf43aObv__wvR z#lg-i)nqWHWrNsXq%q%%mP{;40sTB+ckF;z(Xqv`!j?9F>i`JMsI7^>Ezu;3ESVWP zLA4U%!U%u<6M#H(390V}(DXKr;UBQJGwIQhCue>pl(&7qTaj)swgs$ul=SM;M*%o_ zXx9<_au9?^w;S|RHXUdstrtH&nmqI|Bw$ZOk3dbV$JitMx<_>Ml$ajz1A7F--wz~$ z({}6;o+q5fy+Un5siWGuR{;eK1}$uUA)J$kA(2tcnn&SQ`Xxx#FVwWHm{SwHT!NQ9 zYcYS{IlufCNr~Ea`K1vF$S+)@&g7Sf{XMvYMSx#$f>@egu*j{R{u{+I>=i09a>)+) z<%jL@3&}7Fy(MaYWadvED#c55{UO#uv(p3^C~p_zZOj>w9!Y z1i0i;sR|5&peh(wccd`dvIj3OoRe0#Yx1_#oaZEZR&uNy0TWU+Cu~cpz5lcg z6jGS>nMJRasrt%RoTdRq0pN-^{{&DmS7{_FcJUVTI2DgeTjcF6O;49yjmO7vy@s!G zy%yK&aGj3p#kkJE^)6hm!*wRE*W>yj@)OKvJYSA$4A(1gorvo+T;IVphU<5@#&LZV z*DG;-AJ?mJo!>1{acy5raMH+tIC1mnLGd7DA&Pt9N)-F!N)#91N)%V(N)%_|N)%7U zl_;KNP&@zC5peql_=hcD^V=Ql_*-c5=C_XS}fK{jR;&QFaBWPOWsF3) z6!$^=%JHkfuM)oyeinWxzSjmhXT5Yi&Usb4Kg^IWeuPIA^wT*B-En;zbW`l#jnvy%q0Kx zQ8g(lqkdHyokCTiZ%WjG`leLvrEh{Npl`}mk-n)=`TC|(eJkxfF{C!?8%rsDQ?K69 zHGN=YIbCoS6ZG`5Nm@UrK^Ot3GSpwpUoz(p zw4NHfBWk#D;T)8pe19pxZq08vv_L(zq6x(Cm|BQm34W#c1@S{jkEwhQX8 z9=}QWHQ+Y|zp3~&MiY-W0V-#dUmzILE9G!)NglcZ7b`iU<{*sX9(`H#kyW+!HZ>N* zKkW+hkk_*NL4auT9}e;#%V8hdG^RDp_h$_ILq&;0Vh;PeU}Qaq<-n*fO^3WOOf=I^ zam?XXsZYM5L8X?~#&|8Gw*8OYP zJutYQ`aAeV2JZhec!rRO@DFaGUWC`)*C)cFbrRvlEZl=)Cc^&KVN%{Ib%aJ5#(NMc zS6=o5d2eNQRF))|o<*xO`!3q0)uf#iJq0u%y`J49^eT~}PnDM}x;(q+eNHc+UYluJ=pA2dmU*T{!n^`&%B;%z?reGrPCmVX+US8Re%tDZhD#<;P(pS*2cL zoX$jTCChFFFMyGYuh}03Cj%S6$Y7Swa0xGfvty3>*>9=c!D^HYe2lj}laRPhCq7Om zK1mXP!o)}F#3e`^Vd9ZU9Mp;Ll%7)jS6spi-euxlbYd)f2ObgjUZ(E*1FUZh9}Uyg z$947>wa`Xde+A`*X#WKy<8+7=E0TAo%>z_Zgf6d56=ufG3_|^Bo0^@HzU07~0R)_s9BXD0+xr@JLC~gqRyJdN7_e#HO zUs;T4EgB;JQTM-rA@2MwJ-DH1=n+KJ1w|VKx7YMnh=nn%y1m%nvKX&+1n^!5;O`B< zvo*je0y?z0nsI6y{Md5iFBms$w( zDXQEk06)M>4e*x^z}0xQqbk$RD>VFz0XRhiq%F_ia*GSF$^m%23vgIpjnZ=gpvBH* zYwV>VS%4IaYP1GwD6uhmey0)Z8xDe?VKWxty!M$?v7Q*Ut^iMfn@`!79VB2=NFC?KyzUL2cGd-RU#cN??MVfJrmymh$tS{OhG zB01tlR*_sg1+TpH>boTC^=EbMQ2SARv7YaD808(`Ph9>_w50-#YwK0#KDB*EpcQz~MNp2eJ#amQcGn*;r7@OF9`EjN$>VFh>S*N|B?KL@w`_Ve zg6;KQOI8GH_;|)qx%u$?aUdk41M<~tzvm1K6i&1@A-mVU)kc%3MT_W{mi;2X>PhOR zNBz(G#s|U60Cizv0ytE@(zS?4k6MoC16lUc?CfXunH}e`G5du(&;KjrXVKkds5E5T zo+CuIJwl0?EPfG}@PhNe4mF8qNE!7VNUv9fp1`-B%aKJ5mn{8tmOUg(RA*s3sb%;J zAv>7q$8ZvU`Q0=Gu7u{GF2pTB+^<1gDT1~5MqI)R@&N*xg-Yn`H{d0B3_P<;9dMhY zeOPcA0GqB<>m;Q4O11J%_^isT;xTCuMUjiS0;djz_?6)IznW680*~J%6;Kc2YT3hZ zL>T626__X>z}txTP!}kOr^w~d$+(DebPM%o&XmLp&pjd$6G(UUX)zMUHn>&FF;)8Z z4wkmGXG!+t8_#|EpJMu1kI9uB!2wCP+}}bA-@WP3|bC7SZh zJ=l16pz+Y=D|Lgujf-mkHD1x4vI>6uZ{dv?5!Fx8mU9Rg=6M5_UowAMS~de8z$oNLJ}ar5)2Y@L$h|INN>R#|2vpREZa8; zsX;>Hw*1-$ra8>D8`e z+tSnZ33?&83aY!1R^}}@m6mpz1`3Ze{zd+MgItaAXv|}P6iqfYv3&5&6!BSuzVvs& zE(gitW8t+2Mr)QdTqayZ<_gksg7_JO+01yO=uK%sOk%tS6?5;~^>b9GtZkdZVW7=FTHZHi$Wjs-04+zUjSZy+l z|8ijU4^Be;pjRIt*1~Q7&Q&$kFshD;^a?X>6A%py5=M z3Cqz%0EZd`5sG#m@GvAlwTUFxCn~Dpw`!_Sh5PzV+Fiu1qxVK&IT3$@3j+(J4$IUn zuphz#&He-Oe3*VhPNq>$JeQM$1=E%#s5O*5vtH_BiH5j^*KuE>|T z)G7wTJ>yZ0cLTZ_grzoL%q&@O7RgHkCy)i_W$(vERD*;zwOS9nGir6m0#B_5>RPpq zqJ(sa{Qw#SgfWFFq{kB}l+?F|-2M}Yr$|K8hMyxN7rhy81xCNiS_b5({!i*93`n6; zygP;OzJ9`a=Wp2)L$6FxcI6lhR~wWRQse$8-gq=O%kwBqBZ0(e@N<^uW;_drokq`0 z<_I%|xQDIgiwc#4`JUiiul^?@+`=!I|Y@j(809Z>q_pGx5$Q@(IQ@o66B z38JaO&C5{j5|@EK`z07?92n?NLp%&L|03Nmpq=5ur;*NW(AR;d7s!p0+_d&+e$1%W z9Y8#TfzCvaa=XPVcwlJaBMbwPNa`8(IhRyS-xJWNqj?QV;VGs~D%8>m<~FFFcWy&! z^$dhHKx}o?I2p+I)~_G3GV;CK^C+ta52ma>AI*s5AMPq*_G?_!mg(fHWe@>_07MJw zxi(DGTw^uT4Y_!GA1?f-M+@Yz1Wvl?rByyT5z(02Vi&-Fh-c)@Lk6-3zqxhJznN9C z4QG4}*U2*0|4Q=z(doa znSGZ4?p)dAmJJSUeXh?q{!>=+iBj+#X1U4VDBzO{RNsUO*uGpCB%5)HX93(xqHC}X zr9D>fj<^i9sjfzbGEsQP{6)y zPdE%MusX2wk0Z>xzs*kt{)asxaXvIlc-wm$TIM^R`3s!! zz}xkN&+(x?6?k8=g5RUbP+=W-6^?%cBMB6OJq8J!$J)V)Qj_OSOBU+Aw@C50^-kJ=;cNT3-BpA_7bK>^>*|)0I7-S(-PAW+SjzX_N-$&I!E`%HPl=stg zc?j76bSJRmNB*7OJt_{g>*9AX?{;bbA7mJ@G33b;Mqy zE)~6Dpat?`=c0XL33lUbMZ0zv@`UC=@CQre(TSgXSpH2stkigERB%`k5M#I+Y`w9> z|1y#&!mcj8uf$pA6?$gxA7s@!!kR3iVKldcrfY5_O*_VylUyC+E2yO`{5kGZfkCs- zhE#9sq4=JNv>%bkV4Mb~B_B3mBZ2wWEpTL$R7JKp7JdP#;m>Cdegd7lL1#FKzYCYu zRA4f4N9-fZ5I8lOoP_15RW+5vV!Ju?L;pS*{ky#;f35D{bucA_VKCkNm1Pe%9o$~b zxXshFKe_4O+;Db8)PC(h>)!{s{X1C)R``c{ft!wOr@>OSi1-XOJ-vU8Q%LO2IzM|8H2HBm=KHN*M!Qxg0!R4_ac}KE4$k7fGI;OE(@U7IT;oQm8x? zI7B{SCt}1NUWQKYZ_%S?tgM{k*_Dq`#Ab=u>!3*1R_4bBVN2~I|4RdE^4np|{VHtJ zt7!Ar*oZHBGWNW+#U?}{@+s|)tApIHirAfXBiFg`K$QMm-yB>s@)erg&H5(9Js`#g zf*tB)1dlJoc=Cl+-BLCSNXLsH6QJkuzNZpZ_yVU5AV4tsZ*w;WoLmZqt=7nGMkK%) z$g&4xqc$Ppc30fSzpkr(H|7VEr2@ybu)m?M5O)RJ2v0_>A(RKDuuJfX@&G9u`m}C> zDB)@AmaUJSi6n+%+p)%vJc*Pb`CHBGYF3%>GCYKPsg6d%@FBe5gA zeM`R8ta6!RwR~RlmH)g&{=?q50=p5zNR4} ziulI?shmu(#5e_vQ9Aas`wwfsa@be?bJ}q;t=L)!!th@c+@Fx`kY!dr3@JIFo6u>s z`hnsAJ=wJpYI~x#=B;XG*Ac5#P%JQihaVzz&d^)PpBT~qFPZT%zka}DQggIGZ7(hU zC%$ptf;Z}Dhi`E@hhr^;8Sf#yb+Xf}cPI1yAI9g4Xn1ZBj_F17gY7$r-?tZ^Z&9ZC zbw&2Gm0P?O`(0e%)XYu>?>ZjWu`ZyCg@dppX zLq`0;r)QEgwon{lGzUxF506!F#sP1VGsgVuz8%CLT!oMPN1-g72j0wJ!AyK5{@~w` zN5>zWi@V;8bd3YEIos^+)$c}}$;^;roVVD(80gcYTyd$M5v9Wb|NDAclyk@IB^jWc zIZ7U^c2B}dH;rNj{LS~K;g3wiUwuh7{J8@EpfN8=hD`XUWWs0t$9#SToU#0^H;`<} z;--NNYWGVxZ`@ zo*SCD=vPQ3 zYKwPz;hnE&I-`?{@Q9SCYrs{Q<&<)J=(Q#4V*Q8$uPs&Q>4$PW1l7rWNL-5o9KLpi zg{OX~6<{Nt29_)UeYk&czVfBGoOJ!fFk!&=IvgA!1jaWXu9aFxZenTdJ~tHnR>PiDdw%vK!vlNjRO zXFO8uTXpewPuC+R6jJ(E@E}Uxrb3pOUz3;&+N?|kPJuJMCJoe?{q;ks z)iEw8La}3wsRtnhyQ)* z@J2LSChL>_j(uvYY2P<7@rQK)?DH8jfPHWp(=K&3+&389Mw59LO~%FU#IcXT0Qd<# zVN@DfXd#Y8R%Q9!V_))?Un%8ZV#)`f`O&yh8vzD{Vbd(N6F%E9{G$KQ@E^HK({E1) z|1fCyA5~z-_(%Wu@S#81Fsl?#`@JZR@gjB69@;+&s-pCkCFNGCAxLJ!KmU9t9&Zx+ zx{pO-rb^A5m6w*9IxYVS?A_et#cuLBeI^IL5dOz^YGi!fZ|&~Dr$ZjXqUpGr>ALTVv=qzdcHr?)2N$uvPhptjXWp?^SZu$j% zr4Q-!!cMoFq`vMXk_x*vSYCL=ag(B0U5pgXSfR_TV7*SQ`3Lluo1hfF^K+TNAheDKG<6>HacQH6S4YElnSlaac)GX(>?WMI64!YSC;ybkkO z%KV^im;KNF1=KaW_((V7!Pyx(oBZfv%vjR=GS$W3%%?F&DBgIRuJj=FNdO07A=hIj z7Yfx=q=rT}qq&jxeb(gkb^i@HrG4i$fe_h=@r-g3ZtCmZ)U*0dE$Pp4)1Ty~rzNuw z=q`WkZ}JqWJ&`Bfxi!(|v6Xaov9C!GxF~$GKvaq+ikA=OI^ALYP%no;JTY)tC}OWo z&1nN1;Zzrw3{aIC)EzFU-#DNu*?9>EySGqm9qh9ddjPpWgLERYrV%>DglSjVQ-~oQg7V3_vO~WbL%-F%=m${5 zcUKowp%)aERt&xxOOR7Q5z8!CBmnDQqw9Z*u0PhMmRSFfwrhcps<`@j!U72!mDQ*q zt413^G#b=kM0d#s?#iO^jrBoVEDgSjvQhXz4Q^<&URTlLt50gRYJJu!54D#4md_zvPpyG^=k zqo7WpMo*;M{8jLO>Vki^z;7;VLTMAdc;4li|~Kyf`9fd!{^;3J@qap{&_C=U*kyXUBka_7vbM~vy(sb1-@JU zE&bgit0rbv<&b|D{Ew%BG!_zuhk1lC6A8&*eeyIdsHx6Kxf4hb_N%>(Y|`|R6GA9JOAQd z@{h9fA8qGv?InLdJAV?cO*LET>?Qwtw3nI;uD0{9=_UU|cK$!w`PcQ5f2N&Z?H?z9 zHuRD|Z0A44hHvzkU&({dEK_6*K$(p8-E0?E^1}(x#$Q|J#UFFl~Ykn69ML)~`)Gm)3)*bcR+7Wt@F0%3-${%8v$2Jp3`SkiL zGRlW!0=#jqO@Co#`JlemtiLL~ygA>x*Dj9@b*g?&-FlmE1pvyW?M2_4@Xoa1X}!S% zd+hm}mI+V%9nSbnwWwm;_!D@FF{26469Xqrr*SN##`d;ziN<~Lg()@GdXL=K0yp^p zXbM*p2_kq;tQWU`Du~4)aaQ^DOZ=F17~dhQS|2<}mRQU+LImi`GGV^GSfur^DCqQ8 z@6T8QgdO4ZJwa^eX!b)mi9+C%tgZ~H9~0lZC7aU2Oo zV2;I4oSVKcS?WbC2rrJ{m~Hn0qQ+3GI`TMu6{DB^ovhEimr0dCviH?Xd=s1 z;L;#1j!l{~u;9yhb%#XZ5nRX~u(zgj8_#g)X4wk(b!{1Z@ZH+EMT* zUxo67mJPO-$jzJ&W)&svC=+v%)|-m)h{s< z!_|V*H18*bgccmg zWSr}SJo5fNzw5=1t89y<=t@Z&@>`YGOrEglYwCTzRrJr2tvITZualy;O4^WmmA02v z^ltTjzE!kAvK5a~$z!DG*^)NoFqQTP!>sipNq$Q(_>j_)@Lek3I?<02PpYyf*O>GS zR8T(nvE%C^qRwu#^MA&XVEtE_CB)%)M9Y^{>5_%@aN8zd)vk4V~(>r~o8 z3(u$3`!!b4xst8;T$MaYie9DC#;COMl6H|wJ4&UUAZcNhc7RIz3Xz!D7a(b~%ly(+ z+6&*k4uNmz&E=MhFN?koa9k*57Z~zmN~9kkU4@#{|!(BNEnh8qO}CX@ITjmNfi+w z0H3@c=ewOH@q%Ng0=b0m^jcLhwl{NS=Ni_|O)Uo_diV|CCZ*~)+|}W{UWx~_-!9&l z1c(^iQ%6ff@ol5#g-%#yJQiaQJj=uFxx@G2MJm{RVw3}M+}ndx1O|bEFB|t$yHq3? z2i={!7O-G52?vWnAFYb$?MC!`s+)p?*luPHoPwSiM+SXRidIQ96y|i`oN)Zt9xO$( zA0oZ`1N^|#I20qz8gs#R&kIL0!3d}4e#qf`&;)xj_!-n3_qWT ztj4#P_{`(M%Y5UBN#H4VWv!NzO3geA-(w4RQZ|9CuRn%A#@25h$dx9IGh!$6tj4U;+wOnH1DdaZrG0 zdy4{vq8+*|O{nQFW@*8RuzwoR4W^+^*mS6D!~g=to)T=U)fO1Fs$T5xLcOm@z1ZU- zEVC;_wL^JVD+oGHVe8Gr)+&WfR~DMM^^F~Y?Cs#m!{jRtEycdL%W&-UbU#iWPO%yI zYuKd4JsCXL9Gv7f9VLkRw#s*SxZ#pGYFMe=-ZRO6s6TwkKN(b%3*zJ}i<=t|qM4@K!bCQr1Um zy7o3puLB;&HBjF3oHZYZBNFEEz5)W*^a?QiHK%>u-K85V4;Q)wc!sStzg?4qGn{rx6e#ZaQ zdn^^{`b~W=aiv=?sqdv$lIu71-S0}bUQ*x7tt8iP>bn_m;`*xQ_bN}Qtif7{6)yof z><=c-q%H37|B^pKZy*hldQH`*?c}>1QsT;&DxZoWab`$;uXiTf&#CVXcAE16Ef3i; zcMb7^DYaJ4HPt4xF=(z3H#?~>=hHNc57WSh%q33?9t*Q>hkKrD!!8iFOC~oIt9*;Q z{4U@#W4G|hPSovEtrH^)pLBY9C@*919+a2Ce-FwpAlvq!{DXT~{)s&-zjzPJx9)0r zE*)jaV`qA4(Ff8$n)qpF^1Nmb%X4gWwcc*lzhMu{8+%xO^B$Jpx`*X+XgoNjAN;fQ z^8yb(IyIkqPJJ)g$@kKoeE09*RZOd&#(eg0jp0v)*BsJs(zfnI?7_&%RN1S=G@F zyDZI*-=qD9DS4gk%^LleV84DkP~LCkB<=&SP%OxN+u1`GaaDfnMirsKb8tibmR{N~+(Kh1*wZ5{K^dYTWSS=n0A2w;+S3M&l0h=Oc5HMs$$Kt=`5PBsyIoi z_(^Y7IOS(a6UP%1{wkC*Su`yJ{zwJ?NP(Zb%kbwp;9r)4Uy=d;^^mX#rZiaer(iEE za^Wx5po#y06#OTSN#{>g!LJhd!Ci*Gz=8k6C}r}eE(87`1s{ts!2iR*F5>Ty{}k}A za9JAX=PCWLs0PddWNS;Gcm!i`7h(%+BkFQ!2aM-V{gaY^WV7Ty1O9n7eDNPDkE03S z*DL&#{HNeoX29Pv#=^fG{Di<+NALjur%&kxf4BUns#tS$I*aDpRh*Pj#hI=uocwXi zKeAc!p84%4r&658N_=9ZtBQxNy9o-Xu9P*z6{?&+dfHCeFW$aI!oqTo}XyWk(*JA9`+|273bkO99y z!KXfV!Edkb6@RBZSEt}_7@m&*eJ2ZlsLx&Sr}PfrDbMd9tI40H4EP}hpZeSdzfTtU zXP4oKZk!Ki?Sv@jIV*vr@7NPy>N-~1RI|YshSS>M{xjWg+`p74e)HABoUVgkP9|M# z%NMntJta1Z9Wi>)Jny0bIN#uS!M$WktQvPI;X>d%JmoZgM1vHH+v&llZ}M_EkA{m@ zXb>!`&Bj531((6Kofv%uDqeyW7(n0r)nB+0Rnb^UV9^mT_>rvYJ6-B)ERo7jRWJEd z;?;J|uyO%Z>Q1|0mi1#B_hFBWDnx96z073O3I7qd(O>Zz&u!QQ(3lHgYi=gv%o134 z?5coMJ$cwLK(xWw4~q{4){bh}Kd@Ml^*l2i?-ta%g5HH&^|zD0PpS%WF6ckOh(L2P zS{D1RAUY`aU18K4`>v0QFnR(f3U!Z`WvAaGbN8{#)1P??k*D!cD|TUrihsaSN4Yq% zEH?YJ9sL{oObNv=Ic*1z5}g>tIiA=o_FW$DB7LRS8+s|}I~xG;f;BE9AHqkeLu2t0 zSy>&V&w93ar*TM@gFJKpU~w&aOVT$9f5D(q{y_4;qzQPmdBcaBf&pjoZ1EiW%A{{Q zg_j%Guvp$Df~>+aa4~9Ab(wj^fOqcwphLxfe5bA~NFe0n?xxwL$ujR;v0f+RWXAEB zTD=Lkd(yX~l7icKRnzRDxhFPW>U};kG!NHr4$VvYJ{l=9y_fg-)8QRQ-nEj@_&NF@ z&VMj*K{MszuJ}x(>y9mDcq_13v+Kgx8k0;qY zZdWlEGJUYOvWTfxvnJ>`=M2lNRUvfVijck<_ZZ;NvS%3A6oD#36it-t4QEXwpV3|F z*^Zb|&V~yf;Y#XwuC9eAVx(~V_XFrz|GJx={d=V6=-sCWr~2(WF6b=N z__?0iXA)X+ciV@7iMv74zG)==fc6>nn!9~wKHC#Lw}SHBr3YTE-Jxe}mAi?406kOJ zy6Ji7nV#r*0hI49Jq5c*&ow9PF+KOZ>ZWHsf_bv*gU>-Z>3I@|D`CdFOZotDjl0u6 ze>r}S=~=PHO;5ib=^6S1=s{%b?$Gn*aeGY9w$*NWMlJ5CeNIP9?ykJi)Y%Pv&?h@R zmi;C-eFo3r0E8205w$fVqIW@9g8CO>-7u~MDI92;P^lg%!~AWH@iv-kf_@Idv~h)x z2o-~MB4yJi=ojIXDdyrZL+cnBtRaRbBQ_Xc;u>P=Xpv3iI8z_dFTr5Lapt0~Ge@S{ z!0hk4i0|{SWa2w)ckxC1`EDS4e`N~U)cCQB_zuj1@Be}4yT@M+5xax(m&c}1-aY07azPql^sDgI~-y4s1^B1On zD&AEVwY&=vr;16|ox^M=O7#KhPMYMA0E29Smg!Kqb{MPaS$_72w3(?g(%HY=^+DgR zx9U4QRo|-p)9br6RUekR((4ch90wzwtd+B+; zuc=&-^fewX^Gsv)h=QE%E5q~OeS`r_dtJmBW-u>K8>z`o^7DR?;+Us0ysJ2ZrgJ7U`lyv=QZ zjHg0x^CI=s$J_iUp5SS^7R*cfJ_FyvdePV3MJ2e!8}Z|Zf{$p!eVJ(d>Qmf-xoASp z6r5o<*Mo9k=+_$VtH$k(i{J&5`zJj(cRCN}4Sa?;<>rsTnM6%8RJ~c#N%f zhJq!-(6^rKkJqH{0TH-W#!N^pB;2?Pzg-#H?&h+W?e2nB2B7 zM5XF`OK5+b(2e>YM+bsj8U4)qa`D^!<5Y<(G?iwh=}uJSr0HA$HfeedLdiPr9|nvk z^Ky}7dobCqRe$BZxdU;nbR5*vzN-_I2BBmGN&X0|y>gm-6JhODP{^I`Rki-$(vJYL zo`DFU>)OL?^*Gp3SqqTmn(VfeR2Bs?d`=IoS zt~D!auL-~r$C^wPT$QDg?C>8$PSaU_RtEh2Qt-iH2mEyqdKdC|K|D4NQRU(IK~hmq zojXzKTn3dRpgcYU$18Q!a)yXo83OAdb^xpH(SbL)@gQ{K6-(HO%h_qo?J1QjjM(9j z8eh_Pusiv3Bv-bEaYh;X6Rx8$g(-0c-^04)tWB=Se^nB;+tMZp+!n9^C}gHSXs0GZ z{SQG$TTI`Sw&laHH_(b+W<(ET_t^}O?C}TSyk*}E>2cV^aA8@1st&HL**KP+#yjRL z^w?o=fxxMYm}s}M3f_pNzheA)6J>O@@p}NBM?s!1(Ay&kxV{kn?nmf5CNQ(0{6A6` zbY}5pzVs3nAO-f=TlY=aSzugKVW#^h+LmPZa+WeAnVn zl{FzdDVSj#iHnLg3=IYWB#INvFt;_}y`w$Q@>E$XQjL4Mi23|7wj_4pAlJ3X6#-+s zwJSLgH3XW!Y4jSm@giZQBBj22VA3~JIX_aEWILAdV1$ z*iWTs@kiA4Z`h829XB`@cZZ*nVi0s)H}0S;E|mP69LW=q8CwXD`BkPO=S1x1NECmx zn4|A$uau;1&jZPyQ%WmBsW?@2Swir z=`p3_)kC3~U)8p3w4~&;Lm?%J;u}zp3`J+6stMe8xkjV?yw$kpW7YEA(%>LyRr2;0 zj4TlV9)5>&8X5aEhaDV6fFV zz^wjqNA<0C^|(SXCs3tYI{MQPWOf6a8M09k(O=fz;*{DR)r}q4p#UOl=l-)18;tWw z%Dj0ttfw#$084YRu7D@&``z~U`RaQgluh`SKMj82p7e#-r?Kg8B022iV1;h8A?ad+ z$riJttNt9qRmfg$TiF||!n%Up%R~7q!jo5|_vm{MF34$mmc5c~6`jJ8mB8W^V3G9Q zeNY;z(1RimOOc;BidgOakeT~C2u9a`P*v=?vQAdncm?aL zLg8zqaJdv-ooX#I8uf`?XZ+Lz^Ay26MvN21r%AcZLx^Xpoa85-N1H|Fph)-itSo>+ zM@XS(QAnB*VM*61YXMrsrQS~i1^(`ek6YLHNYz+~qH){f z3?Nhj4Oym2s7MoNs4HU_Za9M=Q@!Z<$$3xMz(2-t08|Tc>tVuIrT~A2AEOF@QL=k5 zz7~iufBd9WyP97QP+!x8ndZqvmpIcZl&igEn1bMjb&>a!eD@^qgLhrv|8|f|;Guez z03HVh!A?A|2Z8_B1|H%1;fGyiZ&!4Ln@X_r8dYX_a96&g`5p18}@8*^BWo9Rn3|^91bL18lIF z5Jd?WP#(zKKo(wr2>~St+o@RwL|yg{|G2*eQW7CSpLJ zBfkT8mhc<8S42AB}Zx(eQmCQsOK^^Ll0?`Xi}J>f`y**F9*FtUvU$~%E_-_FIHR7N5cX>Ha z{x&bC{vP#@@9DNI-?hY?Cjf|BeRUk$4a=l#)EWNSn6$PbbiSm!0Dntqwsu9TTcdji ztLyv`Zv^+IwMGkr?SZnK^mvIqgqNEJFZOL=^wik5eWJAy1sUU97$@{|vU@o0uN+ke zWMxVi5M%>DwzaV1uRbUn*XM96u?gk{+XC~Jpd17WVI`epRhcskn)gc+- z@9D`Jl1q$l4@4ip%^UdV(Goi4jPonCN3rWkh<>I-n)_YNTPDP%W$wD3h^bYBpEJfp z;b~8(cEL?12zkLBdA!%KA4DVR%iouuaMehncpW+%?w8mH2@;gk5_^y+BpxOv2*ZFL z!74nIEC0YLhOj5uS&D|z;01{~2a=SAc{o5Fqt4zjq;a$hFj#b1vFmQ<{rg zEd2OahJWSwcM|>`iGLONHxmD<)WuPE>`!H|RZAS_yIPRp9gM*B1|M-fp*ug!YsqJ6 zD)-OL+#pG1o9NC~r?LgovmN8kHc@5UKRsK2ceZ+!?aNYyqFw1ngIu^ZsBEj!vpwg| zHce%_FFo5G?rbwuw(HWfUFpj9EJa=AJS#nC$epvv&Ur+7&I8;z+3^(2FT! z%_03)<$NPOXS+M+0>FHs4u9ga21D*V55o+4?F4no_Ro>9<*!Is$^^FhpS*=R)g9OG zhY#=b!$a1G7JT5yKOKD#^SEuO1kJ&_YG-%=97DvN`N}ym!}#gG@V>A*<~$lX5uQ~x z10LGhIOZ7zc%(zQhQVq$tT;lzaF>(iGj?&yXZ&RQ{27X|H0;c5pI#{|Kl+kbu>_{O)S_} z{RKT170Ump>F1Tw9f3#+w8o^8XUc(__@KUIzrbyuxY`tFVo3O!buf=(Op^0P{90_L zADOpB&tX+nntl}qq;H~7BvS5NRI%E)N~*ZnssinFt5oq-S{2kpH$cPScnrC2>4s8q zaH)Yx;wK$@#m)O&1?vO?0CE0@^k(g80S{jL}y;S9Ol41VMygVO{dzk9eJ^e0W7>cF#c^$PkmE1DhubFn;r`6u6{_=&UuY?Z`mo(!Z?t$zQ z;9MWX|H(5X4*d1Rug9HM_ecr_K0X%Q$R3BN;(x`@+t29Qhsccg7#q|>sqq#bYH{;% zTu%0)+`GKfFTE5z8(vn2{DWNqil@eo2V5|#4AbMcS?&b=aETxMG7b}>*Qo&>J>n=X zCi%LGsor7zV@c{gd9Lxqr$biYfPPuMgN$Wz2A^s?R-;;wlUH;fckC*=joDvJ{-MEx zr4fDa3Ho|QW=s>qLJyXRk)a1YLFMg*Vunpg&4eqjxo1%3G(3D@hNcfRmJ0f(d5k6M zq11Q+4`35SH4>Kt*w~&BEalY?OzIj}l2U<=-u%)_snBi)QDefkcL-*Egy)^@#alHS z*fb`3uy9}zMSy3RjSgoBj*sUUj04_`5rPZau1r0Y8V6cPtumX>X!D|d5mVx5!#%E_ z<2CDlbT3nO?o$t?#&7W;OtI?^{txO`Ry^pp>MyhEKQN>Iwt@eB`WIOAqlKmK+@~Hu zKOWNP{~y%5 z#lQgT1&92G+TUy3$41sq_ZYub52eQKco0Uc#t}-9_@q*!3Wyl5vH*6APjZMO`okXM z!`TDyx&0o6&maq*0Tw=a8TdR{1bl+o@v*jE{J{R%YPL^;ZBO0WtR6~@S$J^E$H71& zt$(V9dApXwbRQU8xT-F?ZxDA}MoaLwZ?r%D=6UCKvliNwyvpu4TZ{01$Mm~5r~)0W zC;gXu_6cB6$==a~Rf1O4D0E>S0 z0Q&KeM*shy{tXuWR{dvN^^eb}{|nE5pMJxlpKUAcuO2`@9@6N~R{yT+FD{X0(WhI1 z0rc0}`AUDCE%ig6j#m$*#uy6`Tb~+zp}*$bkwu@H%doqo-+RDkv%+VLiO-8{bn5qK z)kCTABp!q@Ha-)H&lfC!j_T6yd)z;Apaf}ejF#HbKS!#EQe(J99rKF3RrSckw?;?hx z*jUO^mGW!OU&SmAdnV{>c+fA^BCO&NtsKUu)b%p6>Z`%D@RMKE^xD+R8U?Rvf)L1!l`86H)ATt1^pYf(yv1V0#idFIP z=@lFA7D%IKPNjw3A6EZDv;IyB6qUjY>Y>zFhzA$p#)bcb`tP*px9UH|s{iO0t~uQa2+=aV==*x_jWA@x0I*7pos zlJxyeJ(L;`;=xVdY5#@#?l$W?)v7OO)mN2K-}^iEoW2&bzPH&nr0-SrP-=AI!A;+l z|AqQynDt$1)%O#tzKI$2?bz<7&tXqx)o1*kO`lzYI-$?5xJl`c&)H1WXa7Mo_JOx?xerZ($m1a7x=SI?Q=VJ4-!dd_q% zGb!EGbEd0q11l}v^*-f{w7;PqN{yHB;HLfR@7%Ogh0A(<+92Xo^jIAJ$$a{G;b6^n zHZ%&y>2Pm9y^{$}&~s&i7|_+7!q z*5UYVRS%`cB0LCFdUE`p_HDks_C>^cSx?Bt>clf14r{WW@EAZl?uVGbD&>9%EH*fn z3&60FUkgLOS(+OyG~U}r9D7_)(BgYV;`Fj)V?OXp=ckNCW_xmGE4Q1-vVWCCRm+ih zSR||f-Uzx`!!g$**1%RS8b; zOj7tGIxe@zdC3Xi7bFWX)*}M1d*4+0$7XsP(vj_b^YxI|a&scse$v9K7}Kowml)rg z*Z_Dy)_0FV)v`<#;_?A7e#Q9L+FTX%ya~-UkLbLQ2d&3uBmWQ$DlIs)3=oIp3bSzFszqXODGLb*R zLVlQq{J}QzxK+i0JlZ!rxiyj~Xw@b!jpPT*gEtj84>L*s?%&Dx^4gZ7Udv~GXV6mD zwW-h&dEbN+Vo*2#llSwA?f0(l5Dcw8rM@ppeV6<{!ey3b9(ljZ@eX6qBeP7$x$Nr= z-+er3JW+VZ?d&@FOEk2OamyC8Im85UG+3p@J`Zr*7@ub!7Foi6<*=PtrPTL;qdw9% zuEdSc7F;&#!Veb?JKx9o-0wffrR2`<=k4o$ABWg8=l2sz-S0m-!2RBl_Wtt&-QV{c zoO&m}Xi2!&^w3cl*E8id(KMPqvxFTN7f2z>4h-V&CI6AGBWGopAw)y}>}orrEaO8) zdsMD9V)v>R$(Jh37Wp19*&+yGO0~!mw3WJu3sFRA&7t6D!=KBMuJb$hhPs6zzx5d~ zFUJU=?H$_ogKtnV&+i-!s;AA%q`FMpP^*nAzgAQmmB2r>ta~1kO)U)8rH=0u{f}u1 z*6)d=pKOiq)e)rH&*=!_(oX)2q_XG;iq>4hPe%N!{2X~==#(*wZ`fl2-qe&DS2*6v zjY)ii{PCOLc$Ro1Mw~o>s&p&4s6v+R-wZVpKN@UInwe)+8Mg@1lIu`WHaDnT2z?5e z#6Su0%%GTDCL)g=H33v+5nG`?nZk)5$NDnXjSz@dXvEm4`glYj@U2n3m8-X(t2e)T zJ72w3@y!`Cr;mrmt*MfF(>__~07JLpGf_hPS}3mhu}6s4Hkg|;&CNp7s3J5`|gA_L(seE9E{>cU*nwEPaq*ccR$&&`1EV}{Ag}jJQi8G zWsw2kJ#JYnMkc*kqWF`Y)rPnEd(^GAEQa+rWCJ7im!cN#7}|huvTu=_7O`~^P=_`l z4f_$ZZeJuF2q!d#051~@D}UDglZ1sh9_%FKu0OR4!x*`lg1FAyTc|!`*<(`8s1OjJEmCk(WYG=XRH6I&v&K% zLwc!S_97M``r~ExR8;mORMtH!9bMzpU8-rZv1^ppv$BNmKZuxcOrJ|bSoHJu=u~J{7m}Qi+Gd%6qg6|rzUwof4UYAR)6|5 z={su^P&fP2r^e6LIQx^5=7LXq?N2(`kQl4B0N;RTXIJ_Z7WFVx0Qf&gH~JI-B33ub z)_ge)h0pW<&sZw$a3nZx7vAe?Y?Viz1niz*-jeoh5O z5CF!bPBRCWKI$>rhL_I|Vffx6zrXOQ{r}b_hTM$34IuUwakrP@ce-Zp&V>ZxsD!7HCuNM`N&FAA zKOtz*GQVkr&cs}DeR%R_G>$g;6SR-gB|MLP*_(=gsq>Pep2o4a0@&lqmbL=Oo*m5Xnam*JB)u?Sxx@GV6WMa%IBbs8e1 z(_tkS^b5yB{kG!84>>RJ1Y1I$E#_Oulgn4@W5|=oS1h_p-jFAsub70HuLznCdA_q> z3;FuJ{o04G+wIrBeBFUP1*|qy!g@=R$z|bKn*p1@``cKvKqr1tkMy^Zs=r|zk^WX>_P27gzop*I{^m@O z{^m@O{^m@O{^m@O{)PnUZ{_V~e{&{Ce{&|VzZLb|-|qQf5BeJ$Z0oUhgSq16{Y%{QF%AaSi8Fwc@vyjIlG%#UOyc+d#b;cyZRg7 z9Q{qb+Wk$v+Wk$v+Wk$v+Wk$v+Wk$v+Wk#lv-CGuGpfI#UC`h5eLvOTzI=oI?RC}P z&S{eVHU&S%J*%z$_H({I^Iq@$%{&V#tHQ)UHUndAME^-3k{D5SOd&Q9Votzw7%f8@ z8xj1#Dy{wg@v_x^@eOwSCG{=v%3Ob#rho3RpU1;ZTyzA^XGgcCiMQNSJ>4^VlWcJ~ z{5={emsLrv)Zx~!3SRsb-_mLwXVn9pz???YB0Cr;I`t)ZS1}5^7-dMuMER6*Z+J6yR~11dS3QgKPu+t>qSuR zz)x`Tmk=I<=L6!yulWI5+6}X7_B(Eu^zP9gQ1kxBM7p?@IU7sjPHzYmz@_dx&KdqTh2{y^TMe`N;! z@9k3igACCBZ(wh%kO6dO^t1gjIr`^%i~d)I{!0}7jra*JK0@fn8H}KRIzMD-|Mh!9 zzuEpk-lBg+2L12uQu~7p(0{SeFGoe}jDEI1>0f8jzeebPoo5Cnia*9raB)cJUn%r| z#1C2MUzbV0tVcNJgMni)wWAV1w{IK>=pO)@Vwz3mWM;wwrkE54K8`hoab^S!{a$qAD;2!p?{82s2QAl&vo z5XN)~wmcF34JtAje2R&%tWOAo^9A8^m6kbD)5n&W{_=D_mr#j{20gbNKAMv-O$F>f zys3ylO_;9+Zjx&PoMx*%XQh5r^3faqeTaXqg}-9>+o;3D|AuzO@D%&ftQ)hI#y zOLq(Z_uuFVe++J2YpuZzRA^k*;54L%cn7S$xxOKOq3bv6fSmascLK(8Jgz*}H~y;c zTYLSkJJ!f$XQAUgqUT|YPFVM7qx$1aPHWm=SK<18N9Ol*{F8-${T_wZ12i2`9ITAXjtp2l<}FT7s(JPhy1!H;Ym4^m$@Ev5_-`}ue;azH zg2)-~5&-Bx$VlG_x+fC%sD)wB(pNQG3aD#auN(g!;m__JKAL@3;LCctANtgRzbsxF zgH8Bo2QOLxvG;5W7t6KY+rinsned-`Q}O3O3w{th+N}zteT6^V7@*s%59>3~DLTT# zbF_!HVgik8NMfIRgg|4gS?eGzUX_w7Yt`6AkC|vUhx8{NbTizewQb9{#n{f^pf};$ zbS+0c40<4Gz0vkjZcgxtqo2HxQ`^u}2&~cbp=@>SdoDCLRUIAOE4D5F8gJ7K+zr#O zX-3}BjroB^xv&);5I9s6EIJc#ctsCXt~$)XYRM83^3`9w^OrtP zRGQZ|Ud}s96TT8=f){^=aSPlL)$LK7ZHFSU8QEY1nwbjrD* zvZK5U-|^PIuZl%)&NZ(Qon{?;1U}SfbTWJWe@Q85GKRm7g`KtRvioUqxa#UKws0vP z9oD>BN{eICU_RTeo_et0?axTX61Kx9KG-|>{pVW9I-0`0?C+2leZAm-6<*^$EE|)z zz@r!)A`%avU*Lcu9Q4vE$m|Ex$!JB0g}XDR@UWhs`O2F{AHD@yqi3=*D6%0#@k;!L zF_O=(>D3ID0kW%&(Sq!1;{+lLq>q+g$;6@^g&V$MTnCQhC|t`Y5LE6O6NG-5+p3eK z_5C$Q%T?EuM2FH@TgN~3B&;7v7=dP*r^RYKp6-LOQdFKRl1cH3p!Mq_`cerZTV;H` zFSrQbm79Cezd~OvaY@m4G+wjQchMj=#%kldR~3Dy%ddmJ&Hu6J`+`qd=tG3zoKKs8 zAyI8$G)B|t<;?4yi)eN*W-(rJm8N-Dz;D&!&1DN%0%VsfL0if%c=cjeejK(U4}YCl z(p|_+`pdLd0@DXgOtHUzzB)$~4b^;;G}JgW)Dh_o zWuDiXP-sYltu|^XNr~cV(}8whL3=ak^)|Odi!@aM(SP7UXhAz0_x}ap5qLtU5nCSz zkjoKrz@6wA$Fch05)ll&@K-OACG#aWyL+8+4$gvM>a=nhEmlRGcMhD(QspIG(R$Ra)}CrLe7(TcA^+$~44{5X{b0w^GN@cmKM|0d~oI zN`J$wbb|4i>d$8@ajVwyhoAzjm+Eh&6=8=LYG1iq5#8C8GxV`(lrCvPX-_m^8wf>T zV-sH2a}%oEYuHJe_JP4KN<*XO2=EUEOezBWDd!(QJRR#noPAg?bi2?R!O3--?-j0l zj31pYv2#p&_m|+Q)s8PLO>f5pMjo`|Tn6ug!YN;pLJZ`H2p*oRwQbFZ#-GHBd&TJ4 zX~c*F%@ro&7f^`mEqv}eP4H22YlMN)5=3lb_IhOp4;QvrU4aiGBz4AN>d_2?+7EBt z*p^lN2UKK)0c{D6;6wSNr2L#0oA8z%*{U>hK%Hek-60CHY4lBBi0-%x-9agh2VSOb zg3>S*rKvIIF?p9XMgS1o3y;NL7!SoOiR0YQpg7q5ZU$y%uWK-l{-im*pSt1Ml#(CQ2sMm`a$;d_~~&|s`- zrd$^vM+E=*sfpmN=)op}uqW7JVAV9y2^W?{ZP97Q;K%Bx5$PGmIT%OS|8dz}4#UlC zeOmQ87h76FjxV{GIs zwT(DMDcvq`+9SpyWP^CMpj3KB!ZY5&NQLh+vVBM2$55F%Z)lV{S?UX|`h(~`Q^1a8 znDwkOqBjEX>JNB*;y{LHVitXFi8lOIEw*uM(l>Uc?If|z=rXMs*-F6qgxD}@4*{x# z&|@VOCk2IZQc$d|L=2O?ja&K<(RJ(*DjpfV%d%Wr&4bHN^)Qdj!|GG(sg}_cI7dz> z!nv&*8C6sLs<*jDdH^;IqTY!b3@B8Ml2?Q5+3ZFq(mP+F4PO?~|4o$`8UA^=ZF_#C z+PLO;$_A{aS8&|{bJZC~!amV-_}bSQZK6{#T55>`9M5x6jux*i>3Uie0`==M0t;6! zzq&>nzC~->k&knomR* zzSp9g2uFlJVAiRLB7-NgVtkr_L1Q_Dt15WmMHa6qgIE?D#W5jn9^{GlBhLbGDtBo5R~&%o6B} z-sT(8o{X{gH>qoVr!jg}b`@dHqpPb_jpLW+=QPbiNaMn4b%pAh=>AjY1)7q@(LRBe z6Sd^hz)hpHWE)^Kb}@lon)We}D-1Nl*IDto3;QEyOJtv9^NL0|fI5x)Fu^D5aO|z6 zlpMbi{ayQx&pHa9sDN<%0#7)8SxGoPy)+!3<;Nv1SgAA+;1JQfFh@s>17a>~9`)%2){_F5JeIQ zp}&u0>>P}%NZH5`^MNM03a3^8MTa46WP3p=%B(UTLcfma2jO}foD;{;#b9!uKr_x7 z#&T>oJq*nB7{m^d1DJ{5)WHD6KFrQtJg+26kYt^a=trDZx$`E4i+Mz_gHeIABqrT4 z1*${!sG_TBrXN;)Ih2>t@j9m|n4>RjrJe;@&1J11o9zGXaXXT%ca<^cNYD)7o@?Nf zN;;_0OnQU46NN`jWzXSJ(23$<@(gPN&xf1O4U7=P;^?%Xj$rTaah@-Yw@wyDsBSr5 z7`w_BzhyeKf=RqOsQ(galf4*viQ9!k-%kWGjW2Xxgo7B*k4$bST#bKlur?x9_y@c1 zFDWbMA3xgmG(Gep^z&=1h4T#~2gj|?({yuQqQ7kX0(^i0X@33?!i>*2i3*baL~eK9 zv8_0Uxd|od*}f=!W~+o!^`FLk>>J$DhODiuDF-)1n{6Wdy{tQ&=6uR+TpH$4CHR$g z!9;2zo*}Kcopys98n=E)IY1bJW#Ba$rVgJGGfC5;M3jA}ao$U8zs+97pZ#|M^NqZvrN7D>r z(R|4$)<)9z`$cRNZURx|u}LJ*UHAfvg<~g`LBL*vog7Q%dYf0`0kt&YlR#J3>#7PK zDQiJ8abj#@k`L4PAhoJ894TQK!uCq~ek8RvmgC;%r=ehL5TQ_1MysI+PDMF$88`Em zEGFzO#Hm79Ly0jBY}f@zGCb(Kz=Y2Y_$M6jic^dH-HfE}eU`w!OD26!FGPnh6PaTg zU$!C#e>w97oOkh>i$`2a0Zq~T*_5EScKH;1-@ttOc)zh9+XtxEQLr@&557QFc?diW z1l}854#FuCXke-$Xc0<&Igo&;pNxBR6uFv?5Zt7%1aEu_yjKNvnt+(3k?7&mCyLQ zloYgZPdeUA5Vr6tL|R#MYoix>Pn8J%gSM9gkg2$dx8iPq(>5 z%908|>scytSMHHi?5(i>QPQ{dNmnPxZ2nE8YA0=Oj=%a@gQ~xk2LCHaRSkYz4-FYW z4o5>?1vJo*aCM@YyBFHccD_O{dmEf2FxUpis%$;9K^eZ9ZLmKcZCQ8q_#jM3gw9ie zLQ}IN$$|M7J1k1ti!jXX^KNO?RDKpeV-WW8#i#o5uRPFlM4)AAZhUG%e5xltwWvL~ z7hW*Go9X9*l;wI|i(9y;R-b#0YV|U|Nnb}zg!(l;eNcN%30649%T6@cZZq8dfC8xJ zGS~^+{)WA06nXpxQe_r^r_`AWdrSSk?29p7u4txkyz_s zDc|n~@%V-&RC~5nJQDLCPBY20Qw4d2<4)>l)%rb$4=sf&};-&iXTG*aXeQrRj(#L3{Kpcu40np^6;TaKh2#uHGiVCu5xXS1qm#j4uuw5mP< z4(u&;>@C1U#v91N-xn6=!#K+Vbl_e>Q}w|ufSztFI&LA!$ctT^;&~m5VM7{mtY1r<1~18*#xQK&59t>S3dJuj zf#DS&J1CO44D0k)!WNuT1(!q-&ez7k(9tw&uN+)Cht;LAe ze)Icbe8~*%Y>cN+)ro<)!7^S@){Pi|O_+{jj`+H+^EDKVv<2!C_UWn?Tu`IoY}=&AbU{iqyXmZE zlP1j8bT>ZTQ`3DS&ecwwul_e_y0t~lrbDYlsy~e4&NtVVb?BUO*$?4r&tJTi-kzfY zb6z*XLEMd*QYiWbk+AZ(!bh5#JMma_S|M&@%GAZF(H!TE>+go+XO%$tsw*;6ORaK# ziRp+b<$Si5hqluJ!z(Hc$X`3W|Ie~NEvDLRdQK)xIo{fJp_bE2ybGJ<2F8|g6+xL# z#%_q@dz(wq*mU&28cvLV!Z_+#nXq%oaG%d{2kydmnp-jZ`T!fkwZzR*iSaGA(qdB2 zJTENY&ZQFg8GOH+2wTR=au=3mgL2_!s#pzHw&)umqAXouv^|5$uud>76u-8B=&ix0 zmT`O0cXJd*Fs%a{`H`89I(Tbt7IHXgM%O=^E}_-N4j>8ZqjJ}B`!TUW?!srGicB0X z`iJSEAX>&Lm)WF+@PUZr$0tQh7dlQH!R^IwVL(-_8A&KJ!@OD}U~lb9?Jj}8Rh?&m z=!H@QQaH~uNNZUxfzv}V87+#7gZdqn`X38+Da5>dP49{fV)8ycW^}D7d&(>!6P}sd)o! zG(Vquf_-c=oFgF^O&szRz)lR-!Ne7LX!_xBM*Zzv9<;Cu<5U=WI#K+4d4E>k$9h6K z?KBpyd?!44Iehl;svi+fjBJZY5eaWV?s5BCR7p%A(6qTDM^ zzK=)MbT&5m@n1lLDEEt!xHl+q%b`DHGS*5YO}AOfnzL1i_`~Qw7w2ujnsYSN@}O88 z7r!>F=Y(l$l2i_Nkr?Cd-~gayFLIdP9=iE}T(Od&Iw7qP5HX+Y2^EZ>as3 z`6tNjHvdlANh7E84=vlkmX%{#;Bk|w0R9BnYM~Z5r9*6|;F3|+fySCFfCEs$8NFkM+Np^dC3gtQA9f2}^Fy>7OSJefYwNqz6hX*DlE^VUWeaf0F6Ugl8DD0e^bZ zx8;7QPgI=~fmv1^CT>6i1RAujw~{ z;M4ua097o6eQGFooho+=%gsrZBZU{DoJPBDm2n0h=OHP~B)z@+1Yo8=4GI*FbhBJc;$M>WKv>~_JR4MCbmZZLK|~tPjt5IWI@~ zAEN8A%NbMbccLS+I-IZ~V0otypas0_z!MA4gn0hn_JIJFfMQDZy_keoF%_SjbeoPm<=WulBZ)0c)cK&vIGa5W zPO8R>O=I#E;~1Em?0CqAyRlG9RMu3h*wz*H`Axk4Oos8bIJ47z1KQ>X(GArNXzQpe zx&a70fe*amRx&9bq3+j?ByHF=hTJ-5S9DdD|9H z{#PixY5F$(Rr0vpxDw~N00oBKfxvRDdR=tfs9FB#ks-atk9Iy4I}UFGHKwx*lfLhN zE0b7jF=PZ@h2{&P2&idIFpWzz(5mG`Pf(j1(8MFe9<}H#H@=*gPH&08kQ?sMz6>09 z+YTJCviZZIvap*9@#5UJUNfGW*w-vS#R>cb7w7loSb+1Z@j>SY7~j(uu=;VH%Fo1q z2%T1?zlU=#gg(YXocSAiUa8OYux31k4jAw*l-*fyk%|h!vYGOSJ5-TFpXw0r^;!of zL#VNzR=q8AaxS|+FmJULT86*;ZL#CZ2?I?Ax7U*#j3ATteR_p*pI#65=%w?^+#T%S z>3w;(|DgNwMss?zll$_nw(iR-VP=lbgL}F!@896MfJ3hA_vOvM58OzO^QYaHcR!NN z`|_q|zc24<>%P33R2`+nj(2QI6GuukMXimE?j5D#gIvmj8bjZ*~ZR`0_6mcAP9 z;M3yQ0^C^wZZCw4i8IqUUu0w)V8Q!%0fr?g#qLGQ0U3L-!X4LY_oo0l6UIIC0kk!h zG5(bEj|^Z{9P+U46VI<~WdKwha51F93F^T=zjxu7@|K!%E%tw&oaluTA$6uFLN=~4 zR-*kQltN@xBLYW?ee8HL86=R@_%)WEOTu~$$Vq)?Nf?jvJ;EAs;tFdt+o%pIEa242 zTKtR>ryY(3Am{5#SS)hA!z#N6rz>eR$uN}1X*Odhj|WTiF{Nk_eazr^aIikc9}oKV zF=g=}mcPb;FTrwsOhp_kPib3-_7n>6=_mM{;R#V5;<=TrKmfI~YE&zz6>f)?%~HFq zzf8@%tbsMGBkd$8}W2Se*gn8!e)=BllDb#J0DKc!e5X`^c3hM zsFhX52MCl2^!0>}Z_wWst`Q6Xh zmU+FTyp1*BrvJlawZCk_>)ID~2A16+XXbXNiv@wzh6V&wRMaq10|7x~nS?~a1%;5h zP9yZd_9Ww>mWQd=YB5Rm^Uq-ZL6`0{Vgky5{CJxmf+dXuL}9@F9<9s$40qWn4?jwp zVX6Iw(nc3{r}3+SDkkm~p!Mn_lb12b2=Q^3J7bRciugD(hw*XEFG?}xw`?#rOp^dO>={tZsRJw2 z(^zm#69iPqB$X0&G z44_4R#WD*hqI@{lWgg5AG-R2I>Dqzyg4F8M29z*HLJk0w&kguzhHrg?a^$^CXE6N` z;CQbBR!k4z7o`RhNhZPCoorE}4K_eCY682DO8PFn)x=fPRop<* z_j4r4Se^7;h(|@lC=ij#5<)r5N_3YB&6ic5WEpr!AF56jqcr4 zl@l!%Ao0RhVQ z_njajpC{n0#G)=)!nnK(cS8KdwwMJKu{kUF%?W>V-GeV5&z^O#1G+*%oQ3i0`Ht z-E2N$`Fsu@O?mkX+E+>WStO(I6?jk7LhneGy~tw(pRQRPsXFv)Lo+&9+fIxomQIfX6cGl>BH>Oh35YB zo6BU(gzsX#NQOD8&cTVXP$4{*$@WP)Z4&Wc$Xbi-zo@4Rw}HV{(_})k9)9JigAoiD zp9+#3qwj_V)cUK9m3KfC5x~aCBItv1Th< z+Lm-f*y}9jeA=>K?GyE@c$7Ze50?YjGgW9C3$?_*B3& zT};cN%*1^la8J$ta!84kRY+FQs&P&)l)oo6=_9&!Jlq0R#}YyBPr?+87F5x=>JX!_ z7$OHU-YSnCM$U)C%GOXzg{ziS3z_y;qOgX*VPl7+z)-nm94*>^YNs{}FI>eAff1ITZ8jfz%v|4CrCMO%Qs|F&{tqPFH)qNB(5L zZ)T#Ly4@R{sTMo!*K9FiWA^!aqHwAO&YIsjk7`NH*Ho%77aPR7e*LRl`;eAYb6`E3 z^t<=Z;GhAdhT?&OO#4^z=cLkA`BX-}>bkpt-dSiqh?^vpI8F6R zYa@b5W43rtE?FcB4>?(SklOyf>~^vplX_FY2WSbc#5g^IeYJVq5kJX;T{;v59;j_IRW75T|BoB;jIR&_hae};I(zF6V^(&Ne)=_vTKHlS9^mhKb zw(m;je8lQ-{xgPYCBc5+SnjT0(Z_Jx;!%RbM>41j4lUOy98%|EMTi5k4n)@YkaD6z zs2t*F+SjYsJR@}if^3@I8#?#llZ@xT0TB#i(h!) zsg|1$dA^vz9B_{fU#h)oX!%REHsc^|YU{PkIJ{Gf7oUTY%E^ua_6ZDzmeq)fyqJty z;taLL0&!IM%ynvD*#|ZNWsK7%jFgXqU5sfGieoQMgAPV}!)H;r{g2orP*4U!a@vE~ zrUk9LxahP6-lozqI7kN~xOks^n$IZQIQ~ZZV~A?(#ZtB$rm?R!_e%+p3GdM?@S4X* z?>~9X8}JMgUiIjo4f^;3e*05@bUNXCk(|5 zZU$b75PTs6;zLeA_O5<}mAJWV1CouOVFUyTYe<6MxNGzGc%$euj}8$Z@%`^1p@{Cq z@|v2p85>~*&x;_eizH!>9`Jzvd&HhYN?DBcn4d8^EPo?lMyPR-eHF5!0<4VF8bI(r z3U?;YL>g>VRq1Nuj1!0xX6Gh^whwm>p>!S1+9+8=-cYa%CYcs_wUic!?v1F1M(*0+dY(n%_iSKI} z*iW8vHe%dDp7L!T-{=pTrx(T@;1dMjk_rB20-nOH;BuFVf}+7u4W<%2;*P+c->F4J z@bzRRuv0f=@HJ6f#5G0nsi1+dbg3Gsl#U7F#{X=r*I|dZ8N!sY=`S0<7B{8%^&Fm! zMRdJlO6cI%e54D%p2d_aMHlAJ&YCYqG*F6PYxt%Yp6>vE5y4N)1b>`>Pr>bhUlgYd zevSGd3%}mQ>fNsL>p{-GRmfK+znrJ>m{SmifxccZy#9t1=WfKaaot}{&Mk$ctrbc8 zG1AGo(R2P}ac;Fp8YkauvjzAy$`A)6oD;dblW%(APzU%!3H~4pd`ghNyPn_`=LnZ) z+LAWm0bH|LYG zCc2h>2|8n+^p9Q?`(#9PMC{xA=mFu_i8{)1>yqGnBOgI5iph(*OcGff3KG2;X~Q57`*#y;%p zS|JjCsCXbKDt*0op+`%$VqL9i+lA4+z0YsMBDfgA=F}74lfF%;I@bDbZ0m{MUj?A1 zF`}Qn5<}hH2q4S5`vjbse)Emf@T29gOn#^(^IxC^mIjE)w79b*(;t{6nc-PklKt6} z;VjIS`@pQpn288szr0>}dNyY!$yV<|4a0Z7(SmURVVT~A{dk1JPbH7H`EfwPiFt6< zc^96FeES&n%$FR{wy9viR*;v0EA}wtd!Mh$@`=E6v5+$Tq>9wO+e zOZ#{i=EXMkMfzZ*mwKP?)DmNI(TYGUQLr62DaLTZwy}*J@wM$IY~hQ?t7vS_c8;bObz*KCIYLotzs(rg*-Z@4*z*$6hWX|s3V5vj@$?Eb@_AO6MfeytWJivHL%C9mmVSO@(b9OK* zzZ?vDu+n!u=|7>0mM7+c77Vj|a;aEnm7g`8c(Hg{Z~cmE! zDX(n|Lv2kN({E6XrlX3c6GwRGK7e9aP=^_FDrD5#d>7sc?pA`ss8OY0K8Z0bq^}E{ zxb@m#@Wk(?ALkt!LKoc8fs22nF7^jh0=>jaP%WyHs*wBYx1_#qgg>I%?h~*RZk3dW z-d#39ZxaA!$>5G&0;0~eqffrDX($`P@LNzt{(c$0$d%{=6*)sUPZKYiI=1- z%13Z}UaTv3%9b@#;x#*F;`35>D_E_8{UadIpB`l4=czri>vRIdt3T&@aLeK5*(tSp z&NDepkQPe(cz7sr<|#;C?rrXi9(#CSqhUX6fJ zsdG+O8S*P{cdV;_bY`q;MDzq4=83H#bFm;&jj{;3m=df8tw*t~xvsWa8k-6|z$@{> z)$1{M;DBY8;AF$Q5DLgOGL7ZP3xJ7bCaS=m$5jCiRlJ4OB=^UxPt<* zbQ*;!@c+!c34B!5`97X)AV6e-IyRt4&{%_U37~B-A~Pg`J1~(TE>T2cu^6q|C^H&d zAef+xmr>lRwbrUt>sG7PTF};pMFJwL8%R}Z7jKLxYDK_he$Vrsd+*%YlEK3F|L5}| zbC+|^Iq!Sk^KR!o?=fl-4CDUuxSSp$+Wzzyi-&h4zxV#45JUIYI(aw|6K7(^Z2C)-KyrMF~+}3SA`x>pr$Y0st zXL9!xm4s5LTYYM0>);yo(H0fyjvy{t8)xBgmj)e75wPXicQ< zIDh4P?$(VUXrKG#rIs2po3lXlk8-c*A4T{P{bOb|6Ak~91j%_%>@wuFlV4zGOsMzb z^U-iu9yra?nW>AO#>%4|En~2gdT~ioX;jL}rPd=^R9~lU+zl_{0Dt9rcjSkB6q$(l z+_%vWbmt)B5JU>MR7}HqnR|Yzs$9X39v*?pke1eyV}h&dT>~tDHRP3yX8Z28jkq5@ z43~3;jxOVqTmHr;V|dy2JTD0qLI-e(z$YBpR+57~mbGOo{2O=G=C(&YgJxl8xBG=5 zzLu}Y04#pl)qi9=Zp$#4UF2ATFMwwDE!r{D7tUh{%FK2;Hh@KrN7o3>)jGgtJdGXb z^V^$$%qdl;z5+_6nuupOK4N(ytNLa)31>cY7RnUCA$!C4Q zqxH1u)0PXxbs-m1R`}H1tb#GQS!410JpP}L|Bv84%f)}$*)CU(Tsi*WS=xWOE?1s| z>SLFrR0vnBS`*%4Nv>90tN%kJz&mgOQ3B*)M|i!E(M%uwx&8gE?KuH`wedHsYIarP zO1WC}PUH7@f(aZNN_FBe(qeQ?Kwm3sG3znUjfJW}@O=?k{_rVS&SAK&EzHO?9T}9o zQ>06!jC7NPJX@4Axx<%-k8pKZuWI@+`ezd(r(kdCb|vQpI9c1BIjSZrR27KS073*TVYz<2@irJM+0p^N zvTuB4E268ymEo#9W=<=XwGcEzaJ)hmGMwj4MYcHrjDT9!5YRt0Zda9rUZR`pSwYRZ zTB1f3c*VHFY~}r~dr)iFW6H}}>O)=e<0bjd%E{$1Km)jXkKAYCg~b`SzOtq88kbg6 zu2)C=P!rY|e+CswM@6ED1hnd z)Erff8R^q^&87<6gFfA>8HHl{GzR5Jt78zr>x>UTsYp(MzAbeJR)r_#Q>pHYg+J+9 zI^8;>{(Z@&d+OiJtK<5oHVGHoT{Omium0KNU99P&s28eXITi;8SXHkwJ_IGkh6R)p zJ{!>6Hk3(9lPHBX;t~fqp^B4cl8F0 zsDoJmU*Hm^5f$eav8HF-dG7hfVnJX(nRuN~;>Ip#UC8>JxghQ`PVIzyFm4Tv?qH{b zOzvaLGiv-~t%ITwLRJ)|OCb?~xJDl~2l4RrEzH74p=koiE^3LQ=;v8^--DsUNl zJ_HVVi0+amcq#Rf+&zxvGx*|MMk$(ruQhzC@$e@s1-R~zQb;pOh9t!X8ree9*P3$_ z@Yo^6yo8g$F?nss)P=+JQ%gW%Y+wlpt4tIcpJOCME2)Moi+HljNT=(Jqi#_7eh;DF zbOSJK9E7D`YoN?Wi%#cQ-C07at{SYMW%)$(<=d;Z55RK#hU+q6}nBNt1db4#`lMiAl(8UQy+;ZHdO3Z-(~ zV1ZeE$v1KFUkFaR77f$TxE@Ww4ZIm0Vqg}$!db}K!zx-|$%(FUFE|EIA~o4Qh~H|x z9kHh%uSVliba4^0AMoaVSq|TUMJs6gYf}o4lT_FqHh5{Fm|ACvOI=; zSG?!?51ewtRZUj{psxOQQ%AC5)oMN7w031T9}93h3rH5yv=5KX5G2rE#<*4J(Pr<>Vc(#Znw`rJ~xbs$!M3Yy} z6g{Vw55i9%hU(aJuW`|M^xS4DUgN__3RPadt}|8%G~lIcgkI63_l1kV^HPJr0M<~0 z&fL7bE7#;CDMd$Y{+m;Oi%2OSgXfa&Pd;OPTU4CZK81~mP$?eI8RvX%_S z7P5{xoEl!JaA3oQs;{%h5n8%IBcAY4n7;zSKPPa=WKFrwI7^Dexa?c72uV98zJ7moRyp|LJNjgWuqSQsZ*hT*nBchOWTsH-Q0%mP<6Y@_f8$O0U1!X{oRF+Bu9shy z#-UvJr_7Nw*p8jw8y}Jez5_{u=y}~W67B07qF9n0hATJ(=5HmVn2>KorKMe*@^!@B zT!l7+k8#`2KG_0UudpGHr&?eapD88Y_y_oZwO_B1Zl)F4%HNpckF222wIl9vldU&ns;W)F0eaw?L z=vt2N-H%l7#z^lzyEwUb(__7RN8}wO*=i{l-@6}My+af1+ncvpeOr1N$18@t*{W|( z@G-ELzHj$#6U@DIBr$iUw7FR@(qAED1)-Cj_g<@KCs+^+5iu)x`?VCCC0VA}vnuq= zudm=9hZPQG0xD$OxogyyT8|ry!Vp_>)-p66Q0Cw-79pg9CO0V<>ambt%y@;xTicqKA zU(E85i}g{@)@h;}9A)1A7q^%lc-MgSOTLSS4u&J9(D$e8F8IaeoAr23j(CbzdD5&~ z6vI5}N?ai6xqd2L!-@c(m4S8}kIV0RIn(lTNvMp9BqK@%|k zI3_mOydR-ayPSqe*w47}bH`9ZsY)EmCQ&aIotJ7Tn~dh6YA8c>#5(;-92=@k91$p} zd;|rRBM3y6M?Eu96OaqVMQ*QFw*#Ole5H_$GC#pX&h1JFrCt$JI=OI>Fx#syA#&Zu zDe}AC`1m3<+y8}MIX+Y6xbVi~)lva8eTA8KQ5@J#{33EI+*qWAo9NH0)sgA{b2aq@ z(+3jMFZ1XL!8G&^@gsdBexyKTc2saLx@42_qf_H?{{r*{YZ~?aEUGL7&ZT3hT%;WU z_f)5ThmuXkH%N2BX%re;4Q8lvg(JbRVEauIy}Qnh!*(Ck0_4YI`@bk-Vf!B4#P;2| zNWk`6jSAcAXQsmTRrm$Anb+Nm1Oyd+{b%@{r6CD{odh%9vQ{IIfd2;BVx{Oi z0slJ!`W_}T2}_6Z_UGp;BG(!C?L`=L1Df!BEE6z3>-jdF02 zT5|@pA+wpy%qq+oFc1sJrcvyb8F0%vG636cqqtMmeAVF`g>S*k-Z!a#kxBifBBQwN zs9eSb&PuF^Mm?9JCYni4p`5NF!IpOBM3+h`x#ZMfzr2uW-o<&(i7vm0+h>j zENrAgU;+PNTO-z@`3I@gsY+v**)6h9F}7(J3NLkTwrWH^bu>jPdI#@eUGp1Q*FOEd zQTK^0>AsQlh2=y0d?GQoVTURK-(Y-mD8Ni#^C+Q@q2ZrqxNaf8tp<1ItqV2nZI(dCt9 zUvFp-j|ngv_54)T7?ZwuHW|+-eIXD|`VyhyG*?dgFD}TU4ktB2`oh07QfRE7QD)NG z)(bdy))}wk7xLDTM$qI^|Fn|yreILEJ{F5ARh}J0-%^`MA~r5?8jpgfD`GP#OX18o z9%COniA>DJ{%8m;b$h1nAyU|15GM}gNa*1*#^)WmF&%m zjIf1|=2ji4%pxqfd$|7MpgZuuODTyi1qI?yqMPT=GZf{?Hotd4ZfwYX<97V5hL?i% z`moAX4GM0+=NI~-?IbgD*~X=_;eJ>66Hvg4?zL#I+|Cz%kx8!t>P9BLxFh!#hktiT-??R z?>#9-lhh~%L07Q|@^V8FBvx>h56q-V+vCVHE$CGZoi2iL=MpqRj#LfDJu92`0}96P zvO|@k{HaQ!o;fHE6{JYhttUbC?HfX_ce15EdUg02k34aFGZ9 z5uCk0j=3mKZXn|N8zbZwD^SyT5Bm?lDBKJGbJSTz&Gc6%VA9e@e2(Z;#P@SQjlPU_ z)_{qTgS~)OTlzs>)H4fq4Qh#xPUU_C1hG0cY{~bnOY>F0s!{u+Y@!EYp~;_02Z&&` zo*3KD0E-v%6S6}5-k%wbKQLfjP^^YStG-7ic<#=+@m z%nY7`LGSdtS?ifwWE0hQ_ynO3`Nl~e&fqn`O7YarQry`3Ie-^@6sv$Lo~K=E{0CaE z7Qvz#zukfxw2L+)XQL+*3#%7QEj4B!9JD9r9~z0BFZ2K?mpEsXCgupv7b?wi&z}T# zE=lMIa0+Zj8Dq)Z95Mv+Zfi?U795*x9u(9fcb05pHP*}1TBWF!h1dLKu{`Bwj)hX( zxEcx3-7jFv=|YwA_e0#b!D;?HW)n^va>{S2qu^lm_P2+&u?c9gpiJX(+%RGW3dMy~ zC@xGoM<{?(!6U9Y2b0yA&dVhy5cjZs(IL*+K0tpQc^P1PG4kT^hckzRM}!|PX#ueO zU10t$!tY9zB@I~y;C;fFUfF7kjgehS?$;|F)?kD0Dgh9g|7aO-9gwC5R0OxySDq=n7F;vW?3pfr) zMz`2_32JB830PraEHb*mrj17hS~cLs#yuz^h>~|t48YjJol;C3@ClpKjORd$R6)y; zlMZ})ZlM-w$~PBFyvCcTkSj1O&yOqEJ~-20&I;1jI^%TI%LNS5h*sHL6s$L$9?S~p z^1{tBo?rY7D_mUkx(CIu=ruv{G;_zzC&x=qtvq|{jkUnG8waDIY1V6Ohdv@BT5NoP zOE1J{>xxFlVu#}A8<~F%>lpy%^g?a%lTXOMV|ZTUS08Ch_rQC~J$z%dTnzZZrz?&> z4+aowi|6>l&%D~=Gu1yAvuagt;K7KVU)I9U$e~iaKk6xlEDJ;i55USQUJt77tzHK( zEs71_+1v^VF>|sO$vd6j)oV+)$7Ck6b(=9P(@` z_8h2Ri+SQ#_&Ia;h{N5aBYS_G-y#7I1_yZKn&<{2A2(ox^%!y0L*o~2jvYgh%bmeA ztRMUsr#Z(nX=r(v+Yci61EF2zJfRV8M=Sgx{un&T|7%-xW;e}!HF6B*r6rA)mupKv ze>G6H+W)dOUVeS0|Eo?7!G<`GQqx~iLuTMEhmlFQY!v`z=R576#e@oylEDM(jlY7R zAdlOAgNJIE)T_v(a^pdH7YxQfZ!p5@xj*jttwMg6t5-$Zyyfj|dtQh9MAxD_bKAO3 zW0+mOq4g&NYULM_vr;`BAy2CsIw@q-qao^1dFLzkqXItCmv=tLCy0J3TLUC$Ktepx zwtkcyoUZZj@mlMi?9eqF+iHE8KxcGd4Ik>4TeY&}0I9NTh716iz|AISrqHz z7}tcNSHu;aVHQ0=HF5@Sk<(Mhujvu)Cb3bTY&0ao*?Qj`2go8x(ns#Xu&I z7VI~ncdo=I>cbE>dk{|&K(KwFEXSTm$N0Yjy~Is;glRP*8B=%|4pYj<|3We}^cS1H z`wMe|Vub@U#({wNJW}A*mi{BppSwy6p9Ys9Y$aMOjmT_?5?DpRNU74Iel>B5#&TOE z#W##{oG8wXhB{^XhybCAHS`48F-t`J?5t)Lq=my~uPJA;YwNQV@;2CzJ z-~FZ^DFgO9es?a<#?}JdEIgfBwP<(cfF)s7RpxWbRD(z(d>-^pu-I6i!EE7F<kz@k_m-O6(bBpf;fuNC-{sqtN8-W5{YGx~@O7_Sogb)ZimO=&8+uIpKo zYuQNz)9AN#)UUx$A*qnE0`jiMep>ke(cN)u4w3h-rPNr;f^bJ?Dn0G{?@TP=^DV%R(BJR3-_o)!`S#ZZ(`esky5VWCdn)BEplo9m1AlTIG(bPEw2jvTEHpEY?LPE=K;R zi6X;lFTjr=IpUc-S_v|&7S)Li+Zn8Z47NE6pK453?~xKi44=SXN**BbLnamDR}_tQ{^}imCfF}1G3GPyo$KmqO~~K+ZFUG$ zWQI#{kqSrGP(wbpFGj{6ISUFWOozkGJ2f(l7eJKvI7LYum;0pQt{+W`TzXlSf9b#T z0=Y&~H*it{jcHW=8}!1jziWMmbWf|;-_Y=+Zy0i|iws&Qz#1zU*y8{I{@8z@I}Gsk z1JCHLiO}2*W`rxGSKt}c4N_@xvQg0=ZSH5U6g3dPjY;Q8pQ_d`lwKD@FBD=X6X(=h zXB;CvTW)f0)FKSba+R6qNh22f11^s6JZb2>7@7%SokGuW%K5<-uLE_dqogY!w8YIB z6Xe*z6DY%ALY!ln0Cf6ixiwr zWD$o{xKbcusG09ip`&I;GCI_G+gKt3XFRO!KZ<%jtFjxFU``y_iVX>p4FMj>YY)~*jRIzp@&OE5s#UbKD#CPFgOW%ehyns zrK_=_RP|$7dN=lakG0W(`k^}`QIV=2G8bqmjZBPcGq%iM-R#t--7g#rCn}<6bwAg63u5Ml1NTjag!_jx%5`Wn@9*itxoK{|f^c$(#}h;MtHv5l@D-L1{= z=OMT(cfHoSgo+G~%V=Gb9X_XE{y9a#-ciqReDs>TR6Hzakdv*S>7H9n4QUA8fXga9 zP`Yy|ttC_DVpk9L5&a_;bgj&N$7UakK2*HqjCX`CUiDgN09jPpWFfidnomJv8Syp)vFCTWX0~@O+8c?OYdAFoQC!Cf1E-Vn1!jR2*vnSRCDKr^ zRxhTSrSitpTkFRaGUpV|F?6NEh$_q;kpGx-61l_ZB7tGs8>fbnS5dW{q2-O$s|OZr zG+MW*r}6bO7GLIbdE9gBM)(EB2n0TFi~p)?c!$(8Z z-i*3Z$T15HNBa++lF-fX+M zpQmna$V=Szr|f|ke8+s>_WIcEMMtFsFLiq_LJoZ|_XO+Z(bs z?Y#r>ks*9vxCbC5bc{g%Twy`@dt9X;n87lF?jc?WeO{7zd$tn-+zInRvzt3Lb#sTm z)H``T?16~L5Wa-=HviZHZyl~ufS0npn=^0k^8|ceWH&f1b%TRV0G;?8lzDq`{Ad1ywvU83)z$*KI7=) z8Z@HRl&I%&`#K$coXA#^&4zB|^B}uU$bb7fUHxxBIP_S50zE7qX(8-sT%|x*O8I;V zTl!w|S+m`>x>@ zfS=$h1%N5}`xB5o8R9dcy#;oAbM~UWlQVBGQ9l2`Zt#HA4W^RMuR`=>2w$T7`dzt& z&|l*!1wvEFuX8hRk4_pa0U$|=a1#FvLoLQeJ;$--t>GfB)+=|5>7aqtilxBz;B`s% z%_U;m6hLyc;!vT{k|Tr*6;Y3uJ(^LU4?jn#Jopg&7llFxwp>>kJs{K%xszrrUzt~t z)s??8uM)dO7Yvbde>2M=5+2no!CiDY&m6Zr9^zbv{fmTL-d@c17O zkT(W8_Q$?*`7SQW_Fy_NZWrB3JowFNpQggUGFE?oJn+9WTt0vMoU0tJA>}c=FbVgk z3+@+zD+3S@P>*Yb)DI zk2sFmhn9W4=eQVZEf=Tq`veEGb`BcrhYA1HMx0saCsJJ|zLHj~Q~6JJM?H6?Xv7@9 zVOAsGv5~jf2-3#LsQd;X{t+~Q22a7Il1njND}TwXA!1tGcVr^j8U&GV9ZOsPAjYyo|;}#jj%hBTa<`vXY1qezv(l^-m<|-_kEk}m?&#@>T904v3`HwHOvhPrmD`K{!gml4* z7-Ec+b9%h==!@!#BZGcTugR~jXebE&_$zNdmV~1`v+O@dBUfD*{czTR+$x^mcj9a# zS%nqK{SM*|edwIY2q(%{Q-(4I01L*JEOjiY#Mb*N99%ngY5Gqip7Cf2GsxH~i$DyO zOk6sYj~xEi;plX#fq=LK7szE-CFC-$9{Ij5w&J0LQmC)S6=MS~0@cMpb@o5v`g%Kysq=lT zgKEZtGLUK(qvzVuirl+VPwfw5Fs8&wGJOuhC${rF>X`y|X&ZlB#c;PR^jugHRz0GT@sf$Dt$wEr?9qZD5ypTEtK}FJZm)wL4ITXexdYC~J>;21xa}*4r^! znKNk4(k&A-O36neyI@tUE<%u61YFlKn#oj{{=yVapOo0>l~D)xzz8;?e~YZKHSPsL zLi3bG0R%F7wNcFOMK~EpaH;5+B1>-!^&tTGX=$tooE_@ahG~ z0{?O?T&C?fGXAWZwrHr=_je49b=~zf#pB41>D?xUWbKACtPFF+a*Y`lJpYg3fm!kz!lw>o+OJ>+kX7g+$cRR|1vmu3ONyDR_i7!-|0xOd)EGgQoVQozFo-D%Nq? zU0boz|2F==n>b?1)HG)UMhdgR4tUsBY;yzV<%|N<__5;dOnH)KtX9i=wVvo4t7rLK z7z`!T#hAyjpogw^6UQnR_23+=N_>@iu!fN@h~b7)V{7$SK7ZODIpsuRUw`|wNMIPk z;?6->%WtrZzR;2%;G)=-2X*w*Twn{jwrlh8>-^y z`WCnFt-jW{k0}v z@Vcs=qGKB?jEpPPMvW60{3ESwoxW6A{V`Sc?V})Q=B*$W5)XY$FAoR#lI7uhgKbPn zPnB4!M;~MI@aFF2;l!etJp2JZbjZVUcC!b0DD;rzZ`r{;Dh$#ZZFg{G4N+}LE~Un~ z+sK}ncx=XmJpGL9f{h>TTn0(Q5KJrHoRZWH$;X*E1hqlgLJTS%=HMYSJI<&=lvR<6 zvI=g%krEVJDgx%Q?2s1&-u50#!cBvqWn?#E+T0ntTCO_*F0vm;Reu1>r{4^uhKe7@ z-OB0{qFKno-J`z-^o&5Pc^|h6Ag<6Do1&F%^btbUqn;lfM(SN_EhGGVXdVP?0KuLr zR7G5W?zW&qbXaj??)m4-Q;=RC^ov*+h{_Ze@bDW{#dLTx#sUlFF)Z{_SQu_ji-D*_ zceTDt%7?|p8L|UC4rjan?7D(aF-3Oa!OXBPZ^Tya>*LYy3|%c2O-fA_GNq{up`Niy zi(FSw-ZEFA5dF9m{V-98yP512lrMzVQthhj2$q`TiSvBAg7EhvE&iuG z#i8=;(EHR*E8lkCwY+U>FmL7fsL3kPUP-x{kmcfnsxCk>v$avr)D#GCx1B5oPp!;N z!bLJBz~H2qn>9tjJWanWODkI&o18LU&;n0BLMCTBN`t*lOE3u1&(CW{C(qAQ2c?>y ziy^mS^YcXn(RZV-KB=~dioSX^K6K2_MME>0pJu2=ox~r57f24(CdK{vtQ3F(KiEOm z4pj`wYAFu3$T#=hmg5*Tj8Z9|H^}tGmKhFesc|4S=q#e)RLU0Uk%|F1nkGHMGSC(Sxn#Pi*D4cvnbCKxmM@YyG{OL#g`&P_)4;G{WF;0;cRu3 z>sv#J2MPK-G+dv*(p!*?1c`+LSH$&`f0Mj>V-KY(SYf@!3V3$?_WQyyaJzZMlpr>qL9^7ZC?<3#pQuoO99)4#Cf&>Y{( zaK11a;79B!bO7?bczWk2;}XKPljC7`SaN*R4{Tb4)cm=F*P>yJolKW~U+~xkt&9f@F`#P3C#r?uT*dn4u{n1qma9GZA--1Gvy3!CVIgn$ScZujq z7(cOPuw($ya0L1*QNZwdn4xFJ;Z|2x`YU(LIu!c{+%Ftrx8ENpr%3xdlG{%;9!fuX z@esO1utx(Un^Mbp9FxNOJcWLAO;6un-2L|_%l9fuGG+V2y8nKUhyCy7d*oE^uKzFg z^!?-Ae}7F+-(TGQ_b2!C{jl!8-*YhG&rd&ootU}ZjNgkregAm(-(S)U}s$f%E@08J%4mitiJbf-7Rge#hxk~H>vBp*HPasQeQW;c74zFO>oqA zYEShY*K>V)4vfM339h@H3-2ac9Le~5!cpJ-J=GWKxxRCy4(M0u_>13JM{<7#_4Iw8 z?!SL$Ko2yq+G)ilw|{R>--o;Z{#^OK8=CQV|NWqzzVFlh_wN+;z#mpa;kV~N3ix~a zKHUBH=i+;7exD|Fb%Tr1&bm_1$G(pGzH|2g+jeN|ap<;|*rQ0|HO! z)3^;6MLmz2zxT^;oT`BRj6%xs>Oxc0b7gW_q|#4tONTtwkt#W`s$9JK^CA57depU? z%0A73JpAER@<-bj^bJ%lyV95m#S&F;#Xrtt%<*%;_iq0< z_}1Z;@U1j|UzXp@;JZD!Y|rpL0^znl;rsRg1)mzePjN@^J~V&dm)`{NZb&hFS42I} zC6`SDUY;`AXlky6yh;n+VT_keH-2e`Pvn_n{05^}q)!E(+k~sP`5P@DyqUrGdUDyG z;rkEd(|(0-GDrQUm1RiB~}2?L9zg7-9lHT-zrOo|kb;cwUg-p5eJAMY$f~c@P3? zf5G!@zJkZ(f4CF=C%-*|^S2b`QiJ2*d7B{T_8y!J`Q34G@C-5G`9X?)rXsgbduPfI z9Ps4qPk5%GN8|@2L+BT$Ne8ihoT8(t06IKHxzq!Mt>PAg8xBFe_X9*vRSJb7Vg5vV z#lbTNw(HM#nam`#q-zMTt-pmEvI`_Xy zREmnMc^pDYB1*({>)JCEmg=Zcn!CD#E=iPm-FT~2e7RHc)z)C~j@GLDXb5>s5Ja*D zTWN7$5ar^Cx-X4kAxD*0 zT8w82UKxq=(}n^T$=T>I1jtfFE{OrIs{M|dvM+@ zyr|;eMDWUy7#sw}Fi|_Qa7-;mlJ-(0X?OaVohX-hBQKdvu~ANEc|^m4fhLQ2a7Gr% z@=4UQ9UL2}Rj~-05HaNZeg_3)bmuD3Yg#4!k?MjR^@_}5Ez6fbY)xS zrlya}*BkS_J739mxADy4B?kT&tMcg$N7#uOjE6Cvip0o^@U8&=?bLyr{=(CoLIZX6 z4i0{R?aDhCs~EVh=<378feL&)Q*$CZKyn=-fk>)~ivFq=1 zafz)h@#2p$3f);mLOTkF)R=F=jrroF4uGnY*kRwb~Ya}TaC1WmE*V&;6Y&X0)Yur?C|sm#JY2_ax^{fMh%6&ufH z>ZRG}!tBDRC!7WTQK7$b6f=b=?0$)s6m~D^ZDV&8n+ITL1H0|Gwy?`Vzi9xojaQkjA z6SqIq6x#X9Ms72ayW%xLZXn!Hp=#7O_#?IX#v2$}V%Oc)jDDxWaOZTqX#KXod;UM! z;5Pg*E=7YTZCTJ`p=g1bb_%(B+F7dkMbiBNR}AWdOtFZ(!a>}~FqS|?7OZ8zbi%4d z8Ud@Of2ygpQZZORbD?P=QqqzGUM@c4WNWbg7yF}i2E$z);O{Oh^$4tuHmnQ#rGa%8 zUSO#6+--E_C}8KZMKzHuV2=f~zDV5g=MQ=5;5kvj^T5nB@Z5wW?lXa>mMvIg z)Ezu;O^Ac1<3|=eT{xpCB{6Qoi`HEY?zVn%QH=cFa^ZEi?at?`I(OR+xoC3FXZpy9 z)@1xOw+D#(r-S&eUt|XHRJM=_#Q*ZgLHy=P7R2m*N{F}N#po75V!_j3Y|rTdp8L~L zj3q&jWZd`WXj2<;L%$ zNGjJIJnzj&Gd}Zg&kUZ4Y$22R^F~b^Jg*G5;OWHbK}vqG0WU^xaKKY&y!%}b@LZk_ zoEMBul{I>sz_S8>A-4PX1WxoPX@=)Nn1`8=+f(0W z2+m2>al`XN8=MKbX@+MwUbtWU_rdPgn8Y2;=DMS|ThamK$q=Be-((2Tg;j9?oq2*a zK1ivUn%)}l0xD6yQzg2T&2nqrdKoVi7;o(9 z0gOkcrUBzA8gUtz8dtJ~Ou+cs8wbY6$0{&VTXBAFU_v<9Pt8C`sP;wj%#4eaMaWi! zW@m+twx7dEfg`CLw`vViiUkK?-^J&-SdazxM~vkwT?g@J=)|Cj@>IEa*v=(dTZx4-)O!694yEz~m*wZ883^Lbq?KH@8 z;O7{=hq8RE*kv%N*J1w&5~QCCBgV&czE!?R?HFUvuDFV-7c>^YP=;madg5I`H2MQqbak-m^!CBf@5V%po(P~*=zwmjK|FC%S`i#C3pnK1Ng1c*9IcW z&7th1TgF(#M|?J!wC8Sf0fdxO=;H#@#(fLbskyY^D`GqjwBts$!|-#z0LKJjyJuk< z*rvzX?TNOmz*dKLjHB3u1GeKGuxa6^5@ECL+E3%a8(@R?LqL%dyaVt;nYA)>+t~PH zJCopZnza?imb24<*K%`@Lnq*kV+#)OG8(#r;$S;#4P9o_mZ1+RtI5rv&XRybxm-hiBme#_qLp0b}<{ zxqz{Iv0T8|-GD)*vAf~FJplh;k_<4Xer8 z1lp75rXktSZ_Es|#h-r<(B@YE-Vk(!LgoQ>t_ zK>I<4puPLq_W`PvUH(EiaY4QQ+I!ZeH(XkOz&HryR(e>x}4sGTxDvr)_W zKA^21R=-p;lE!iO6hwL@&s z$^b!1HgX_ABTyEHEHs|}v@8$t;HQoa+66~ikX;2^Ipv_?;6l@gjtTW$+k1d* zbvk`z!@SI3`vqIrTi9m6XB~rW{t*^z_rh#W2^)`zQ1)~Tw!?aY?f0jpp~(NXWCq)d zAAb+nZh|*A2HWF9E!bYpP6OK`c%e+|U*SsW(O$OP9gn=?tTeC{WeD4QUEc$?C*j$R z!M3*8g6#v$q?F^f8ZQ(?m$SX@Ac_XkKs0V{W}{W`eIVKb-);<|uZJjzQXAYjE|m86 zl$gDZ9gzL4ZHNwY8!K$;u~^$j#_pWPb^*@E`KM)UznFz#^KnaF#Rs`rqqDr&zup_) zt@IUS=^OCEv1Hp|o<)k&8~UNJV*x&GyaQJG>bP&e-luOCGaM%HMNi1$t=a{)p_8MN zZWq{je_&Cy3k=)8sE%UumvI~nB((!fZVw;*O>|Mza})a1fnld@m@}s@VY>7mxmmhF zD|4N(87GM>ik&XXj$zxA^Aw{PKv>H!)${>8=nm`HIU4q+YPqkQflUD|Z)3hd*FSourlNZ=$wxP?zL?(i@^)G^hBFoxjsc|bd zEAo>vRdSXK%jI2&S+NU4NTP5FUSq5}7_(B(&G8Mucsb9aR2w@PPh_3fq4w8|z(@!5 z!LPI4$Rq@OOeyj$&H`HtgohZTWfTzWhI0)^J&X-(VQdTUK$TXSJW%wge>o@`_rnwJ zhb7z(O}HPJa6cg7zE8q^cEbH`Oi7yn(f(%%_nis%|46vsl5oE<;eK_({nCW{B? zCfq-saQ|S!{Vx;lZ%eojC*03VxSySHe?`Lm#R>NpB;3ctlRzIeAmuZCt-G>=lkhhu zcsl;(h7fETQ&K1dEbBECSvi*f83_V6AZSUE4_QQ> zo*nlrdH+|p?f*{N-|}uZ?YHgE_U~5kch`P!f3`nK!T;T59CrC?7Kq z1?2e>@E50izZm$o?@fJB=aI+d(16gN+fd%t98J|6JUtUp>g+>8-rJ+H4-FEoSDmF2@yeLlb}ftr*yU6Oyue!gu~0bd6i2fL^wl`q6e&73&G8|L z7Y=xK4{1YPFu2?=WLGYAx3#EiA8vwI;-N1G7Z>s(7Z+z?d!@g1X&x$=yA~T!IO~0%W?Zdbz6wHef;`T9D$9s)MZ~Fb(arGBO<;W{>jD( zpG#q%(B8oTn6NUDo6ru|walEoz+ZH0I{bC~GGqMhMSHiVZEx;=X>Ul{_I|uy+WW&h z>G1jKJ^KTnW74+wyZzGM`Yq|;o4#M#o1V74A7tL1ZNKMsk_$7xsIvDxn*mJS9%>c(*fytM3iK>i6xocs{O9?eweBI_1#D~$S&ZR(6(QJ$<~tF-i`}8qssk}CL~Jy zEHucNB8b2v0#sqA)}6lG7=<@X*j~9jIAu|7WD2q~uB&BQ#n*#X;HU?>U+!PIF?59K zABipvRIbPI&C&J%J~#pez;<8GuG|p(7m^SH)qed|<3C7=psEZ#4I=5f(T_j#94+hM z@8Xl_UQ|Xs)f>dy@$WbB6Fw9fn&9v_+jMvslitoj4zR&>chJ#+m#)jJ$S7KoGA>Kg zZ{?;CEv(BA*nKZLwCaj9{iafR(JDXsP37v2Kl)7-_#1BJUsN~#ZdR9ztK;O#tXM>$ zxxq-A*U8p8AI54wl^lD%^y3#YU-0=`V_DHW)vs`Thd>@{h>WRFoyGx7TUC+^yS}YH zv%Yy=)ww5r!8);D$JLx+?Zz9J!U_HYoKw0^Fx<+QN&ckmZ*rEy2S6?0CWTNFeF|?8 z*AeJPhu{r!5tFeudi330?AuKWUZ?v>(J$vOz=k($w^q3YIiyLdBX@GYzCOO>vFuXweYMY$*mu%RlXmBjwZA{1UJFrnEpZJ>W98ag(vEnL>N){ zqt=T`zERW|9;1cl=9|O_jJM3r&O%-{Uv`#EcXK>jW*4LVEy2D~&(@8(Su3k>%OP8C zzb~}jUkh}utir8&FZ4Yno?nUb+k_s0c&m{wGvYaf_#!jGIiH)z^h`Dt^#svnsLD+k zbF0LF*M%+8)ta0SI?_6pVti4KgI94` zZas2T08CfNRvt=*sHX<(V-XN#9Z&jNK>zy`*}>+JA97%>@5Lu%mHtqE5Z`#P$M=->56s*3$!L-ej`?$efPGeDJhkU$r6jB4g@^0{l4;ka!0(syg4 zUTVR^V5Jg!_^vqW$tFGndMn>(VJV>LQ<}AKRWqfZn>*lGU(~aHy^J)p?={gykFhus zu!BsnOZGf@6}eVxnOmF{RQa>Lc(!tUF)FF0xs2(ZPlah6uJQr`F|Y|nT{CtEvII*Ph7M!FypRVLwpkGK2$af#i*#r^x|^o@ zAZ4nTvpyf{%a;0B+28~_-F(ej@S6G}`-`ot+!Jg02VgpH5%U-c8)+e@P1>l~u!Row zy>srvCWdx~w$NqCF?leby(!=d7gunD4(-?=MgH{>*<1yf>zM|0nhS{&ep>|B>%sNcTRZ-mgpd{!R7% zKk43|^r6)MUAp&osrQGhOfz0zs`tmIdw-@O^^Z$>Z|mz0e=Q`NKDnM+L}5VpHBctN z8?x*bi2s&r{WMRi{GeM}jpei&pTQeTz}fZ1$vA2|6mgclG4AG={=ZVR5akxZx#p{q z?2QMdghPf|ZSfaGynC%f|8KpZ$yN@1(CncP*2U?Az9w54Y=GKhPp84 zFe0g7+QUyPyY-iPk!idrTdMtbw8gDb%qLEHL}7twtiQLl^4unrLr>vnm+ahNuGM&q9yX8=>j^bzV7)P(jsswdiwiVD9RD(bXOO?L$cBdmpy^Zh z2P~O@5=a0g!})lVJs@Ecm(P%0*`>&=MooWJE1QV*uQoO7kmbLDuSWIP!VA?ScK|ugE42C1 z;2{k1D|?&aaAoVY+==y3Pp`$8B{*GxlUmE20+L&%h0$nuN)bRGNH$~`Sr`B`BfM~-n2nJ(=Mz^l#oVf}r(E28 z+UGOwqy#dx5s(Rdaq|s{0UYzqg6-E!_X}*9%)pb=fhI7@d`w=WfIUp1D-M{00?KJY zs)F2|@UqC=#;jm}xS#Q0%U|i?YXsDAEh^Krd^*JNFceh&inR5MYk)$%$*g=k>ViAQV6u7eS%TXu@KEju_S z5CMOWc#3YYxy7VH5PF?FA z+W&R*53~BZsONJuErJSIE3AcLjdg>5eyoO5+XDBJ^&e9o5d`<7lFUcldg~(m?LjNq z&?yWz{FKFT|N58jsg>NQY8qqLG>bK**GlZ^|C;D0Cf!g)X-ZI0z9DviD5xl%yi8P4 zAZVJq(@#|WEkK4+ff^F(zb{sQI92^IdBX{-*6~k+(vlO>TK^($^8C=L(EIYWvZXk; zWiF1jtb#1SqE-9cANpssYq?9={bI<5LSsEmGt7%8ErbVNBAQySEzM%yIq>K={{pM2 z-TDITrwTI-6~ZBm|E2hk9Z9N|O;1?0^kOaMKc$Mbeq3)mC?ypXm9Q`-`Ph7am~&H3 zfpmJ}_^6!idW}(-S&;W|QN|W0fcJXQlSZ`1sY}#h;~pf_JIGxF`o$K|0R5{in018p zVz3@luK=N&{nt8jz)zzc2noeM$XDxy`CjTTh856Hs!``psxv2xm20jjg=-G$BdjgK zH^(Z?`mmrGxvl+M*{)EoT1F4QS^|m_#m7E_6Z94*qQS%~G`+7j!-q4L3Ja|HiuI;| z?yo?KH!hfFGhka^?$2GVjhaVI#eHXc*jF&$C&$sul=d%?i;k?mFbdHDrt zx^p{p9CYV2^kptC1N!+De)y9CfgcIyVu!n}$qt`WF#j9@;e7QC{StEEiRji2|3r9l zKDIs3hdOdK#_l(BK>}eeuOV(Gi@Yveg+{Q{mTp&oJqWS!(l>&#D(tbED5_b~et>7J zKrs_V(SS(S@=Np?x7Dd1d z?r7ay-{AV7QzkKW=94le*}C5g<~FBy)N}eXGCik27v2=au7l);AuwHS*GV72^)Pzv zLCnmFMXAp;vgcr2Cu9C4iH9@J!{oQa;fB6I)!7*ir|=)w_w@K%ARr0;fK?>e69U^i z9NP9&T$=#AEDm42aDLApIB}CuICn+ghe54x=8)2i4g5}7}~_J2dBHUDM3TjBE* zCq6empM=je`l&`1QYW0_oKnV*3{^=`5x62tOI8w8nA+;T5S)A*&aK0C9^G~I3qVD< zdUzpVntCN+f=&82xGbC@STZyMtg5>ot9hxrl%B^8lvu>(ECULO#M%^Bs&=UBa&s_FGH(nBNK7 zazM{kBwE=XEC7~p05vs*(3jc_oba~vNd`iS$RD=3?U3nc;a&j8tESN1N(4} z{1YQnh|GxR$G=r7fM(=Amy?CFNwW|T*|+s0FxsrpuC4fzK@9_1C!KXi)0N=?NP>kOU{+RGx{h$S3)wBN# ze4qY>SakLdj%5dDXADz|ay`}$bF-8Ci z0MU94c^qTLE|x0`h)Q)QK-k)osUNC%DDcNa@vT~qvZB0JG_g8HdEJgrf^BrOH-c@zIzFMfW~ou;3u1D{X%TE2Y;+Z?rx|53|si8Y!>hyo^645vs_u= zou}>y9>!%Wr9&Sr(rVtf6SdxWNux9(aA(D9ZwQq3%RSe+`POiXGFX0;#8oi z_U9@8Yd5WJ5yJ7@exKFa%BNIoYzvCaWVD6DlXJN|VLEGL4aDH#Y6ysjUks5i2n#U7 z=-L!k>+7=O<~hu4wb-abtDr~3PtjWs5-2uqMTY5EQwkm{-+P^H&{AYKG9-eTGYCHm@Y6A$-Vtty#Q@(R<6VQV zKs9F6#mcqd5SANi1NPM#{}rQHu|5rq2!b5Jr|gKFJ`KHsJ3Qi!>XiPAJR%tH`>r@kDaj4VU9kCKd^}FQY-lF_8sqV|0u{#a zR}b7Z;J=N>j`=My%+;sB+*7Y5V(wfA=GHwD!yFVl?mhK_I zI{{y{=m4W7)8Z>e-`&ihyWf)eTK7w92DLpdGpNa)uLpn(Gn%g^KksHSqYoD|#B;&n zg4y{h%|NXK+>*6+0cCKqe^ z>{1$mWqY9b%|Ql>r5cw1qMrVQTA$b?dkiqWsv1LswA@u7b_%>wOd~*SN9ACuHNw^} z^+yiQt;Qq@PeG}P<>C#-9m>bb?gRK%X9!=!_4Xw=n1w1ZH9W4+(qq$;*EFW#-TpA= zVZ4TC%NK`#gxbGqhTcag74RNq)A8sB%51k4HSlrh5S7g`b8Ja=5b0ll7ja`O97vB~)Zh3}vGU3wp?`KK<^Jmz< z2Ok!pYWDBRg#NX5mUiM)DQkfM9fNUg!&Z{kulCCu|~05p%!yJB_7^if4pIe1G;~C0&pdbJZFiwmu91fXI^+ z0uz7-04%n*RtEb&cQYzN?bS3KUf05KB!sU96j#GFa5V(P)es|BW0|ur+V!C&RL^F( z;15L^L?0|^@arqc=OkN{!i-IyMvjcH>pAH*YMkme3@*=8z^7Sh*$MB4f{KejLGUv_%B{x8@k_5UPo{T2J9{+zV+ zd-nc1gv{aO2@{v*=X|IF|93H?t>ho6Rh zQvXlV)?c_!>d#4AfBU2R0zVI(mJUBn`=tJ{Y3ncEC-r|&o38&G9@!W8xgl-+E&HVY zBhuDizEA337D$JmZ4d7Y{QM+s{fqWV{W)pt_wJMWADWmBKRbTAFYq%qZT%1IllniH zkgorA`=tIG($=4~PwGD+ZT-(Yv@h_#%%2WF4SP{P{Cy08i8=2P*^m9k++S{Z*N9_g zgIWZ+UAAL+zHYPJeka_?;5hpKllHSiiCi;X?D;^%-{jkwogF;Qr)Oi^F!pg*izx{Q zV+EtW+@&xwXS(O|I8U0Ge9qXi*mLBr^oiZ$Wk<@5VcXFywdJ${0Uh<`#+a%GzJ?R- z@!Mfv9;c zsHd0%Vh1qRVITV)vJ_o@HF&S`zg+KrFh z^qaX4Ka}UwFDYKaAPHkW`;8^PMeHIBx`V3qwbh8{)8F7qI)d(U%oCjFibvJd)%V}#|69;^n#-Sm_EH)wG#NU;IhxrDwhQZTKTL3y0_5R+TewC zizt+3p-;IYjm)_ycse={f79u(GuKD!n&=hbGC~j;HW8;vPeuMBhEEf*2%j!R_;fi= z`irG{Rf}-p6udGWKLT_--@h2KIKSycbSR~76pY9cMs27Haso+9-a&0;c2wwV3R2aA4K2d_LA(lDQ+)` z4NMCPT4E(pJ_MZdVfexx<;lm+^4ZDd)qG+^0pfEdsNhvBFDciO?N6oaP~xM(55apu z8M26lFnlm(zm8xzdLZ>ZW>CI2LccRoZqb1^QLB!O1NWYVLbD*FW`Uv4!gQF0=`ahz zXyVN*d>*xp-t_UoZKqj#4dJp&@L$sVU2G;Cw>+4i!Gp;SHSl0wARf%A@L)FNht6<# zFtIFk5j>bHOb_NLcrYmd;hM&d1BVl{=0?+rNw;Mgj?1n==jdIn$=9-{Wp$nIbX?Yh z=vu!^<+yBDj>~nDY3xnODHdjQ6T_Ou1NiX(emsDGYrX|Mz^sC4vQ|=W-Qk#JFeV@s2W*AzzEtkORcznh91>&Gf}!p(8%xOIH6vSRriOd79j}s z8d~WiSI~FdsFK9^!&4UHn7r#sPOOboJqDrpw@G=(?=!JI>X{a%2-PLnn)rafb$t>( zNGrfepI-}3HeExU7-|j}1Y;OUKx6coXEf@Aa&aO=zi3Gs_LboglJs}tBwG9eTMA1! zC>@A8$kI0?J{71Cd~FSao`@+PR#lsCM7c7Lh6*0^ z7B?@D7Q0Gf{dxqC5=#A1mEr>f&NvB!( z-VRokDZYo@C-@%wE5Y||H?w<*_+E?p65x6VkN!t||GXP~f02yuM%AJd-zO#aYpg0| zm#iUq3>I?rQab@(9Stin6FOLd%Ium7u`@f z9%tD@vCXBy_13U8l7!NABC5bDt%5F2gbma^D^+e-Dw| zx8;szLbW)R!g)VB{vOOblq+0bw*Oqp5TzjaFczPtFV`Yfxn2Lp-<+=Z@i)6`3yOEW z5kmsx^}LU_v5y!L4Z@6FU;)cYy07FhoL2iYj9=@vZ^J(D71=>q z*lNbC-B&`ju6n_JCENua2rDMS-^a{*VvP4(+3#p8X~&Nl26!!aF?n^}&Z?4Z_x$_u zMnee}WC<|B7xN173{4hCJ--J5AP-kt2`gkd{>pp(PIW}5eSVUeUlboT-5}J2J~Kf< zol3C=_6o7aK6C6=wn^8sTNg^-vRfY(kiLWF4Th~1Qm%o?*NMF+hF;Y3Ap4@}x$Hf( zj`K=NeM{)!;Kn~MOAGOq8dRVr0sawx+)g~C|4Pbzz`5%CL?e{duAi>p_G#m_zRp-&JlR(hWHUk)_3pyl3#FX z)z){(RWeg`&jg7EK%B+UBSqEK5Ha&Mj6En<$w9qQ&%dv=6+V?tBYC|Z{yS+u#&SV| z&{DP-S>LdyYmEA*Y{SW`>6O@Q6FfSmk2tpCL|f3BV=E5AZDMfEWQOg}{XXrR^0Qd7KJHwW6C7Qw`*uN(8y<>AWsec{)^cMV4n*_rT$#-{ z_KCZU%Ww;h2{C||G$<;_QO{p)>6i#pYDp!OM0NPKBb}X0_rMRekFZ6Gk0nMV?-dECs zIR<#@;+;|Gx}85Te!M^z61V2eC;uryhc_rWpZrCqkQabtd(J1n7wyeW+uo4O+mrcP zj16sw4A~kiKJCws;`?(^H~netEbrur2j(=9{)yJ5{M#R| zB$c}^BVUV5Du+J+@z1!iecq94iO96^FxX~?c)RrV7j#Jd2dMgiV$`4StpB(k>gRe$ zGC!@OOQRm6J#s52D?CqdKfBh8PJ>9q)}=7ceb+-uQOkn-#q>BoA0;jm%IyX12APj> z09@2_5KH0BNck3fnZ~Jb$cSrz(vY~T^L$Y78eIg=qIcG_z(53%y%F^s$$Gkw6k7TW2Mh_jUK}7~ ziNv^D$I|4{UGFwK z=y5Y{YZfV@i)MP)j40z93=$qm@i+<1|$H# zERab0edLMkf<1zs_!ew za^aco`tA-}0bhkuerD)-XXJKBY~~eMEOWB0WE+p2g^ElA2m99v2*#iL-Z0`%m28&R zt_cL5PICw^<>JXwaagVl~75K0&@Nu-e*zBF5QJCAc!h8(L$k zC72oJ_k50Jvcf>*sJ!vawg0gF%BngLId021zIsZku8zQ=$=zEEWh-^m^I8yHYh53e zOmFH9pmPI3cXqduSG%cf~QJW012oCT;a}=c48J)v9YLUBaY`C)tK*Yn-9zo zF=9MIKH@Ek!2w|W;uu*gKLna{DI{kTa8lKRA;5JbR$_toMt-q#KJzkhb{EQxvG^Bi5LhLv z@*0ntPgL23VuKsE;h}U|o~9mzLaBUV34hH&@TM6A^bbBFa}cCyYY>Vt2;Y>eL8xa% zo>OO;gTPxN;~sf@Q^*_yRjN^yay`RuF$gSV6*gwQ7dHs^09I=d%*Im@6^ls~EWTk; z%9&_RK@O)(WIcbU4?8IkEbrh1`oe+*!e+jZPQt&t3)3gVqz-WvxdwvP0G`QGTzgJX z&D1Ri_u zEjEu`jM{2-qgwxbZk>veBF!U&6S5Ck)d9oMQ? z1s1Z%;fz&KWyp?sLw2lM!i+P&=X1r5M~84KNB~(Atyhk!C-A@!3=1EjW02&4g|aE? z>G&C7XV)~7+I3d`)9e2o()OHz~3}6fzfjkF`{-VU@4rI~f4((V$A&Ulg;0In}Ihi}$ zdpo&aRfKB{ynP96>efZhM~fnmqY2Tw6TZ5+KnHowwmJ z(U#1OTaOfc9|kd0j%M41k+`PKCdw7x@5<+wlmPtQCIu`>Xo|j5AdcR3*f+cYrwGH2GYid>hX28%0T%GDmDfENA%4DFCfeK!k%S(A^FS$A9($E?YO zebasCr()RqzCG_d+yQ`7CeILM1`>wMK z-Q$J%ud{Mj+70|XeA&`WN+^h=X1#7D( z3@8FZxX$}s`mhX;<_*w5e$7`gdVUt}Yalh)8 z%=;#4nyP`M&v=h|3Av3C%wC8ppoDoLNN8i31p;~_>V{e6%ae^k-!AXN8+o=25tkJx zZwcl$67(vF(3>j{d>gzE|AC7j(UBF<%x#kCxTJDxXu&@3{QG$o*4uIR2tIOdpdpzZ z8_3CThjDf+ez{JF9qldG_ffr|zRmy01DvKrqBDZtmp=~ZuON@@RO;p$lIb16;UC8O zppQX`TJ9>lGuqs*E7}k&M{#{r*_mO+pI3q9Odp~-r_hne()`@ABZ4sMg`#9sSOR_4HVg2ohZ>QMG z=JL6+mla>C5;rUM!L91;Si5gO^`Tzg(MRVhMR%{D-fHfjY}KpP{O16vmsIMjR7%Vd zxY|W>w`t`akz5mE6_$!su{Kh^D_Okx#!ULPac|L2T;fiy7Gdj^wmm}A+FxRwD~}fB zI*(|6`;$Da+(eCJy29=YtIF*wYWC7QlEtqI^NNrX0XC4&SMgi96~Y9n&M0-Y7vhYe z0%|-*Ve+|GWX0O`W|dSsA8K|@f9f&PAECv8s++QGn(w-y`)rRu1(ocy`bDa9TDv4v zP1l=;Y=wIMAv@b&K&h>yaH0TNpU4sAl~Pr^LKc;zB)?0AkfA?dTFkI|&r)o?Eh4te z_R4+!AxYU|Kh?XmXR^4GIthMgMm!gmVt-Kg0b%k#tBJzqb9Ny>Sii9OtFUv#X&#pIfP#C~8iy1rak9G_%{1X~xFB7X@M(03(@;C1VfIZ$zye`&U=n(#q2 z#lZGf!HEUJ`A4Y&xmWHRYfOBAZcju9JNzv2@b(FGgd-0X_nTC%a_d#bh0$u?Kat0h zD&S7l9QGZFnZ7;V(eKQ)+M6ZYEUY~iRP->Q#Hy(M$eebn8Uj@C&oTtoXhz;ZE!T{c zAAl<&<)3($Rg^Z4#HeZm8keM9sorcBL(!ABlo>0c9Xu^D4jTDYiP($|08b7j$&ZxEr~O&!NqYd$wXi7 z`|z))^~@e?vpQodYufRrBRUZSnD>s_H4KoWH zhGjokFr=|v&MDUK^)&o5TYs}Dk(X>Xs`Flb-xzlo3u%!#^d^q?)y>u{Iz21s`!L`g zeKd_sU=#?_@(OY{_QLgbNq@aM(i>*k}fQjihc28h(6)mlvAnOp@tU zY_PwWhQ`CUSz_RSBmJf9t0c&pd|E-O%VdpCNT zRA>1-%>3TakbgLD+wZ}Na}>=V(IbG_pC!{Q^8pBs2vPA@2z52OFdXk8Tg?c6=iq03 zw1>16g-l@Ppqq2D0xiKy3$udpIiAq`e?(80^Kum}zDjv1T0BU?%nr}+f=JWg-|K$? z&fWC?sH4<4tH`Xp@kAM*vavp%9f9Y%Wr1qeIl={lVJM3W{%5L-3#QC!H=Ydg3Iq6u>~r3Bd_yrn z$QZ$1IGX`xTMSSX!RcpK*a()w0ii4usB-VH0PPQei#%Z?5`+(4H&2;+h@*9NG*Qg^Ghpu z<8m2jK^2J>OLpZL^YI?wB}|QHg!g)pj{+4n18*w@4vl-!4cwD#_;8nTRiP3O#S^4A zH(25!KGkCcuV8?WEsT%MQ}UoUA@}+l*JlUy<&@D&bjB6t8L*TfXBL&JHfXonZ{QJ! zbWwii)2D3zCs+GeWiPAE%E>an3nrBYBK6cR16n#@MyjQLl8AysOuQBK{K4w9N&FII zLgN)uqXan5(O)ld5{7EGKUw^Pt7Z3;v|QTlchJKs+i)gy-`tWF}s-v`D=s0hMv})4LH+354o%>hXuTf+3 zyI^0dgU^bknr(Nmw6$3JbZ)v&eb?r~mR6T{>RNC=GlcB%?CZ4M?(=(?*9oPIb_4T8 zgITEPW-e5oZ+DfJAo)0LJj7$vV7)cCzk;0-(*~<6{ixcE)A~S>>UkA6?)7Ra!2!z< zh!bt}qh=r49vAVC?{0HTo)@yF8DoQxnTV^3F**~*7J;4VBh-OAVqbNrlN)tWhllu& zL!EPk6Q+_<9sUN>!weQD2xp)txQdDvh*Xm{rRgOsA+-lpvP&B3tT7+)3HGx&ngZz2 zQ=N*_qh0!QqP^5p<}~Clj5}$1s*ca9Crp7jhVND+7wY4;BlR**LYb%OJg_JquqdC~ zg6TKl;Y%1yY8O~GS7o!#GzLr5PZm-|Y=bf1^{Uyb&ydE%Ey=dIv-2vlqU9Xh7wezu z_sT|VDX50K>L%7wYs~LaaN-xnRY~EJlU)RH7LGxpMJd9NcnXPS7RTHz)qo&9#zSf2 ziPA>y*?L-8n;ft)!enRJ`!)_jCB!>HJO!Mjs2q#(zxs)DPGpGn%?)g zZ6y@kNNH=WnW&Pss!!G@i?6E@guYqYJq6ta>+*=z?!R4}Zub;v_r63v?GBN8jrqxS zY*7YX3kCK}5ejq&1=gFtn*{}c+H(H6xrS8!M_PmeEkc17hXPjPZ@$U3EKHFg-QOwq zHost3%OMGMr}X;}ETvyj{l3BbkUH?pIP-R?ht=<=1@v*Qe&0a9FVF0ECBroHstfIY z4|cdVTo-?T&i2lfx_r*|PAdO=?JaP(chd}4dq2MBf33aWSFC>K!}XuPz2CUt80{5* z9=n5k;E$Q^;bJMp8PD5dt`;Bp%D>d&&(F_xdw+1-=Wp+W=YQVzo+G6=?cEi1wYSf| z)?Tp()mw)a8ht*-Flk;O?%NqQp)~j7zwNA7{UY%yob@X1V0VGQ5%jar_q5}9&%#a9 z(F6TroF%cC|A>RxNL0~%iGDUn(+}B`)jdi5s0*3ikHRwzOCwhdii>yatiqN8B|YmT z7Bo&MD0$E|7m6De;_IOrgq%Rd680jTLrbdl6|C7riq@Ie5f;$9WS1=i-<>Q}?2v%c z>&@RzhlYx$MLC{uKPuCQ$|`-q#lGXMR)4aP(ZiAZrG=D;{nMxavpl^w+!7Pj{ym2;dQ6 ziRs%F?^SHXY{C{r`X?cT+DK==n0HAJbk*l618-|!_=@OR%Jz31b;c^PRyXm>)>1+J&4|9Snws3K zmKO05rDAvLaFz=!!A9r@uoogUF|LW-<@|tmNxwx^MQFp4tid^*X-|Mfg+8JbVtJYa zoD!8>9`dc3wl?E2N#wOt4df|?s!b7nl@xw$M&Xs4oZ>^2|HYubrG8OZUqks4q5ovc zAGXL}RTVwisle_eLBE;;zD?6M6HeKw376>-Pq05mrTSy(Nr2Duw1yTAF=)-H5BSzb z2Pcd31JWN$*Ls)IAsv1&xsMK+b}>IQ?G9P_FLj8}BcnfV$skF}9s)rw*ALXg`%Zsc zNPYS(svlOwG>1J~^~fg~q^Cz3mgh*9tjc)q?vl*@AkYQ66^9urH>HY~4nZZb#nm7E zDSsH{SHTde^68L{wpca22#WfbT`uB>$JU-=c zT*DSpuwZBO^hz`=ui5+6Iqyv4Ofs~J*-7G8;qt^dtB@h)ZwclLqLXb2*f5b1T~^55 z*N=XoUL915UGh%7Vxe@s6adl+?MZzW667R?TyM2s?KSh^F`^jhVb_DwTk=3kV&kJu znbmOUn5P{kj%{L#tQyP%AjPvp@@{*)_llTwmJ@u;(<3-a9$BVpR zAv^Lg5l-w8jMR{$(a%R?8)921t{`E(O^g=aF&ngv?Sc5!hr@bKOI|j51b%X|_N0cs z>O-NAiqC-miYyr$)+7UBJKr26i-#VjU&uM2hgmOr8|KPVgXMGu+K!;_4J;N1eFvsr zQ{JJ!o^16U^^Sf6gL+MNtS>6euu(`ToL9~JnMo2}*Mn)08C=@acXURv5{#X9sQQjh z-y!-T$`J}z2|;geu51h~SOq)Do76+W$8cN)RFILPM5Y4QN^k{@FhNjxOpwKf^xd~}@Amm-r=G#0y81!wPmKQW?lbA`F4O)5E6!Zog1HP>@ z&kL3lDyQ)DfN@Q>_-`!94h(Oa?FkHTd@wg4?mmiHV;@Q_J<1ips}eo*jX^_8Vq^)Rv5^{RNer&kY6CTBrUn}VzKt_W8OgcL!SdB1 zBarP~QWO~e>g%n#;CiB zSE_}2P5^YD+p6WhLA1D;r!4X=p=qmU=Ld$j&FL$0-nV>4f{9F8=4rM==BK9A}JQHN>mCYqX0QxgS&R6{RIHB>5MlUqowFDFqr_fv2j(JsdJC zzOX4?-t2JsXSHAN*NFlF7>ve40^jm!UE1Hq)9L?4`wLzYQRk+B?^qOIib%D8TIcq= z>hT%v*L$L}MTE5f*M4zqM4s69IcOaneuPPiH2pMd3|7<(S~H%drVkgtd}jGW zGRr@`bNQT;VU4}rR*`?}u1KUs6(8bl6x2uN>HUKA@>vkYclF`vr&a4cSvHpi^uS@I zKE6s0c9W&0Fub+IleZ2F%CZpB+d?Ak^@AeR$|@WAln?2aO;)#vAEijRUs<`}g{9=VrC%U_ zoTMV9o=i{660*ZZ1vd)pktUchX5o{#Id(rsM8xWFzlz-mxExRE(|rLWbkw(tzsZ<4 zPAQ?I4Aw+^OkQHNZ&%D4&{z6j$c`64N*+}jqmuE#@_?Ij z-DW8%Z^71Bt~!Sb?PeeszrifM=CJS0=~w#a?~L6jfh?vBDe-cGad@zrn%}x|cZhcw{gmkU!N8~^M zBWz<4RR?oh$_)sOuVipx(3gm9L|DGUisKZfbK(eH%Ayc_{-@Rg$=J?>Q~X|PIFu9n zrF^iM51t6?8^W@)<#Ic{9v@6vDVJ(|OYx)3Kgz$6C~-kOr&r@3X%Z-puxfrk+J6~2w{R4(S$#0>&R}4HNQG{A*gfvMEi;6k0x73KLxT;!j zHt)h;1LMm@`j|YPkHA~D3S-2WHoX9RF>-@%^|XHRljGwclhC6==rO6;G6dK+X;~px z_y|^F9M%pakO(@K6{sqT7*pwk#rsJ5$jhy1L1`+@LM;wR!c#knCO zIV64uGNvQYu`u0eu2!ZKG@wMkb?2ggR=qo_11sRdQadzD;5F#_3>!-(bz{B zfS=FvrW_COcdD<@u|&m3ECKT4HRquI-G)=!Im0a2AFBLEXVgz=Z0un&dtHl*GuWgN z65Zw|hXVln^`Us_{n9_0rZb|2CuBrVbl^uS{zfMLf9Fbi!ovSIcftR!$jdbT&({4N z8%-xeWUTJr>)fgNoGHcVk3Zx&i!Tx0Q0oIDZ={vRULRb1jP(KCWG@kfvKngy`K?Kh zA~l~V9`0^^Ab#$y^}#%Dh2oj(gKLkqJ_yMAAn`HlgCc8vAP+b{)F&=?|DgqfGoN-^ zA6N@%XMu2PdVwIV40)Gsj!dS<`m#B2>|rsDjSBiU3Lw8aSq~Jd4|#)YLcr1sL**OB z8igZ6#>i~P|E(`NidUz3_`7i?l1aE(LGphpqLTN9lng_&@g}o{$G95&-v<8QAT`3r zh84&vDK0FGC#pQ=T;*^wWZ}++l$S5@&_O`eK9R zU!$7C0)YVTc4`1nUQq7`loOjKoizP&94d0LXG=dxzT|(6YE_tJ6Vr{Ix6>l> zZa-GuB2TfBO9;!QtHo9>Gdq2i$k#Ve0)<^>7e+xN_2tqWjOHVyIDF+&Wi_SSVU@Ky z-43P$8;?Ikq%Jj{?fc!RMKK%ijr5n$u1jG%TDG#J*K1)raX zKB!9RgXlF!A0weFh$X)SZ|Q9QkoPJnrfX2at!XY*O1IoaQP#QuO~aKG&N; z=k7|xBou_&@n11fG*lF0D84R>Khb5M;SALx;8s?{a?lBOKp69!RN#0=i2H2 z|BcU!!RI)KTL9e=K_8{Dg&L1aAC|VyWZ?6qApxvi@%i}cT=@J3Zc_Ms=8%5}pN~H# zKEF)nQH9SHGS`d|0~JtjHShnD!suwaEP$@Sd40SPEj6fJf%7yAr_o?6Sj={||Cg@+7f95#(&_ih2@n5y+Bd{Jk@U3h zfl;pZ-OkMkwQmdwp@?chIJ1hU4U1G z(&_p(;&QbbAT+%M6+>O9e9e_c-f39uutY1ZP`$JjjWx%DD$NrY;=-XKa=NL_e9e4T zt>Ye#CMd+R*etg`PR$MZvP#o}{|eh)xmxo>~aJGun`5i2m?yok+VgG2#Q zV7B}nP~I=16FZaRzZ>EG67!+9By0azIU0xPxebSMqi6Z{r!@Gs+nyb`4^_Nxzjq|f z$$UjR>#mk~3mJ>(1&X`f9^A*G+T26Vro<%OMSDWM{SmRA{h zwa`)#@-nvGlVe(gr^x6Khx&A(UvKA&&qqH`viQF*#s}6WU@<|WIur1$)&Btkc1u5J zHRB>+(9s95zZ{GyhXLf9eaqDnzsxmcBl=s!o9C?1uN8$ki$I)g7t1P+&CDD4WmjGN zny13?QH}CsvwG9cEh~2`m{fIq)U(`gkb8-bQ5_$*jC+>a^GkPeukYb*o7{D9Cyd0u zQvQ|k??T?0{8sR9DF3SXH-dkDT#W4fU`Wxw)mUfNC5{`&JF?ElL!;4Y@pEj_YW+~bB@#0fYYnlK%eYswW@`BQiGNcE?EGF9yUcHuirx%zOc z0mcN!N8a8X&{39h;i&aU%B zc>`?eQbJ0NqHfz`75JT1O7)3mkwQfgCT{GHjNY<=3d zyH9CeMin%@9|9O#$l>;lY*Vn-Czh*>O;4MKlC7AqX^XGMGyPm$&OoQDHf}ecVrHPn zG8?Mr`WFrb1VJ^oS={a~_HWy@1VDuH;T7 zwU)dx#kDm;pLBpthrS$vy+x>G{)v)Z)4uaw?UKOrM%*<}fHB&^)^DHF0n|nPDuWvZf_(5EtUikGDc?Fn+t@X2;8tPA?15w*fE(I-+wx z#P}6pzG<8eKxsq0ksb0`9^-10fcdmkp@GxS?fDXunlRI2JeDVtAC@xwi&XcXA=HuJ z;7S;Z#DOFwYR_>1r*)hcR!L^<*V&&d`Y(S zVk}43gCJ@eXXJU)XoQoReO2FLMWE-W9UhZp~c||#) zNC+`8);J^En3Y|Ra&SI*Q=OM9)%hxLBU4Z>xjw-4LZlAU&d1rYccfbwGO4;*banm; zJ(UhvbZY~}%u*qK!D4u!szc4Sg1V(Ul5MG%Qm%UWd*`K-o5g?sHhlxo_lAFizPGRV zPt*5>fob|a$MyeJ`Z7Pcw>c$!YMwT%Jv#5#)8yrOXKCdKIA;-#&BUXtS<-a0#HPG7hki#Xhl+H>QK z4-$~TFt&}}fd?64&k-N(x_CC`d`v4E{Y^9BvS9%TuhH z;^P$#tA-wF3qG>EJ8u4&lO)Z}wmenxLPp7(*r>4Rs(B+loI9GN*^C^k*&}H-6P0(^ zgu^omTJm~l#cyC%kao@ADl zq+oU(+)%-OQ`g!_YUp=|#O@qUK=|(yx=f&Uen8)mI5{=*In{N*wo}_(XQXO7#p7BB zK)SQ+%AN$|KABL%)D>&ln!&2BEeT)@X6RHT0BV~?F=-xl@Ja?ucJTMRoEy>&{uW9V z#St2ehfMUH!Y|@lEt52Z&JFA_38e7%LuB3=e}^Y4{Cy$l8(@~ZN+5V=doX~tlRel4 z$fauiXSpDGx9ziL@oXy41*UdxQkU;L;qgEJ_4t`|`QE{-73RF|K0OW|pND1C!G|vT z+B7{59v{$sLG|&Wvn;vha#Ky?&+hTKvv*ZL=x}WJfc(jqj}6GrN&)hX9RIQMfxcSq zPNi5{nJnI01T61s+1QN>F($7x&u0i^K=aB~=u<&GvdpEwGT7RocM6{mr136@?Yy^l zF=ya&t3?h#|2r+{3eeN^5jWa_zQbCallAjBopP7lzg+ko}^yi)LS-%_~KfZ>fPvi4| zh0g;Dwy=YofH67s4IQ^&B5mlnZ^l4SOMuuHIW|atPsm||^l)r~7Q2PVg-@yk!&LX=$a3b@v#2DV|R1<_Fx=%i_Hm#}qI+V*OYc zJpIkNyFA7?)|udQeGL(Nk~p+A{0|%{j$AlZ_>+1QIu-E*0Hcph0dzY)QcgYRgyziX z2d9sX~S{f#rfpyf7} zuLhsnWQ)2e-&iYn!V{>XgF?04wtv}1&_WxD=f@U|RXVwpc z_-EHog^I~^5LP>ltr#%`a~H~m^aDYO3xqVr5yjRoDxxvr`8EW-V|E1z!7Zd5ZCvOH zNC>e&!;z#nsMZv=io3X93fj6<+_i-*tP&__E?;vQ&_4?6LnXc_%0LG$A5E#IIns=< zR6zo)sGY_oX8H3n(=s&+vMzp!I>iwkw~6uXw-%>?qQY zsBrR}$Fs)#?LzV2zs!>Lqae4ODF{+NoGm)7Uqn@lh{TTeqE7voV?ck^e|M6t0x z)?+hPc^hGG!nyrRRj?Q*LtD|FWY-@-K82=j3Tm_q$aV|zoHd^C~z1|Fb8N)amt*PvK^JbbSfwaW<=SjbL~0T*>b)s!s2>bo<1B~-$V0QpyE^;)F*>Q4tpE!;XdHo zJ1sw8L`#$Uy7Ki&gyN21`A31|E2u8ZH|D;EgYgu76wZx=SR3DP|K98euMYb*&+Lga z+Sw7j%|?Y}D_BThNf#Q|4q)?C&?joS{K91MpL;NW?2NL@WF-zDK7vFgi=W~dm}^Q) z-f3C!afjP?!ck)HK1VFO1f^#O*Ll*jDYkO9!?T-75GcpL@U^++4|u&CNhJ&GY3_qv zD9K9p2a?gk>E(oM3g@0G{GQI)>f(rTN0RDW=^r9}SRZWp8qXHjZ|Sm2noFh2j#`e# zbB0o5;s&b#XY;s8IX3*HFa3wRaTrwvsZ|HpNdl*XACLsFOq~e!sRB@D$%-}A&-S{t zYyYO=YPe$x=vxRW5R{Mt#De=$xZ$HhIpF|Z{b*~vnLjBrmEp5zSl zAhr@`5vvR!SZERFSabotrwCo@%=<97HXI^Kjx{`7Q79+SEh<{0N;i@*5#aRgK=~_J z!p0i?r^uj6^`)~uu}78UT*McSn8<_rLLLzlK=4tBi5{|suFh=~gbUR;qWUEBf4sz3 zN)4w=&vp%`-9uc|_7+*xo^pI@i@m$sNP99Z9(O!_yVH1j@NjUX|x(rqjKni%iycRamnI4bJd6h_>I6%gfErw>{OzvJ7+s}& z)XnIMo#h%`C0}xluCuvGjV?bN+j(^5NCIbc4VDC-J-S36!dYp8cQPXs`H6xo{_@Ig zwx7Ar3Si&cZ0#fYB73Y=PFH`y6y9J*EKZ$jeTFeHZLw7*B^8S}0bdtKDm-kbX_6W3 zI~3wt30siNUeCJp3FvFspPN#VqFfeXiLXWQ5~Pep>|R@^Cl4H2l6!l`}L`%(+|0F^bG zDjR?J%`e%tb0K3iL%FVMbyn`E`doLteg0Xa@UfoX?>tzj;)BDpg|sngd{kP9j{Gu4T0!A|?Mg>PFPKt~!au zCKYc?g_k3Kpvw3ZZSu%G{br9&@JrN@Mf;laqQ(1~a=Z&9>}aAF`hVJB@kZL>8M^-;XLJYc zI~G6cv(j37D>8fq2Zdh#+pY}B0$HLsr%l>vxUm3R)y*2t94{Ei-qIJILt^d_L1 z=^bOTu{?gKwHryO-6ORPA8#6WJ#qE4=sm%r_vUHmRO>|{hCZ7K#Gbqg5)%+%3)Ef{ zEN{bW%e-IQ7;Rz;eQkQ*%44CoMd2nK$P{he_GBwuMuxho&2lI!Yd^>STz!v-_VX`j zolwkx^s`Z!p;(n=9&vTG6sOXwgV3!ce&P*fBsnp8|9#eCiwN3izESY`dy=7VLsA!Dcc;7OiIeri5An-*PT87=MUK&_;d#7Y@dTqs$lgAdhoaEdb>s1xX} zGNKn{jWhCkNGyUus3Sq;BQ@g3pz~kP)T^&EFQnY|pUJnz$h{HFzf1>s)CBeWT+>0w zD7_+BendQm4nNuLe1HqpMNDicGe+Dgg;eJ@s!8Fx{W2+FS5%Y2w{v7tAp1bWVPcM? zXN90|8%m&U%nQNzS7l;Qs|Bdvqkd$(f1P-0SyO{dDXe@~lu6`X*$L2TK4yMU^Q&uq z@P}-7X={FX+C_Y!w>>{RX4AjZ{NT1{FhAJxGwpDcnjo%qeecWk6F83!7{`eYnnYH|ic{Jzcz$zE?5`Iq)bVv0I7+pLlqkKyB< zSA^H)zxMk97}llw+x_@;tuI_now~T&rn;CHtuJz{clLD+{QAl?wDOMwD3W0!_^<6#)?yY3b zDcwr+%-f+f+i2{kqME1wVmV2KjVpnz z`ERM$oYbP}CnMA_vz;kclYkFT>BbzCzskkfVgg` zcuK`{BuC%sXrVdcED?ppck^Ihb57LP@ct3&BZW&>?$vzTqi4wK=xh=;Jdl+|ENAYn zIGUXWrK=LX)ZaY$D@UYXY%5mJR0VW!k1o|Lv3lxsxyk2C+H|#(P(8Ct5NL_ z>W`MT!4~p??i~Fob^kzOs$x;n#5OM3)R?)7UMck}o&>00oq!KL2E#Q@z=xjPq%=e4 z9+vJU%mYO!^?+>57w1`tZ_O8MB3NU-G06I&r`+s4l%2Im^L;9>)|r2nSC^}3r|Zlu z)~h3y`u1n?%26=A%qy12GG;S^+B^E6H{qx!Pg1n2A`Lx(B;P3I{qQ4ct0SFL^7~;D z+wyzL@#Oc@00Ni%J|X$IMfspu^6`M%YivkINl92aUQex;<&KKL4@MXwI?+?=wDlH=`_tnQQRSq_m7*6!y!yFcIh-0^; z{IVq}r*ta%7F$wc*6AOR!Zma0o6-drd8A=sE|R^ZcU_|LoBy4zNEcCAAFrS`N=&x! zp^)aHzi=U|#uF2fKlo6x?;;|LX#O!p6VX=_3TbQ-iaGsH}dgz65H}o zQz^Q)7ShN(Q-U zDo1DeANK39)g_Ei_!Hzt! zkSVx3>E<-xRieDx@~5D6Rpoyo<M@E&hQ>KR9Hza`*7Wq+0pr8nSR3prxRtFi{Zr0Z{hA42rc8GSJ zwfY=po%5xCgO&Q01v|a-zb_aH-GPR$vc&o7!Csx57`FB0AY7El0*OdrWodPzxmt$vSeE)wVVq8UXpx;9fe1;qxlU-b7FlYNIXq{==aHFd57=FOzgm{ zvUw!l>wdU4Xnvn1izp3P`Pio>O52F0>}CapS^_WjqVnypu^@Ec=l?wO{t{Nl?)SGj zsOQA{^S_Am-Cck7%XjtXU;4ZHvyq!rf4==m*Z%yeByjrk&ywIX`_nIrJEtQ#$YU3A z|MjoYkq4wBMG+(&Su5(JIuw&3{e#XOx`qxtCEcMzlt+y~WN^JKsmv{Qht^o8a^<^< z->ReAOh|XW-Kjg*o7do{R=(Nyw$+{R<9g}N8rbhz^9Oc!){6Q^2DR!*bwZH^$ly!Y znjG1M`d1bcnk?$2Qo;qx*UQq!{e5xf`*UTn zq28#Il`gri$>;WKGVVkpb1R`|&^oC%#B|&v4U$Ty~4Fp>b4M*cj)j=GdQV z-#X1Z3WIJICn9oW979CIUrzluIO5wj@RURdHx66kKeN+aP6&j{-U z_B;R_T$L>Ysg3DiW?z{Olqj~gU?(oV(ipm{OF7kgtGMInZRTwU#Qgt`_A{+rK z>%y#<#Z_{dt{XCaJi_-;)fn?>zI0Vm9JHMp>SJI&+mXx znhAfj1Ny~j<|Gf~{XmP4DH2_`T01tZn5z77u;Do~T5w@{eZtJDMtAx&<5|+=8sQao zO1-CCu2^*)P=~eyC>SI%J^~VhQEdTKd%E?_=>tEUJ>3(M*7hFT74MPlUDs)vF@+U8 z%E6Y9a0F^6X6jSeh-M%Ap~UtproKb48;6K*gAAG+{ktTe3;lHt^Gz17{0FTUljzTQ zXgTy~w*OSbxTVvc_q!2T!+ ztFyw%m39zkIla}No#-Kv4{O-7*EoF$2IgF@Lky?fJxr##uSR^kXL1Vtk{-m2&Kuq| zr&l=HBF_pE+k@qM5_y4!bxCo3jP?mU$1$5$p^4mZ@)a21HcqGhhj;!k7Lx>Uwf6f_ zKH;0a3!cfwh~j-2Tk)eRa6_{AzuvdIVkoZ~<{Zw7oy@Sch|sH;nOk5@%yFEz4Gek`mMa zQ_2|nt166U?30U*uqqmjk$S$$SoB7gzQ&yKh>RZVhFONS**)+cG$q!8eKH#@gihC` z3Eb;NDFy1XUL%;CxLp%Y#c{dTa@PddW}M48z-=>6BP#dszc>IUTp+1=oA+9;n|b|~cm9PrBA3^g!z76>%e!Ep z{opGkYsaB2EASWC2_8k+%?vVvYYv!4#ss{fBUrR$G-GHK`ycR&wWR1ee)LN*AbRJw z@+t1CMbwolA30EvrS>;yG6|!l*Cp65ftvg<6L^ra*{1sx?1u=8;Qc zquk8eFPw|*2bDQ!p^_j)8HIKipl6$Tj-2j~?6Oo;IY#F9=(V~mwbf?C^I z{6T#Rlj=%)2Z-Oi7GbC%L`AET5+_wa3zp%fqog(0j>-w*5D?L=&T^)__ODBafi9L7 zj;;^-6uemKh8L%Aw!7~#61tdG;KdLg)#Ka&z7V0U7{<*JD#P&>?a}>ouJlhI`d2Ic zBBi;zG^lsM7$a5YZp>(REkt=~BHyzUJWUv&h7jAq8WWFM=fu2!vCe6fQ6JK8%ThyX z!C!2gQ77Y~GtLkuPRV==XE@{FmEF!bxSx6X1joT*(OY*v4vJN)Qp5shOemDonR8{q z$XtQQzkwoU$^b^JF^efxrVOQ?AM&n387<5PHV8rUo(3T&Tdx%e@y=h!E5RPGN|Gx; z88ht%JKh0h+{ulDGM-V=6`jF9gtZjP_%x`mQ}DtDVG3bv!Cg%d#(9#FapudPD1`Ae zKa4-eP^3Sqve7L}fKz#;LKxHQ2;z|TBv?MZ1_wiBO20NlW@1b4rJ{eJ+fEFXnOr)> zwK93@L1Bj!;TU?hWnHLO0QEAbZ>vkMEv*x|#`Ha0Gp@3y?>~^S-I1N9?+jtKl`zjK zNT*ctaXw6`4S)5vOy6CJ^gJ3onZb8BGq}DB4sy@nBPE;E41P2DoX8Bmt06nv(M^cL zl>2nBZSJclx1ms+GXSNV!h0P4B1^0aLJ!Ijblso7VDJCrqzwI_dBG}bvMhUU^1&?r zMfA;j>wlLo-`GK}Ba z9hy}>PTc202NjRe7N)|xtC^!Dt9qmr@b{QFw7qhI_ynFbdU zMQkFN1YKo@MqTD|PdA$;E1b=B1jcsUbq__v$HpLBoO0I{Rp+draSz9C?`!y=AlgH3 zEpO3x!NQB^s$lNEfN>?NdUR<)y*-Fh6E$d=+WDRQ9;)x##J4wXCgZ2^U5+?z?nJf;x%AP~J)?wo+{4t7;F3IJ1gt@O# z72~QR9(=+B{L7QYck!%}Ko2dcg`T@Di(a)iccEM!#z*5!-{H5J{Z|CjUAPAGS*et=w zqRkx8L$b`jdoSH#`7bw;L;^+CqB<5voGRA7c45B?(u!8BieJ$iQXBLo`^5dYrkb!) zUq%Oh#`AQHG5Rv7GT{YrL+*1YKjwm!ELrt7o_)KAmiR8kMx()Tc4I zeU?v^wy*tT+F03W@t?D6;%YSN;-6-#_i$UoQ%OBJU@QD0y>iWGUqdL!$)3u2qD=sP zxrCH)_zTU@LM5^2?mb~PDyvd<|IWKKKLly&<6|fy^|W#o@~!v6pf`z(<8;b z$dCDEv+63*%a@5X!XHmDL#v(6yki4oe3{9Ul|4O=urTXDpCNR$3%<+nlSShT_Z(*W zhz_HeUK9gI+{)*_wu)IM#Z0$~LB-CCCGw=NGvyz?KE z$Ee|Pmv5LotPn`?kV}qxm&@Bx z{?yZD^0bJjSR9lGsz|aL)RYB*W!9IEsV~)I;=H;Z%ctsbNmxHsF23S7XHdF*$Z;*B zbMSL?55iW;=C;JXl`mVYr-$Y~U-}%k%lQ2RzhB|^kNn=v@ALfrnBN!p{Vl(uPnY*g z`3>;BWRuVRi~RnP-n^yfCQ^sL{g1~|}k z`gKahBL42^rskK<6%K$CnTll;>2sY2WefvG33Dd?hDd~fzSbOy{U%5{xd*-`i_d0O zYhO$j#8*?R<8N~<_R3l{pdI<)X3W@RF|+zlqylP~W>nyh9LJYYfuC>6$->P(cYFCB zHfH%&ct^j3;Qe-xD%1#@*NSJQI54<+R5&%o&fL6W&H#sN`l+&kq+xbdVODfFL;Sqo z5m#Z^pJ)(c`A*?ZqtE^PnBV%VB}*X0R9?-LXBVt>#D@&0xqM_keD|SbLIn9c|05ZF z-O6a=vnr#%^J9jrj4WxfO`g2JCY24~gHY&RYp39PW=>V*WD%>>1i>N*UB(~5BQmvV zY!E2`+sTBcCck+rWKulVEUNIBm=4sY+Y-%qu7sHrHx%Pr+o)!;cq?R$==pB}lk8`a z_&2Rr8+fLmp-22|_O6#g1@lQ|#Jt%V`^l7zy|~O=%I<88T#qq#qynGX^SOHe#%H`Q zSakgFFa3=7V?N{k_+!6U{!A`gd&6aC0WGp(m%La6xy-GK2%BXXp{i*VeIhda(zQao z{5oJ1=33K8J^$vd-BlV3>)7yWvNU# zFkb%O+m*+z}6INj6je!eB3^%h>LSk^gPpYFL9eF#pK_*`T;= z=c=5n5hdc&)7*XsZ%BBjlQ74faD_@3dxHekPJ)b_eqkk8qVkqEDB^cPyJYc%7MV%& zPw(b49q)%btq;eFFX+&SfiYhhBpBAa82;((SYITILnJ1z?dnbE#+0a>tj0V>^U}?H zeWjf2wpHI8(qFA6e%auxEA`-7dJsC$%t(2YcS+xoK%VUK4Y2|U2b9^#%J?KX7F^C8 zI#4+Kl|*hxe-nv=D-rrFq_?10KM&YChpz%RA{VRp)r^M6kkedU3r^#wmVb5TX5@z` zYlSQ#HWX_iHa5J%^LZ2!EM+ zQT&kfC9oSY(mtf+h}#j{B~QpNX65&R>ia%22JHOSzNqrssa_Nhapm`Sz;(J_&XwhF zBLvZ8oneLf(S;CGgb>vEj%SeGD}ScwF~y<>E(+#kOdN~Modi1-;DbGAs?5fB?-k<% zce6x`^w;zqT|3qM_Ue9zxQzND)#zx|0^NoBa5Y8I*DWAj{(-X;Y# zVrcaF!Zu7g%Q(G78r?_gVe~mg+J5gcH7W9Ru-u*w9aTMMnP22spR<;kJNYq4=mDbnIoS?$jPRc;B(f`wHaJ1?|lW*A?#MXHI# z(}2j*mJ;(Hm~T0-oCxWCtp}qhbx33T_4E*4d*W+lpU7#CdYh&d<^`*Tjt#4!z0l}J zampd~i8vA&W3m}gL7|V|%C!#`46EoA^THReHl(HK+B?P7r-;9QZ^$Zt+&_X$2U zIGbN~^w&(c`YyF}vkB{6uvxM;E?cW|iDkK|?p>guWb1NKQiPEL1?@@h z#ZSn0sypse<{~_aCzp}*K^n5lk zuD{qa6CE{*o*6|64sV|^fDeer$eibwts>ye@g)|c|J+VLBj3uM%2b(sC`@oPCb-l$ z_#upk1J{@}RLTzNtmGcY{nlkgFHhBLsM0@Q4{?Qn%kdf3^f{38$};nXImzTp6+$xE z$o&ImYE9qIA~y!yZBEodkRSfa>W;5H(On_FR3Tr|bf<(mcL~>IlyFIA366)Ew=9ZW zp0{EyHR&3wSoll%yDDLn3RXNz{P^SxWDv|Yl`EU65c1~JsuIFg-uW`j9S$lp&tiYb zBB5fL=3^#_vT>L}oh-hW0RTfn(skw$YK`!jU8-e8L)OkcRs+7YK8F5M^ei){i7T`| z33^^tX5RKdik_^^#z>Cr5Mez8JzEkt**T6%f;+$BjQsX9+*0`&#pnG} zx@MiZLA*i*{7;nW%%(}hiaPgHZ;#KkpB`X$k&p$-smT<7TwCW>QLTTV7Kq9*v371) z-xrBbU1*P2k9oDKpc=2E6{3`E6l-WY74yz)CLPJAL`?rCiK>Y#Q@N>f zmHE@0BRG+Uut}_JXUUQ3n_6=LvVcJ^VaDj7T84&q{v1AC6fwroUGGFM6y>7oPktp+ z@%Ag^{Ad{nW1tqjX1T&^iHo{AdZ{m2t*YvJJThV0%T!IRrhnWl6z*^ek1bS(bwKlQ z`C-`>klEhzK2q&fLG4~K@6GD2!N9OE>-J}$hBR3usxwGuWkn~*Mg@#8JEM%B>l8=T znkC|ltv>)qRhOA3-=E?rO!}WLm->BdjZj4)Cc-JoYuc(aw^QYImYB!}y7*Q0YCxhD z_aXXxZ^b?qN7|Fa<$JY;4|stW?k8 zH64ms{>J`=&y*%qBI}qbNw>?sSHIkM$bI}76^;p(mw@_mTg0yoCu@b9r<%{BIz_C> zWOajDkf3zx@N|X9jF>@9JepM4(436XEvL$(uN1*|LL0USd{IbMaSSTqT=NyJ>F>G8;(xr1F6fb! ziCq53`2>FY5%5>xzU;054m;3l77X*7BAiCOC#an*6De@vgYC3Z!rT0qot-Pm&B{j*QL_CRZJESLgY+*8KTN(nNbc{~npy_=x#@ z=3mnDZvv+;QMOq%^P6jCNQWEal*Fr&Qp?N&r&fM5LPpX*iy#{PL*IOjzTw=6v`f8P zdK^71v;tg$Q4OV2vtXe!;IsiT}Z+GrQT9^~$ zF06-&D%Ca!CCS*XQSA|WmRL1jS&ZC&Hk;6(GFkk3%3(;U@bUZ`WWh;`E39U7MTZOU zKlC26ka#FazZq2+KV)?rj2)Gwa8zk5UG^>MP9@t&v-o=~)_wS% zMa!7XJ497lrYuJ6n@J^GV@`mii{yDl;?&f0RoW!>Vc06s_2#CiloO!&8fkin0c6VPGyaJASe#&D z3x%e2*B_QI((S4_e!C>;Q__OZCV`q_oQ&MUO(qQ?O%GIf*aedv`i# zutS~RBE@>YBsEPIU-l9sN44bLY5&l4QFS1`)S}}HyrT9NHO$g!s`WMlpW@sJYnUGH zgzAzd7)3^fI{vH6;UJw3i_yJ$IDlA490f(PUuj#6Y?k1k9EuwMQkQ zW$aOjP+(S7zGnljJo8()77s&p0SxLV5?`cVL_g3ZIwj^6PId4Hq(tGj#nN;tRbqaDN{R7` zW=t`QMOh~D2eE&xOdR$$rD}rfs^-DofsX`N8@I|ZQ4$v~R+$>gEI0+}tLjNRDC|3< z6gLPP=x+Unbn+l6vnKJL@Z!nBcXgt!SG(?hyHxR=)Z+J~wcGimTy`PEw@zlS=1*vX zUGXw=qG4B@N-4!%pWm)6$TGjTJ6-SiPP^XB1|lt~$tx*|%e%sbmub>~3cSm6$O!L@oJVsjj>%G3WCVWO0Pbl29Wfsb%l0DdUY-Yw9tg~>if=Ni0+QGuZ8hE#QJ1irZ#f=l@L@L?rmT} zR?>ZUe~<2)!#*dMMrrP4C#_K(_JT^2KNypM1@!dGezaLSY<8-{fJqykO?6m_-C+wB zTOC$nUj4^(hkf`%Cm+VWk~l9oZLctUnTpaIL?)`{eIK_a4+vp2?W8 zR;TZN7ZMr8*YVb3z{v_8h`wW;c`BpKmRmdS6ggWwjNX$sXYxiFfYzD)w5e3YPq$vOq!)NX>eJSlzz^y*7w%*D!X^B zm9_vvQetuw#Fgg{SKnU8N$-YM8PE^ieZ$G62!W)$C|Queu%AM<-Z zwQL*~Boy5e?h(4U`b?JBzj_*xYvsE24ymrx0u4*8R2Y!5!tdzB9R0UM7pssiFvn7F zhLuunyL-|aKBq%P`U&^0C##@OBSe`5%^-KD!+cXxmwd zm9CHdRRSiEBb`}TZE-&RJ)b&`9Ba&SRY`Vv%PIu}i^Hml>qL9jUT}Mg2gPrDf(R4a ze?$V9e4-XZ&U!?AJ=d88LxW28YPPlBy_)^a?^3H-e0HT!28rc8vZpyco>TgEM$$nU z;B_)y)F4Y1U!?NbERzAltFc5bSgSFnqQs~3YH<%n3jS#x^S4xfk?0FX0UkzCIn~k{ zdr(T%c}{$HnM_KQ?Xd(sP?0itV`D^+H`1kq-eXM?BouSR_$vQula-D5h(`N|y)Sca zsF61}ObEW*rfT*Y?a=fqfWkdU)kx>OWN1FY<2@fi7S>T>$^u0C5!nBL7?a%fyQV~i_tp29bAM=AlxFnM zINV*#NjHi{Cbm`c?U(h~^(v(~fn=KU5>w`<{-(@AT6{F5->ms&4u}m+Tx|BYo)uZo ziemKOn4-k#)_3N=k7)vqU$z#1+*{fs(XqkJI6tQn$Rsj7kmkHesncwx4r~JV0iO7N0;Rus1OZ`bXs0 zfc55Y6{m|TDUNmtB2s}`A&_@)kRF4i7>tF8>&?L`(++&77ZNoAQ&@Z^*(c{PKwRQdUH2qSKY}QPf0@O}jjCPKTEEoz2pj z_$DPIG`sbp;42UKaca-i8alEGjQOnPJ!igp9+Ny$q(-gc0RT10;tzi#1A82l2y|#t z(FPOBh>U=IqdZ!^N-KiH&^okuZz;S+{?tmVv8%peMkXr|AqY$Q#yRh*;ocH*-V$Y3 z1e9-Oq7w-uv?p6>skE9=n}OY=iE6w`fBN~HZ>T7VgVvuiCE?^xm1tT-tmjrXvS&8c zVNUkLMtY*xa)`7375WLHt}%1rJt4lNfUk8weWcEycdn(s#vQl6y6GxMjHU$6vHNEW zQq`k1JXn$yWpylrdA<2NnQ`L}771|}>G22Y3K`WZV!B1q_DAYclMkhw*!eIj@&_~1 zkg#u;cm8t>2S?sU^p7 zpYh_zFU8?bW?B5w2~IADNT~UCEc0!S%(rsp11@r$CBFm1@BTfQ;==kMX-Qb+(CZjE zoR#69e4OmICr+x?zu~Da|6;i5h=dfud3daT#x}thzAdq@XvQ4RSiNwY=JUq#@hM77 z9&60r#)+-oSWkkOG2eTm`y)C#jkkrH4wJzOO}|3J2;*ytRyq8r9uX^~DfVgNY?6%C z^C;kuCiDL0XkP-OZi)6*iR1zMA$)_PS4m9qsSe)4V8g5zAFLUiT;_Nt7*~4Cd#v@% zI#avJ<~H1hlEoK5mvHi`GIEM>E`%a@0Y`{!8*5yP_#-~vscw7{KTR1>eXB)YTiutz zg`Je3o$!VmR53LICczt;KDvnBx>E1o81eOu6|lWQ-;(fO>ASX(eOMD4JA{4-PsDd} zlo?Rbq8$00s|?}E*0686Oegb&CE-c_AIPKFr(u1gxl`siRKVcBIMVM*BzOMf68bvgz&0$GJ892oPJAC-W`oc|DyH;h3Rz*m4aW;h*(xS-Iu%3u81ju-L5;Zs zN^yNPGNs95*2v0*F{oJ;1sInT_^9b1LH@*I#m=u}@iRYXOty|GQ%Tg5u{^Qd*^rU4 zT}%LtGvq2JwI5_MNr_uEUm!H^vf|a*A+uPWwo`*56)bCevN-uOQ5l^o!F?mfG#kA} z^frR;N57zkLkf=yoJHj?aJEUo*|upr!uSNJA*Sg=Z756B3Qn<`-$1pM-Z`Y7>+oX= z&jx%e96IWtKuw7|DJwBrIS0>)DV+ht%>zI#UF=rvORE9dlTPdfNCth?Yruxs)N2Q^k zptjb4C8^P>BHNnin&%dama}!V>YLWltUl&m@#3wtI9dD=uasM74I1<-NxSkF(v65) z=|}0_IxwI8Zk5UhS>6S_QK{5?&!Qv$-8fS@NF3%7NTR=K8Z;%fntW(+=hdY09}mZ` zDN6g-1Oze@9-08(QhFOR>Co=btIkT2><1TSPvO{%rZI?MD)_n!*uHiRdqJTwXw*a(EH& zm%*|<&v!xW%!m=n3j=r#n{#L>2ob>tfVx7a(>KQHkx!A6R#fR&VdYjaWIanQ6IE9k zkxx6qzMiq(_0&^_de7*7aT6_nTWdNb`xUG8o?!qJg10x9A~QtbMtsTWV6-^!VOU?4 zEME2#+4?}cT=I{D9^U3iiO8o&#-?aL_Mc)bP8ta})glX$iMW-)o#w6I_36-zR$L8Ws71ms1 z&K#c-=uDLp=pXUwTLpvtAJ*OkKFaF)|4$%+fW#*v!H6hPQ4w5HQ49v{3?wj-AVFMk zB^FJwZm1cJWs6SG3=gBU)mFP{TidFwR%->ctptz|L#mt>&uJD^E`Jw_uPBWJ@=e*&z+HR?!)kwX1_CC@`*2;qI1glQ@H<;oZE9gZH4H5 z5pqVZhWEcA?+a%J+;*^pi09y-AyeX@yNP5KjeSYF{(D)j4+6b%Vcf&R@mLwS^(M zLD0V@_6lS>sy)=3v0VEr%}#j8P2THT4>I}eko%N;SsPR%X;z=nVKAX7BjZvdG{mOI zeLXs(#M&T~crSdag#|owhP;DJ>eLqhxzvB&=|At$lg?&JFR_Ujd-6ZNM{9&qQE-D5 z-aw7;W8TUY5dhFll!;i9b{T|;YIy7sFi2uyzk-Sg z+qKe&8K?FJahJ(4 zLV-pEVq?KPN1u&KSL?le2C&Fk_USS_4EUyuBczQPlQdu~^&X+Ll!)kFvZM_jZvLfu zFm1qyQyq>z=?{x~n=}yWKr|5SS1yAkzZU({J4SA0k`O%);HW*=b?x2f!d1it&B}bTwa@&t_XP%OG_rn>qPk8d~*X8D2@aDPj2* zc?Td!Ae7;=ZUphLN5$tZ(xS6Lw<=WrR(%SP&;|=B zXf|-^YRp*ipPL2kMz2ypYAC=RFb+yH$3P~6{x^6Zn*M(c?>7+(@9lyA65j6e;FP^o z6G#-+%hFh%4c1xS-*WTs`e)yq`*OpFM%r_u`Ahgf!4%{euy^_6h&>=$ceJ+TUrTsr zUuRkV4|tKskp2`IIPS~QA9Fy>&41)CeRIl78m6P34_}D0y^7}b4WpaJ^)3q!bDm^* z+`iPP*H}LDZzgG{Ugqm%ZxZ)z+FsUBaMFN^hTef?xrwzbx@64>|5c?Gg=RggLr&#+ zW1Z$ZG!|mTU)%^{ppSEa!Mj;nWTP$h175rubj6DIrU|J;t8Cc#%&-RuL*psoFAJ{9 zG7~ycJnv^_fi>QG&DV zoV>PR9txfeP+I+j^O6Z$INp&Fe-H6M(rBWa4R)%TS5OKYs1Ha+hj;azoDqhoYKSqJ z${?!cdoU6+@`en~8B_***;}mmwgFP4fyH0{6fDMy*EMPI^Zk(hzA72Nz9}hkT+HI zVRv}!eg*z51Ly8m??n&+PA0Q2^Qte)Y)+Op=cGM!b%D3}$GJHV>i|u*)w6}a=>}nA zYs10X`FJf9h*-41`yF|NsN~sQ#FcHqlAPmOcSBo>JA*GW|Vite^FjP(uD3b1%)E*V*Rq4YxU#ehqLf$VEN0B$O}rn zk{UyeHjumQym)`UQq4<_54qg#=lw=G7PU&I3V|hg5As}NkB%ipbpfIQFHDXkHoHM) z>qzehg=sUh$V_cE{|P3)Y8V_A9BkoYNw9lT=23;pXX|I~S4-); zMt_X*qtbnwy=S2OQsi+L8A{CdZDGBgbgqY= z{{}gH**4DrdX5G3dj`;Q0ebdA185x~mF~0bx2xQzyw6>k6iB}zRUUE*cAf!^p_Hsi zBcca-8&dbx`T{3}+@F~_a`of6kbdt?K`t>rT$gkoy|i-STl|cZWm4-gS{t~~q9f6? zfH_zkT#-X2Lue5gK65L1XLZT0L8SLt?{0PCqQpWjmw48@<|tWvf`|#sv4?XzdqD;O z9}+HTe39^O6xgSaT`XFWi0^B(iHscajUP;svZnHZ}7dcAkhzy zX3r$r?~jc1HCIciW`&D%$&EyNX(G4a0n02cuhM^~hQnl(N5&y{{yS z=_3vPDRIrsbph?Sp-(!>0E@$U<;R(mtlfndfd(z_wYKG8o+Xm!=JX!$w?cGbrY$;cnq`Um}LK3Vg59D}Ux9gCMKb~dLxQFm94b!e49_M_m&YqJHnEJ68Q!D`>R2(C-MGUf zf4EsBl_sz>pI&4wmA55|b6i;3ZX8rLKkAE^WkNMW!00?AHI{lO00U!O@{LX22n&$0 zF0|3h#_tIr!=L!}46?*9niRN`6zzW1w52zCVur1B*;+x3wS+thWE(Gm(aiGj8_*6( zPZpJs=o{TZtB`iP8T)26&ML>aE=)_;A$Nh)CfpbyLuKI3J`auhEVOD@AIZmWMR=W| zZ{}`BdyoN@N0E2AgpDq(ieu+siYR$Fp|piQ!xx2?8lj~rajikep1}MUv;^^d z2AJyew-9jvWB4kHDpOH95~@4NNiHF#;*#{ltnz68W3Q{kE-0<$Wa{H>K- zvZqQe_AA-jRC1QBr1MJfw_6Qy*nvGaqxYU_=#^E&zfUpE=p10`d!H|1H1brS+v#0V zl^L4P8T5-SNXX2Zu~H8QBo;o@@aso6vy7kw^?piukh2aiW~%A%ZdpVO({!PED!-Ow zF2qi{7Nv$-BedC-05EEpW9+%K1XssqGtrH~e3|!4S|On!TsNXf>4+zkRI_qPo1{tM z%aT|RH+ne+QCmVVzxf7^HKI0isJb@gEWq+V$oBhL!Mzc`a`VSj!4NR&Z|q*Wv`)g{ zl(Mw2-oRL9Ej2Kw_PYqX0jBmO^h>N#XmnT>&Elkuf!QxgKR?sIx=vd>5J*!#mu-z> z?9Kk`iq@$jtQFeAZ0SrX{+5wj>yq4}=qI-%@7{j_U*>~*4*oq}!XK|gy$3sS5RNt! zye=?650>TIu4ZE-?QUZc%tdQRXg9c2v$D4gJ@Rwz4HzpW8ffS+i&jv1sNogAzEL9v zUh(2_cF*pe$BRbFnGubc4sVKiCP$3DkB?3(&loIP<~iE3YDXUS0gIknFv>6|)o6R7 zICu>JH`4VqFU8%!NJ+F1hB`OV0B*%f|1;w;t9;|FDXaWrrFSpEf~z_Th`30OQb)Rc z3a^f|VhbalCh>IVGm@AmtI50{>r8aLX{K|wMtzoU6R4_{s#*_j(2A(Wx}(T!Nu=ISZKf5P!r<}%?Sd>Sj|oujH( zy_Z+h+e?XbbwCFFGiqNv8Mb>LLU60y*G*zB{P9$Gcw|=UG9z9%3o5e2fb0#<0LI+S zh$Ihd5=M&A{QFYpI`0i@-QcrUXQf%I-`^-S9v+HbSRjm5_x{G%gSx)z&Ub_`(;hR- z9A9!KeOQKm+F2$K`Te+WyoLLZa4o$Wfi#I0zMnaZ)8i8@*l*?_hG?lSXbbk!2*-dh z*q;|2jSiN!1@~f1t_nq`F#vSzd5&6lN2~|e#k7i(|Axni>F zGOZw<=iE;cwfOn1!YSo^R@FBdFgSKTh1k$tp;4xX*be0u3%l1ul zwCvS_El?|J3*;Rih7x#`{A%G1*b}76CzrAEYp2izNAKwBIpBFp2xSKsk&a1L%Tgm~ zY$ZdTWqUcxiYULJWnT)X3YCv7@0`v&{aw_`r9@w@(4ebysUS`hBR1)$8r!J|h-JAMDM09kT`xO<7 zHd&I@T`=>4tcjrZLI$$z?UPnnA@}N1M6Fy|#_hGa^n29gMBNA*vbvjoTlj>K8+kPY(BBzKw8J9m+`3Rb zwdlFV%R}y^rJ;%!=g*?RP~urFCG0`YWb=+QpHCnK^Nuz1-M}vowrTiHqZ#IgRg`3wCW-50hZ(AvRvJcVt-?EB7stT4hH0e>A$8RKw5js?Qlzc( zk6LQ#L)et6kCExuceSYxd2=VHB1-20lw5Jq8y%*Q^3I!*NXmGc@nx|VVEHOlwZQpLsJ@b!?-3 z3##n8?atz`gSE%3K>{Ud(gp?#l$fPk%}o@Knq7B$=25tiM{tD}DDU=5%n7fkJ&8tw>c5`f?Ws24#KMyMe)}0Kpe6Y6}d?fH8Bx5f4XH*^*gCxA^*K zZMX7j)9v3Aeh=Z=nQZ)iUie?*Hv?9|AHk6k`p;CGy2ID4KWKmdR9fZtPZ15Quc+-m ze?Z7tiP74CRm7kZP9^jUwfk+>jMikf@0)BFnQS6v%IlufQ|GqSo6g;89))l72(GAt z_4Jm{GM)P-A*s%tevR#1hJPtNJYr2fSB#mL%;u?olYn%CAD=@#!Jkg=7{L1(z+-u< zdPq!7nm_FWvgQx{osB;RND58BLwvgb1z$8BZO4E1d>f(hJuuvTJp9)AZ^lE9`}LH5 z(z3p2obwwNH*2d4hCNLJ)| zhSsb)%e@+RQW^D+^6RhF=5YkpW-#*H5QeN${&41K&kd8ChU!;XxMvU@pn|ti=|D9b zsB{D->z{$gNTLGTj|-mJf@hB4X$%^8aBr1D$j`5}2&of5)c|DOxEYwrYcSMVO793P zXt0$~N$>ymX9A?KX&}U1%2xit@Y9I zgEM#THok=TqGWtYc6{#W_4UzEas>b%<~w<)T<{fiYyS)`B*=t!O9s3>&*!A?2=O+L z-y?eQ_MD7~%&q`t&8Sp|j_cl`A&oq3mU^7Q5|zn9mKoI#1KCnze^Y^P7I+GYUK{^(6OZEdGb{NzD}mpe5M&?E6B_U@e#Dg z@+K76@;<)u|E9d3aKKye{p$ayyhD$$|OL&vBM78GaA>>!J5$ zBK~5z?MeW+EG}l<+F0XqBjyX$h`-NZ1NUD5&kSgkgSjRZ$KtS?U%(#d0V|;2e*sGi zUb@`&pIKB*{{@T)SWFsfKnOs)_qR}H|Cx2PM~bl9cyX3xj+c9Y)2sLEGvno;^msuK z+NKH_Gwt4ltP1xyVD_NjppB@Gd|LPAWvTIEnm9DGYXW{N1K#FI|1HWh_!-O38s$41 z=k(}G3QGItu?t^b97GhdiY%Wn2)K2OE60>kH~YncyCPlvoS*K-+iMP| z_Gc0tZ#7piO$e}o4~%|}5AM={Gx~)_YfbbQE%sqKq+i^_m)extiW!n%@Cy@$3_}7- z$rl{vCfQ1bCdWvU_US1)2FK66x6xn`zk&Qp_zi9e7Pka5Hlltelb(2FmL@%0 z?JPDb*&oI0cY{C3@kmREb}n<1oCrKg??|DK>aRERb0}5;#+?5$Tmu zj-|Y5JdYp-evSiu3=_#ygXdqc##tWhuYnI4kTcscNN!kuWB&EV z-{TZSkh=s-VSU9GPV+k}k?D+M``F=qszY1Q(((Fun-65^)uYzx#A~v@p&7Zz({n3F ztq%9q1uV~nKSF&Hc7o2zr*Ix%ZcR8Q(N^zP^{-E?p^AA+0F_>=Rj)zY0MlH1l?ET% zvCh{s@vHvmhF3p2HQv+seRsA-0G2bRqhHcj#;6urEGalnq@aBNhH*ktnYq6Xd^Eor z-rsrBNfcjOr{heo!mn1n!7Od!&ii*3Bi16VVD_iKLQ)?|m@=O}{pnGjT{ZgSnptmg zX=gB2l^b+9fc^0l_uq@wFSs;~-)eX0r|MeWjLFS}xIU3t%U7Fwe+I=Ut6wUEk;9!Q z5iPEs*()dDEK4kEU6IS^cdEJ;Pk@H3frh+7s)pX&-Hon=8`tMOfK6^+lL|59tc_kL z_R7`ne=1u>Ae7W)WVh2Jn;b=<4YGKa$~L5rW^<}}N6s#`pjz6V8qg&962LRv+)Qgo}#{e{fFns>4BFC0n!e^M^*S=e{FzJ0e#e?gG%ycF#K6&stUS z(fn?u4)vo@`f!!CJU}d&qCKN*fwLs(W@u%(eMof?(4e*Z);XUw@Qd#)aZOLzoVTmt{>-FUi@ znk*(HB{ew^qedYpWpyxw;$38OsvVUQD7SkHZDwq}3g11;WFAcB zb8O}xn9LvenTOlVm!va~H7%LkSf9HPJO3C)AFx-HV-XiU7);UM|H5WGAN8E$I&^ zR=D*{1Jf(E+)f_B728a?|MCvBg}zUqkHZQ|f@_$Bt0rmP+ z1JoM?`bPx5KF>f@pIGP3A%8tLJuJbww;EV$3tD9hQhC7@Ur>+=at?Edt4V*!Ua}fDT~Jk_#D#T6x6!fEw^4(Fx3kb_}JyGkviauO-P`7C+^g>nM8Sr>Yr{ zRou7UGf!nmiO*K;a$?Itml>yTWRBA+J5GmMGz~x9py@F)5DMFP_~Z1l8iS^%2uY!- zFB1lZlsEJJ6hF4XJDm?^arLOD{#$-*ZIT}wD}HPWqpE5k^H>8*v$)zv-nX-6Yf`M4 zL80YuwTk}eVVd2BhdawW!+=Wr87zf=js}MkI-(^wGJ4~^LQeF?`|RTZIel-nY9`AHZQjd zR_kPJw_0j@!zOKbxHNcDYr|2_vg8(RTUATtz>)Qd@gq`MgNaquiPe>hP3G{^)w5Q$ zRThoR$y`bO^;P_+Sx?1=mZp3wxcMJ8vNIjc>4;_{xp0=>fP;f`MzY+7VhF9B<)2C) z%EjW#VCz=5aF+=T6np)Nr9jakp1f(t(G7by?HJY2Z{5V91t6$%AJN*xIzIdHSsz_M zJ2!e;ASb$)-2Iw{p4QXWbRgT(6WEr1r-yB6YDaqgzD8;Kz;sT{-mGqZc;C)LtS1Hm z92&I+`klPraxVjIee_Bou%a2A-CuCNJxHse#6j8;4$_wH>14XapTECyR!*a!U@3zF z($Nbpw7{@Y?46pnmoCU}+P+}H(E7v#_0VKePAFYGAeU5cCsV=RZdAOpeEJCI$yJfo zS41kyB3)-Yw|osWTum1IHEQ5Hon_5^8)xO`xJzyc@CfzpNW5kEUy+$Ik(u+>_&3j` zzqwGBA)Ee2R+;q;>CgJk%l_8fe;zYC&YUmWN|ZYR5lXr=#M4>15vON;bNVFf8^u)C zcTu-*ZuiNIujlLuqV=ciqtn6M2Je2%dYxqxMuuW@M>1f}+tYv<&wx4QTL(-jf{Brd z;>Kx=n21Kq3Tru(ZW@|~EKrvI?tA$;FJ6?N^B%twc%Q{@I=^Cmhw=Ll;rTox{I2Eq z6Mm2K%PGw5RoFYPcVWiA{K7tkeKWpydo3vJw_8|dK>xi4*io4NYsX{LSfJk8FaUB0 z0kVU_gCk8u={Yk*k~z%&(3iIx3JP^9Aa9cRF|Z5la9Xzx`pnkv?T`~Nyx|FF8&QYm z3RKYuLm1qC>aX5PCfhrm3<4RwaVhC*Tvypx{#RFzomRSFdX4)^(jG8aUJY5SbO*Hu zBRlqO7{=d$4g2#qH@si9YwD?vtm#!>6|L;Ot23`Ym}vEj(<^yft1E(~^On`P5!F#W zwzh7;v>NxhWch(c=HSzn?f}Z)ZpzR$E1=o!6sb@r}LtoBRd zjhuL_3)Ib9p*7yjwrq7A_9d6&%1iPQE|tQTWb6-0om-Eh|AlCTSo84hj%`z*CU)4> zKbKj@POYBl{dUN`kgff=iX5l;17@L{SCMFsbPaKC(M|TDJA>|$=7)cfpHtoYnZ#Q# zau=LxmhoR(cJnWW-TV|%>)l&v_{Il)Ms6*9csxQ8%K6t9`*0J5}L^38rDcR0MfGf~}5op_ua2+B`q9d46m1S)`lQz%K{5=1H zdynHhNqHvNJWFhzUzt4nD$in@=NEpSKPk_pHjiWTEVX%lWAf~$JZITFcl&v+SDq7W zo>wOsY}{`1{NCg_KzRR(s=E;k7nTR(lRr zo+oUcWP4tP&5z$@^L)35dX7+@o3rcrqw-v0^X%0_dqyeG={AoU&kVe4mFGJ)&&v}H z4(_x#(EJImI97QMvU&cf2=aVad3K8a#R`9C^O$iQE7Uv^1ylT=ex{Sj zlp%_@bUh@5sFO%iCe2s&~VJQG{^h&3Jrk+m(J3#SW^={0GxKSy32$I zE9UAt+UOm8IxBm0Cht_=Hfe_0)O1_ud;qFOKi3wVkyAN#S^=7!X|t=`)eFW{yU$H= zpZ3o)1p_S0Itf}89Rvo0n24{+t6_2N;sd<^FO?O+f_X1ynnfg=_pSDt$lR*~)oxrj z&Vfnf?fZH4(~R^z3N5bT8Oh87yIR z%|8SeYXSCuG-+B%FfwjwPJ;tO2R~OIrNxZD_j(+Ez{>uku?Td`5jvdZgKEiv1bo^M zj%YQa(Tt7H#kRV-qPlec{uBx0SUaTJ4VIJqX>pm+P+4bQRdhyK&<&I!Q>+~lY2Bq% z$49zKoLhd(c&gzZlBL?+aW#%^+p9Tkvt~~* zBi01l0%LLkr?X8}@1Bqnlo{yCdw_V{7i;R5esNWP&SU&K_;vC7gkPNB2;j=e?UVY~ zt52WadFCs>Pp{0*R)0(WXZ9Cvu*~S>@%}rQjvi=kXy4%dg3Z4>p{!zE7^Aw~d1~cH zKEeGC5>1qe33l_R&Q6IYN(ph6Up+*9VoKau4 zmP+^IpC8K7|Byt{DaFzLHCA!t1N#Z9mr4ykpcH;$RO8ril-5VD9fD%4tul8Plo)|S zqWJ7dG8$hpTtG^^Fs#Ok7t{Cdc~Jl;DYK9<(N=LSBzpDh6m{k ztFv-C%JfiUXkFfBt!#d?zhcL2l7?T@s+_5zH~Srb|G1B~Jli?5&=_)WY9V`D@Equ0 za87;215JE7F$_NlFl!nX3(1Yp?AVS(QlINA*WnJF+IcroX=Gs;8=?Klm5i@+CzS;w zUA>%UU4R>m1rm|2y`5Wr%ZJ?^eRHk9J0evd$DB^GrTl>;KC+ImMfVB67uR-=ltoVS z&AinwRoaFHe!2v#2?0gnc;{t!#Uou_!}m;z^ZgXB+Z1`EsJ1BvGz3hFll&BawKYY504nYXoiu;-X z)>+cQAUC~jxTe5jY)H)^44-?Nxklz5sgI@if|~jK#`@0>ud(0BaK-6&p8rgK58^vH zfXw*Re6&hz^|#v(iwsuN!!wKtUfhyM$o>40Y8En^j%qdU#QNys_a7VLgi0-OU@Xxp>;R5gFF4p z(yJ!RH0zriRT>r4qfJjTt)6E5n*$^PG!`M7Q(}BF7b6Z%6tz|5!uDK_b({{`am$Od za>@vyu~ulD5pu(&5)0JDZb&#!p8p-GXKp#kaMUZlYdGrlJc26@h30x2k7sW{E`0Ci zuO@hk`%F?uPk|#SrqnxE8&_8NIt>Pjr=sp4WHcb;`=|6>g4QyksKH+5Yg~fTzi=6+ zmm;VYL%Pm*vBespp@7?~+(|<_K~abIpAV5OO&S{M8t5##pN+26mgYVgKa-K8#ik-` zn=oE9kpqOu1U{?0Tamv_7MbTXOJ7Z0k*<7ak!Xk#=Tmx#^k(BNhTYbYpk*<9k z_K$QOz(qo_;*U=e>)YX-NxS01dH{?|d!g26BTQT}yoq=3?+0)Y{bB41ywR(+!%N)cei*io>zHRh~VTdv-*Dw!%0RA z$j|d3{{>`vX)4djJ9j1GXS0ju^6jugvh)39_P!hIs6;aLO56!Y77p#Bt8a^E`iT%J zBsy`P)Zx8|>QvOMh}et#*zaW3^!zu}^f9=OboF)?y$WhlNM{Onc)in&6r#uZg?t2Q zjV}|KPj#>zHbW?Z1rtL@41y|U8l*GV{6Q#IIh+Z>5{jmzCN_z_C_b`6L*MU`P>Jyk zkZ#=fxh+#LIDRYC#&=G(J$)Gf*fxGfHznKno*z4f*fcgj{hBuRGi@w1!{rS>+2Lx% zHX!ca3M<;~@a|CA3x^hPWdm^BV!!q^WvuqgcpLH^|1)K{ci*eOtjim{ou66A&$K!A zG2Qd9pW|V2bk0|SW3q}Lkt|;A{F9$JqQtfC&s28B^9vP~EN8Z&uxi`r-Rf8OKAk00 zl#=QRzku;dr6F{`a80G(At33G7k|t>-!t8VJ!z7TeTEZ@k=ScH&G!IP$o&_Vh2utH zXLvN15GU54;qkw8G?jDJs64J)>O8p_p}|dKb)s!kg+0->7x9aU4!_-bo;az1_YxgN zx77>}c^mXv={(t+V+a60K&>%pKKJC_@C<2QH+q+F$%3Du{|-NIz)Ni3mB8~|pW|AS z-tX9&ee3a*XOi~78Aom`>E@KIvrOke*l`@#bVFW_>B`6Ond^BRWQJR^U!Hv~hzs6hbLA4`TwnP9Eu1yr_VN zSweE1HzkS8+1_});wnhfb(fj0a%Xn=2kM1Q#;8^qKI*LjZ)|$u0x&T&y)xTt=<<7| z&byS?_y%Yi=CF^SsP3FQ@^Pxq`Qi^@zuK4RI6vbCz53PuB~|Tz-p{T!oLTLRbhSG% zT`&dJd7twdKf_cz9j&IppjY^bz}?_IhH@K3^=)8)?2cQ`TjaF$rgwhQ%A3+3W=XQs z95V7ud{^ZH4Pqw94?i#}H=N**@ll=SD1|@DJezkY>$m z@9J+%Go3}!tH%c$-fe?-N)mjpyYpO4oqm27bkCdKy2A&-%pF{^C9M@18;Uh#yZ1XXT{+ zTpi;qd653b_746P~NTrT`|c=!LCun!4qTG$&Y4VZn|kGYMQ>=w;` z(;&j|h6N3WsWqjvrfrgDXLgH3DC68AoAXzqI8Q{2Lhfy?gk})hbvf$x_~m}>;S$>F zEE+C=@i*>+Q2-d-OD`f@+a!!fP#?sPwhfx$r{4lE7T@0#nQt3(mLKyZF#w@C&^9R_ zxcHx34VX7TwmX0dr~3-x>!3MJ3n{Tr(?U)Y2vG<5<<_Um%_@@|J(j6(0LY17%Nyl< zA~Fn;4ie-T+F~{_DW6qfNYlbTjCfKE@N4=8RxExpDMVVN5-Ok6$60igiXs1%47f^n z=Fni|>)eJxRmd#_rRSI4N?tlEzROUg;3;P1ON99Vbn9C!cB=0} zh^GMgvvOypVegTW*0%y@djFA@)@Ki`L*6h$3ofrOd{b6o>vGHrFsVslV8pz7Uj*gd3X4E4^dtnetX7SPEHIwe>uDfv{JD;(k)?`e(TD z4?efmc&n8vdOgzu>mGAXUL_*4%2NE<`hC_VV~o)}6rMU+&)C6(l?Nxo z*a_XZs)auEia&wxu4Y5OR9}7dg$?|a=%4LDNZeKtXHtHBgr?_<`|e4l3SZN28+_1q1@0;*cAl6PoN7|mdbIYs zT+nI8766!0nlKy6Mz?Yh7i|X?mkBN_+uqB$880L`=&TqxVs+{IItleh)bLr#AUi#W zGvBps6K3QDwWUG6E(ZBdwlJnpw`+83vb>s#xD#0?6p`XOQXmDdI__OrVvj%@dhL*w zn&HzF_k(wdn~RkGRGn8uEdd`pZ=g;j-uk5w#?GSoK=B{3;tuU_V}&`$2)tRX61GpS z;}EhJ#0zgII=2qMFs^+!JW=KL5>zN1hwudb$0_WSw+Ty?<53*$hP{%EqP{#XT~u{q zZPVNtEM)t!b@(7O`sEA$paDtWGExV-Pt|vdWV1krY=dMObZUR2Sy(^g(&r8vYZMOnse|*B&4I z8|orp)#mAsvLs(nm5)x0om3kAo@_t&yrqi)=LIftJo?5 z=LUz1bafonXoJ_i8P1}25Qq9De+3&WIGsf?0^{rXV^5jKijUG>GFEsd4=?A*^bmUk z-{Q$37XK9)P{rUCL4B1WeMf(hdEVUmorjb*_Hf=Dw|kp{ERG}F8I#glbG_xbRuW@%n{jzezZARXl@t{MQ-On{;ZocRMf9+QeT|=%!C;H zOglam{4s}G&F&4<@4nT8im6#PamLor4{tTm8R2~QnBImXFMq)@*ivhm?e~)#WlB9M zx8-InV1^679a=KQ=)Uj@ViAajrfBh+&R+JgX&{Sl?(P(%hM@)js72M>BAwNZ}5J^EM$Yk(7i>iHU6Agy3L^JzI2oP zg^^}3@2z#dD03`ve8-G$U$ApQ){NF%D$Tq<+jsEm=Kp+B-{g6UCaSMG?m14CE}h{1CQ z+-N9R@wyYc2eAzXU;AAtg}Q11MQ4`R%vv+v&0Fmo5-(Ax42dHT|4dS%4GB|IV|_64 zkKs*3<}SFLp?~)wF!|C>K}U|rXM^f21Gdg-!N20CR&SFMYy9}otgl1vpdDV0k}W2# z3Yqw!9L!=3>M9r*#@?BbPZ_e zXT+y75WTpSPZt=O=43w1`aa&gU<5GMyrAbdmLaj?qv89LP+zTTbdBR7pd{~#9TqmA z22)Gkh4ja-CO7?H+7oYJ(XMgNEFHamO2z8=&z}|>uo`=zpqq=#<_3^h z@dP5dg1|>aVvQM5-bJZoQOYodHSv<{MDAu(Hco2yrTlw&_l`~GV5FKd;!_2VZA!oJ zxixN8X<`lTKh@S&hM$3L&}z5Wo^aMDiL*Z8e&Ebmas^ouxVYe=2vf+sHSu!^lE!pm z_u`*p0&^d@Ek2x=Sk+lXXN31ktT#nHN_19HFURjOIf`FBJcX=aqRpGp%SUj$$;PkD zjNj;$r{e2u{O{87-T_2v$kI8C`y}!-{Bwx`d+rZ=d(l-$yul#zal zo7a^n?kMe*6ThFShGl04Q#_ zB7+XX6%?;LFpa5UWM}7P716w`OFRv!IEGZ4N!5u=d@xtEvmzBF+MC7|m13}iEH$Hm zHxg1)Eg7yZl|k6vhiei0rEyyB-zk%Fw|gOeG=}9A|2RMAKYVKD;Z9es}&9nG-*~Pxix-aXmd7$(zNP`X4>$dy!HgW+;AI)SO`* z@LHq^w4>=~_o!ti^z&W2lD+)LRMOW8#0N~p-NL~9G4)G>kL0okU86A?5JU72baj{k zWQE;FnDO0Va?Qgh9JO+v=`hAN9Du29_-n!}yo*jHvoa@z7REo2;Ya*MIg24N>@WM* zZT`VqUt00~*UgSSW`q^z>O-zFq7NFjAy&L&uv#9|bo6T2>wyf<2AK?F395`Xn9N+z`MrqDbb^bhuoMc8{XA8szMKx8#LaJ(G~ql zQPu8%;k$DpYsHBeZxeG;5)Q^qMn|fJCB{!eX%p1d} z;Eh_W=__zuh&{r4W6_)_z6&Wr{3&>C%vOy5lO0x85xd$*FH*7)JOagPa{GFRl?omN zUs!sy>tL+z^T15HB@gq5)u8zcmeJV61jfRPS{o0x`d!ZV?mzC>hJDAK-{6cprlEj~ zg>rBBu(LPIcQrgZW4p{7A*D5Uhzo~r%zfRH|~wp;P#-uiz~@wPh!ZZVX{d-e|UP%#(O}o?JbId2%;`!X&84d2(sD zd9oJZm?t~g44b1&f;;ck?j9}t^wih$z&BZ-c{tgSGD!&7$ zJEK*)i%c26d4aieBVn35qm5`s)8;+)O$a!CI+AfZ_D}veol@uRcaVnZd?rnmoin}> zeYN|IOz7*4|DI?T5@P%+bel-{o0Mm7m!7(W)j-`5_cvDb3 z`al|rA03zph3S;zv&uc(FL!^+wWH7gD2$E(%8c{1^7qsY-Vxph&l`AsAh+@*AfEwC zsUgTkAQN-p$IA2e_lfj>It!HQ52Omk&dN;9YAV!t$G0%?>FQmpVdVumCR1+x6#Wuu0|o!KUK52y7zqgE_eKf$`c!v7 zJW+hlKy9oxX%t-n0@;$YJDND@vQeE@>@U2j$dn2y!-_vKZfKa4UCVB zdtgx5!3xxxk9|p+&I72Z$kxzjZ*I)k#QoOFw3W!j8o$A=ZLDjXNy8?e!{%J}MAfq> zI$6NZeS8q@Kz-?+6ygPnw~X(g`?8O$U}D|qgpWl^+&+U6Utz3=W<71Yy&Z>S-XW%p zEx4yiChei!uVZ9m+Ks*tuy;e?kMfB)!qjx{b_pOf96EA|1j&%<4Bxyypj8g=xnh74vJ57 zo*Zx-Hrl=5Ebcylr4BDi#jg}{v5ER{Z{`Q5N}l&h&1f;x#q?SZ-}F^AMiFMl^-%LQ zx9zE=hXQ+NUmpP|y`Mrp^Arn)v&7z)>91aP<-5>W`><19`otgdbKbx2zyB4GUcUi< zv_IuNoL_4FOy4!jhV#acwjg5GU3BJlKaMWmer!q$&`Ol(J=g4b+LwPOF-2Vn`F;ST ziiFkHxc3eZ@nKHNDW=ws386R$x^J+#FGnB7gdFr|Mp<+s9@UVkmj|0ZU^V*3@EIfz ze7P<++<(!>4Sf>NR!7zrxa%Ts_6mx>OaXB9oiuR#S6p*ZYQC8*XL==z{2e(WtZkaeJ@fTwr= zq@9V!3p7lw$gB%Z1~jAH`#H-5+W}kCn==2IeV36+^edk@D4aJMTm6S~DF1Q16VeQE{=?Ay4(~Tbxm@S-Wy9d61%q=V%U)=E+EH`bpKvl7C3L*9H!!& zJaL^S_-KOF;k_$c5aF1h2cRj^`OmQxQ(>aR&95X+w6C))|GT`jOya&WNhBsd4Ug;9 zo%}V^nW=phS?NMza8F6kRR{I(&f2T zlID2601$r{V|ON&rsWIDTo!5kCMP^fNmz)U^+HM#*^sNzFJcTx0dLOpy(} zvf49&va{RMOYPaInnT_l6sPu_W&wGLPiZ^n*mnG-SF#68`!P5 z={E|Q-4`#JFb%fE4c^;(OTf{+IXm(Ylbmdj{A{B58@`ND5PKl+<@>%i^x%Fnn(A|-~1Tz{wpz#F}wX@gJxFj_*SBQ5rcq9Ng!T-pa+y|okAlquMjld_>`8dlz8aE3>9~v+xk|b1qoT=Jz#T} zXiJF0Opwr)!jy6P{fyl?t1T_-$O{FRd&@2Bv-vV$(6n8waFVF`DWY2ZyJl=6fJ(QvE@;? zAy4~FN#14jaw*lq&L~G`e~mHwE-Qi!2WuHL_5{4KHmVyM*~><&kd#yvV0wp7Q2yYx zB3f0VKZAoURmJ?wlDL;%ygP7>qjD=kx=ZtnMaG^`5x$~7r{QERp>uK?POud}+dl&xxVKQR z!HG914^!wK)@3cA{yKV+&2(pGrh{dbWZNR!p&6ptGnDrX!wo0%nzhv!2|{~)w0C%$ z%(~CoDqvHK>&RcI)()?dgPL0VXDg;8*fgId7VD!9ue#JMvH8fTGS=8fDNJi@A=yZ* zMYbL*ZL`Q;zzZX3lNd}bu<%fs3+(m={CBKpi(F~f*8%Kl6RYt3S+VY#Pu(4r?rO8X zE*btXh)EP5+#BDa&ExbTI``|H%&=#oko#aXJ@edI=6~oRU@~6-!>G6 zk;(%72Jha%r-;hFsV=Eim;8nUzf0x%zAi=f?IH_0p7GvMY-D3D3{b#d&}zMhuwBkv zl+68%g^~BoDqHR)j4}t;u&)s#WhH8nz|9dNi#% z%TUhb+B-D0_Fnj?dfaxei1~!he8c|Q(SHKV)cHGDBv-`kGBwlGT{wq__q%_aWy&Z< z5WE*(tH397#B4q5>4JBIs#n~K5P8>Zio9~dP;F+Ug*!sb{yolq^L{zhww*FljQS91* zw)j0I<@^T^Z`$WcrtJ%TUbE|)ET&Dmkwozz3Jg9ermZ^iOrn0N_%)+7N%CvVTk&fO z>yckWl8f;^KJ0o4vTbR8O*srPd;Du+48PWJmgU#ZVj@icN?>EPB(Tr2V1Hk5{`@na zUuz@cB89Bj`HkV%Y>6Aai)oFOZE5{FUcBF&5DeZ(vp9thwcOiUkS{^yTJ0#A@B@59&9%_I zrQ-D*%$W^e4hMo+Cc|3Sg4?@YuiG)q3RVlClduGx)nK zO9?dN=EjLpLCy@UgeFj}_uYT6EJ+gKEdQix(Z^2nC+b!6FRAaUHg~<$3@;%~<#6L} zzryp~lCSOE4x?V}<_!5L6QPbvle={0X zaX{L9I?Zo0qTRqZl~FiXUK8zbsm;7#s}g(|FVjAkWb!uta~J+dB3+4wsY|WWktA^8 zCi1dgV%N~b1l`Hs#3uCel@!q>do1qAWO3oYGD!YfYRicbsw$31)qtqg)X!o`y6BOhH?vC3J3 z9*Kyx&hoXvinY#7rF??XHxNcw4#y)umvQQfkWFCrb0=o(1eW5gzrNy?`THS_Yq$RT z)#H`i2@vxg_W%3~b$Qr!`C$g0!ZAF&w?0mG`H6hmE}w5Ujvd~6x0o)s%`14x3`2Z} z_o_Kg!F**= z>nwcK`nlTZ-9W)j3ufdrjB!>@oL$isKIRGdbMUj#dlJanCeFZ9{FNnb%|`#2t8F3C z2Eh2KfYSV`(>#V}(?3iCChHKs>b0FD9RkTASy}cYQe_YPiy^TM$JM(R4)O8n?R?0L zE3cSHIJV{wf#iuIADS|tiEqgaIY=RGgs8w(%B$7(F#?(voP$2ad(ba$El+WP|0JqD zdf|}xkCe$-c_FSJmJGj-3cSw|IW5KN!Q9j_5xezJIerSa4q!9FWdREYB{ozi+LM?? z!Ln*V__$Am!ua^(% z5FgZ4;wg9UP3%x#hGzO*`MSxp(OdhV@Ye3deq`GzdmYKAPFFqm5y_%4u|#r8_ADdB zo2bqDfOF=^G;#|gdn>${hqrvOX8soRuXWxXJC*LjI)*`R{KtZc63^;ZVzQ7^Y#|F( z$Tb;-)MgffuU1pYJ{D&Dzkh+7^wY{KR?VATF>&0&S;ljfoktUEL(xI)PZR^Jdej`r zbEIIggi^$jCpf#9dW!T7iyloux@=kK=WlfE?eNINLZh7H(?YiQ|%J0TE@| zA@zir^;U2;M2-4YG>w_L-(+B>kv_>TZ-hjfK61abMVzQ2&dn&IJhKSSHDYJHw~X6| zglG93{Efs&i~?GF8ocpDB;t9S1^wabhmC(S)1}6>Bd>;dZ!y+`8Vav{jo}H3e)>O| zir8PiYGa=yc6U4v^6~6cy|`x-A0`wh`HOEwb>}65^n?uXG}4a5g&AzcxjeinEopp? z5=j0?>l{T}l(^C+zC?*jGZOFGkxrbNW_Lfr!f(k_{9#5J7ndzxVBJz~^!{qFu+jVZ z17HA&z&s;gh%Dd)-qofuFtvcV8t(K;%fJ20XmZlW@3@SnAz9{yewh<(nc+t|4~ML( z$b)=&DIc5)^*i%ek}Echqq>dWK7OWZX+LjQKf7HVZ=}(~thlpQ!p%{)q%qVlv(a$1 z+qX05{PwmodZ5IM&;%wKs(>leh|X&1rv=bDN$UIkRcj_oL=C=Rf;uA7-&wSPo`>9Z zmFO^D2%SXUc7vZpM=0VIM9NFT|A{1W7Yu~)huCd`eNa&wIY_IfOq2zLCL#BpNM2~& ziAjJJpgDKiKKx95c>c|5PM0AqCjmZ|9)`4Zeplt}!gip>ZBNch6{SHSr+18)9O2`D zi~_cIr3+L$!(rXP)q2$>6;nASJ^$E{JIS1o4&gsn_SpDA&Q1D3_HJ8j1+Q4IUo|AI zZkIdH<67gNOS%ubQkhL!e zJe#dzH;1epUcH|bf2?i?ii_(@ya|7>WCiMo|2TbcPVzLKXdgKhK8b0CKNp)Q%+-^V z=1};v++uYU$1Kf(OK!ugEWdt+vq+c1DfKp_>xQ9ujQ~ak6lp)NApN&6A zEd4d6p+A35bedo0n-i6AgUJC?q7Y~0RJwkW4x7Vg%X1Li%2W+!@hWEx zf?NkgiJWAPnopX&TWk6w@p_sL>hNaa8mRLq|KlYP)j13ll;9uAE>0a@kQ7kTnK&YN zHQ?OQW(Svia>h|kcuGb>z;PBe30bu3Yb$waxK`kXukbqc&4BDp z-3-Vgwz-;g-lI>Nsa0c=p^NMAR{1Hn@+9SP3VTptvErA$Hj<1_c?jZ{7p5V8?DI6l zyP>2{!^NijGgQ_^e)%_;^1}gjC6va_>`Fz#Rg6^16aAD^ZAx~dFy4kxOe*n)*p%Uy zOn)@*%V8|$Rb#SUd(loqg~}Z1KmMPj=v9g{Bl1O+XHH;9wiRNLkgaL0m6t_uuw#sF zGS8-n2G_W+$y-gGwzsuxkiqpmbXMK> z5SFU@PMcu&y5D@;)V=I6_jZdqu!D_Fv zWv=c&m^*vy^nwK_Y&xpluZWbiO(jhTppL^LxH3Af4_}=2YUBEYdAVJDaQy)<71Imm zy=;Vf8@!>M9b+=GD)zP^p(w5%Z0o7$96slGKl{YY<#L>J&PK8YqlyT2=%=b}a$TZ$ zPCQrM`7VPTdX%Fp3vCPReZQT18BOd4uN8*^mF071IL*UYo+``D!6|2v%>R=|reY_r zezR9kr=_0A2;R5bX{q)KO^YlaGa>iIL~TJQy3mgsbT90gWQWdN`MIGp3wZ=rOhO9p z{qhw^O{{o6A($j+Uv|<5-&hA-2irV9w0T^Ur&@Vt+dNT4kf9$LD$C~$Zwyz-2;mhh zVW{z)f0-JW*xbJ|xu=pF=Gr^L7I4N#s?^wHSG_Na*Ws=E7$(4s3!(UNzI=H)xA+L-f>$~F4Jh;LZKIxoBLk{Oe z%=T^WhlsST2K%K>z%Y~r0%|P5etMlSzNNF5Jn(PuCfy)635XLps-N6iaNej5H$`Y6 zw?aYvqlZvCRb_Rm(gQ?{%kK>M;<|e$<6C;s-Tiejz60Jqq|{!5Lh#hp+vfY$`(6@= zwm8rF@Gf;+&-cCbc%DLaCu)ATd%s3%1yWj#)xsKe zNl1;Y6^I!`Eu(#=zJREE>L3yL*=}pOK($!g3Jh6V8`x>ocK2STYLBq(+JZ`)OKeQ9 z;QB-(>wK^cj?;C+Wyjg{q)@DHxnNC#w0k@(Tc9-2?k`Nx$Hjp_dX*CWv-eko+_9iX z%9}$pl1Ce2#0u}x2D@qqoHD>Eow+8ojyl!2REQ5iy1da0nysO+HSl~?O*h3PGxcji z6p0pdsRsKU4nFD(EZrjgBIFvlv0}PiDn_XW!(*85&l^Unc#y_ctne@%!4({3$nj2k z$xxU>2{EF}c5lnu-{9`1vwHNaV)XL=E>yTvOA-Gk)>p8H(uN`3-m`U43S;S6d`PVJ zUVCI`g0u+I6YB{^Cil9m#Dzwt7O0UqfE`Bf6ToJd{pJR_@BuFArMXwreJZ2 z8f+wp5v&F#=nN!qMkW@ERIEyA)24W}E@ej1vP_y#6OPkzTl=fM*S6Z*+wIzFm&;-; zCV(M`tZt~SxYYK9aRF>0LCg7lpXZ!evH*J9`~UsMdDrKC_UCzfT&Ar^SjTzK z3jXS>vo`ahP@cT|stmI0b1Ex!+CO?gti#62SNT@3KHzu%g@80q|7FZ(2X>lV3w z!@aJ@Yy_T5%<-+yK{Ryyu|J4Svs(b`Wds7<6fR{afgCKOcGxQ$ma>W2xgpoZE#Z&S zQO7@hpEMIC2z{Hq5TKM^4A)-8KGAgpoE766uH$>i9p4S^`0nDzjy;n#z9sTxB;%7R z0$+X4)o2W(P6m7%@FCCZsTx*5a=~5{vYhagWu?o{3|srcq#^)-^XxzTg@F;2vx&?O zTf%|b(xrdm9rSuqEh0LaQhNygo8Z-&veJ0fIY#p>6c-DT+R94p ziXWoG>&8Z8G-EbpCER7O=Dg1r<%vj@4~$)znC?I@82COB9-6;O`zcGHq)|$B>E;JL zOJM90W`8xt=g_*>-Pxb3{V)DJKlYJ5S+oBy^5on5-gl6+lMg0Lpx^C6yxMnmY^<0J zL8f9(^u~QE9x`1q78q1Dq2G9`>{Idc#72eBj641penO|7cd!45ABtEbOGDP&_njx* zhn+lBqgIu@{eDrfF>8*0)hlYVk~IvICPi0qzMOHUllmhHrq^aN1C<6dYcuahx;85% z-AA&>{p2=2kqli!RQ}52jlk8m6#{RmvDHbLRULh!SA)liUL~I&JG9j-l&o4ru}Z4D zY~tgdYk|Lg4JUMPT!$n}46e(`%9Z$-yEJgAt>(QR889RCbpyD_K`A&3@NBLU`P)@?<{A zBFm9jVTeQo{RC>UhjBG~sRUgq`V6yGbRm$#s+?GXJ+Br6%8J6A=ot|dXc6OK`Q&Qq z#~l^#2yHo)SBX)=RjBCLKR18+ycasU7iS4=CQL;`9RGZpju7H3@*`fA+u-e$9ADct zhz2}*!92Lr}@<;Y- z;ARdll$;0}oDs1a#)JcJE?t3UnOS*4=7}JePnB?jJQLH-<4S&a5ih~W>FnudYC$0upxz)&n zbTx6RQCpK1Hk~Jh{K#Yt%u-~tQ||d?vTsu79o^=R^93{ToU3zN4#o9RsaIo^g%JKk zxGxTkWBKHO$8fpHsSnf53Y)aI5{~ujG^L4bU}ny&!3`d*mQPzC(G;j|Ms*jg_c$ELHFkZ&KFF9rPNlUZKyPI zcw=sKnj93x7WE-#Jz6Crps`AO$G#lAygPi+AuvPT_LsOd6EkR>m(qD%h6Mz-7d-OU z-gu&tuJy$e_UqSi#+Fo9C=Ar|e~^jNE_(HN<2RjgKilogu-*`u@|9bcpCSD&wO&}g z-i9G`{3|JAHYI(rccd$&jIKPh=duCJYVZAA>T8RiV>*b?CVAB0M#7trBA?owWZ|W(>|mCB_jD7M5LQ#=8()Ke)^| zV0(IQ;CgLle0DR)>YzS+1p(~7O&mueP0?dnl)?b;_x5Hk6BYV@OXOGkC8dM{v~OAZ+hBJCyBcJ>j)O+Yzaz^c|h7M zv7f*9nA2P8Me8-N#BH{++*vR+VEZEP>)Lt!c=pBK{rDKzG;Ecat!TT~%qeV{Qy8+$ zVXfw{aAFQviXQm~=+S_RfRnUuTBo{ML=&@1?1kSHW^!N zYoW2`^1>Fg(7If@MREYQTg$)If1(ELR(ioAa=O`wFb?Tca%00dp>x=j>BC}qkN7w{ zuh&NKzBo>K3iC<2*35-$-2wFniwk7ViLl2Gcg=TwC zUh8apW>qsZV24ukr<>nU)wJly)03w8!us>iL1k`UV7lhZbSW#d)BXVzNgj!GYFb0> z$5mj|#)HS%px~25!Y^2bSP4|;@Y*|rB!GY90cAj=pOPOWOzJKD8THz$#-fKw_}}f! zcVF1EoH;pYC2>K+y`Bh~l(p z0NUlY=<#sUjMeEikP37PS}PRi8}_+6AvzHYbt2M9$>1DVDWBQiou?hn-XAY?gbLwL z+e_@jTSY7#8^$1G&7pjWSwCYKq)Zr^#b$5)QC>veS+GycspTWVK%3*A2K~kGeYzvB zs01B^TwO_BBfNUPtU2P90m-Nj)8|Ptv*`NTqF-`C!{T@-M(RUk$~M6hUWe#RgwE8d z^*dXiMQd|Q>gwCFyYtIk+MQCjJ2jT{r7$dIdi4mqMg|gH90Kj>wXh1mL317AzWhVu zveyjky8U7x~#V@)tQ5|PZ zE|>kj|1b1~AL9F7`FtAR6fdoesTHr+5=DUpe}5a;nT+bBxlCLuYE>O9%$?{HZslCx z*7MBP8&Ig;P!epp;WXgJXs+SY)*HqM@6G`MRx0b)olYW@4;yOxcT0REOk$^5u_LAL zHP#Gj$-mHaI@q1>q8KE-c}Y zT|Rxqw7ybk2EI}fJw;Uf!2DpdX+~jT*JddUhEo+J1Y00Mpg^>MrmI{OjbBp_qT39B zlx;M@X9nIj?*5g0iGA)|HW-F3ZrEF;s{3;M6GT9e;8D~OX8T)tkh8<4HPWm&8Xch` z(+p0)egI4lrktitV({~wy{W1qPtyVdU$VdTA zqE(LW;uo(ph0Mmy05NDQn-t z+6#G23|qSn5S66 z&ol#vVxv(;a;pqQRhA7%=E>TI{?h&vYsM;|SQ1g0&ID0Q>LP6kWsLtw=mA_S!>-MDDzn~7) zcF3v)?CE9VGxT)3BnT+we<1t_)5@$?E<4BTFZ|SYlSDJtTuQ!+Ql-!#PoflA`kL9a zh2BmK2i}kUSQhG2|&=7q8kHOo(LHKz2APg3)%N|m&!V2>Sj}L}#C50S(*1B? zZ&*MADC>z>hn8JHJd>>dRr~16uze{2;6U4LzyM+V`A4LWiR;GZnt?wq-NT<4N{Ska z%d5mF?P(zs47n+In@<6Lb&ru@zVsPeaLlrOmuzxK8XN|S4MU}z^ z6F#L%*31D_f~JUX@j^BAO+lF||h;e#c7!lh~J zcrxV_>B;<$5iC)vo;%cYs7{zM><9;imzRMMLS}qjjn=ezs|;pI+P*9MDMX#xX&ABy zOot4Il0o|$c%aH);6vcncr@Q$Dv#8*wsa!cM~$`aHxmUygZ8x)kdm^Be767?+{97S zlE-d)SV%Lqm)ifW)ttTx?V~Td!rr( z{@bz#SRdW5uY$d;1p|!<3T3b3Fic^iNCHMI<#YHkXop2(Km|E=IV(xrWnJKJi{Af6Z_! zlh`^Jt3czMamJ^BP6P{kGz-v;uipt4#G4a`(XWhw?>3qxpQUNJIXBG2U2M7SR*2n( z_&JwpJw2~u#`$5h)q6vR~t^$9M;riJ!-xZIo8 z%ks!HBKwkVF?}r0mKE?)vhGke{jC%<$yIkB!-?Pu1kzXu@aIm!S(Q?o{Ricg6@9wL0M7YLFA{(~=RzEm(hOMFA2-7MXZ~ro zUF{i;$!r6VW&;?gT(_^||0|w32I0@;>P3Nf+6`@D0jgX1l6hayxEJnwMxn&}W53$^ z2i5Ssra#3=enRVnQrX>)sEN6YCy&<4RH4hb_wn}~m){jmI^$fI(VVz_B%Y)sTP8~B zR}d~l7P6+7s61p%2g!y|I7}~X36*A5@?p#Oo}DcH1oTH(d*tc0B2$6?1*$7$QI-g> zLQt-f)y0UiaE*8>8O@s*EBsGo_|e}_zw3=>?|uBa@cQlE)U$X?cz02FUCQ9alcl1P zS`r3A$m`X!_~927GP>nQ>PGjFC_c(vXq_QA%>ByVMLv5p#Rqwj zhLf9qFRnxB>N0@qU73w{7RnqfP4WMYp~AM`A0S=4FZF6!(v-6ymVHF?)A3&C%o(Cj zm%iO=yMT{FVE^!eJbNuv33r? zl;y{oT1d6GvuzHk%I#L5A!3Eu%c9~G;vC5f120R30mJy7HznNIBa4yQHcQE6)fU$M z0uf>qTivm4HS>snjfe-Y@_ zk$0exqs{jBAaa{r8}nXs-Rx_=R`uKyU%DuKgiLQ6VH0qWN3ypsV})>y2_LZ$^D(=n ztkTHs-!xggiZ*lIkXLtijJWaOdEuruCCDr>DCUpX5D_Y0ZLV(@!6_mtYxXzQrLgI}-f+s=Q<$tSvj~ZR?b~ipa2%@)mU;!eWL_t=B!h*Xw-j-ZHPcUUP63 zJn4E#bW@h)%{u+HeKflArP!y$8=5%BbT+749kzJ}YD(&y7u(Dg}6Jign@? z;PhI}ybTqd#DLKnw+VG{jiIOCEmdQ!aQvssY~XY}O=Uj&uaCOTNF9^+gx1A9F5_)R zpx+ADRBYFX5X+FQZp8(Z*$ zN?cije$C#ZW9VgfO;xG*zqe2xG_BjlnAQy?8Kh?B-BxJkoo6;46C9-|&OGvGCDdsY zXXYI=^Y){vNTWDWRCtI`vec(goSAn3r#1VNtaNyNYPUqtYoJ|CV>|Q6TN%WLg2#_@ z&D*kl)H1bAP>&!wGY^YZ3fIAy{10{vwf4q!RO8{gw*=P-yYm5dj9Gz*v{SmB!L_L! z^Y|XwG3~#VMOF-_xK0xR%C^ZSL*9C%*mgxW_SFPc*=H*yPU9IS>;LztrXRuC1(0V^ zh_>noL?5MA3ePBFV%Ca;Li}vEaA}_iI{v$V$2Zh;RBX^za;Bkv<3AW!+~JAaeo_ug!!S?Ic641EOKX6f8? z49*ewOzAj}H$Nh8;`&u6+Gl$tGzh!6iCx_^)~JY8*)q7FRb9$P?kq?>Y<8%{Ko$S;Z1??K|P-x~=$z3eL)nq+P`=LfN-^A25eiTV3+;cu47e`$SW zoi&?LcZ4A@%4X(g*xW}hKfE78*aq*)yGI6_M91t#yh(9ChxdoZx6R0@8R5|2(lvqu zAbX;oW}-3}AbU2%pCMxH9-!~FL@w697}&M!vlYn5OUp_)fkNy*b=Kvz3a@p-3(xSTWwCwsgV13e6e2(t6b8H zLvZs4rVJ5GMZphuz?6t%6BK(z;pK^|#yfa|la5QZfA@I2G43$n z1FFZA;aYd>Ba8k{7Px}w1<2mNdrGjn{~7%$euJOTx;F%aeh%+0UL@rO=(9;qZ$p^@ z6I1-}Jgc4jC#xhdB38U=PX5#@^J4`eYjd(-Y+KV_2S^%)$+^H|BDaUu`0T%akwXw9 z*2_Njuy!VlozU8A-}EmMHx|1*B|nDNNg>r@ZMPriBUrZc@%xX|x8ZC(TF%@0C@%d$ zWYU&=0}u)ab}ik{;Ow)trsxKlu7$tWHtgjQnKpNR(DUQ>F0K%;$XArt)o(}S3*~LE z33M&{RH$NmjkR0yy^+*P;RB6Z=*XL)yk~mD2VTD(c)Tg#@eBuTWP?X=eD{o;rG*@- zv)>o{P0E~>%E>Qo0#D!;5nP4HD;5HTo}t{c*@LcmCOh?=0=h>}vyC*RY$=K813PBo z6+7)o*D={jNC)eSj*Q*SCWE;PP_3762Yb?)NHf=ib5YpvU03W zK|!;h=OsSkz#yvb{k1D!S{~K?gEAqtf1U^(*&oW=(|dkogL@OSUnXcz$k~`ZLGJ!J zgL|0Y>VbpS8_*I&ztUT*jURt1#}U;Vsfr`>6#;f)6s03PFA(IJSzNRqS-Uq6j%am>dme@VPmh#}L3nZ%VjbPP8PO@0x6v-hM zsZz4Ra^NRY-8oTTWB~>D6f%hsIb3sVO$&7YXiXJK{?tT<-&nb`O+OsNw{KL^vZCcb8$qvhgpt{1e;7lvf6El zRBRbIXVx&v7E4(z2o{3M$Pr}kr4F`9HDP>@1mxfr*lhaFYVa3@Vir-)LcvhVnyMi& zl4UeAAlY@H@<^a9Y)o&9(7`dLj4v+~WIN&NR8!~>);_{XVpdLhz-3jY^QCI?6uisy zxt6g~NxOhz^MHGXYp`lc>^iBO^UF=CC? z=E3sc{9_o`m2Dy8+Z&x3rj~#RaX?jIcKOoHde4>dz4n%&eV16YR7;hwEA>oS+Ho|> zB`u*6enzx}M(}e=OXw7SMz(}TqJ2hFMF@;Fy_8>oY7kej`^wWpwkl8K%G3L)u9rOh z?`pL}o__5oS@Lv&kSN6R(`%%56{(-(y@=EotG zN3xWFM4m>*T#94TO=CV?Kvb!#U|C1P19RGt4GF2{jz%ewXx-E^hdXP=2 z%>j=f!F$}#AREv8oC+H^OTE3=M2}vi=F^kE1~Etd<*!274ItS4Kh2iE{=l6(RFa7@Rb6P=4FB7l}QVUgHfX@({{eG`1RliHZQ?C2P#OHX#|<7gObG zau2cTPr!rB2Uho+(J~|U*0#j%w!?yV(W4?*UhZl!*X09n+m!h z4Z$(ak^T?l{VMo4Yihvj#Be#$3MC9m-{dd~>oOp|#2zbC3^TFqC;XC9{7)WA*pcpn z0)&7;*UIv&ImyOo{nn#L{2X62TPI0SoPF@eGLpsU=<$aE5?Zm3G_5H0MXbG&Bl{C$ zE>Gm28s-Q{F`CROl_#dt8OeJA9Q)^`;nBTs1-GOhWp_u%@42Ei-C4r; z4#rMhUn<*amkiT_yzDYL&&r;mcmeiOboa)}f7dC$i8F)og_S0!To9{wKm3k-h{;Cq z1G)O_{i5ckm@(?qR zI9M(qBuUd6D;WB9@rDh{m9$ahw(+{##+&?v*3FbQy1u1t?9a4uw+f!PUevNeGG;FE zju4kdb<-Wg9{WmRjC^K!VCbk{7EnQWzOLyuyAW=}gA|>=$FVIBW7-xu66ZwwFb;w)Bu`jPDTjX#OE%{ze{eJrp*^cOpyblP3=u3)=a( zcq$)HZaZXLQ&7%TgKU6X%t7^XuJV?rmrxs2Z6)Q0WI@o)Q{ zOaxtb{JZYwGGSKAN+|z&J=XVaJj~jmGQV#8r=;FFXimANbom4sHDA4_=Z{{&tPh)F zFBa5_8fy!@^CmMelk^h8plIca3kEjWX#F14Pg~JBD2HaIe5Q0c?(H(YIPY1XkOnTa zPw^V)a2uGV4QLrJwp8hktIraRO7RqGbNuH#plLYBb?Wc@hUX*=B@i7`j(yJ$L6a06 zPSMuWySK2**reAo4Ur$X(;)hE3cSTD$NxMJfoG{}saKc81qsp1v4dV+8>JRzt<*aG zC;F9-uUyhqO6`3V@>0@`M^kgX{ZO0Z$WduvkDd0b@D-jpGDY4|UY;teDcD9h*39}S zJ^W$G+;Jm;CGDyf3>|lX?CjvVzGU4`D^2AFF%K06}iUJ14sg(cA`M-?+ zPYbrl#?-MvrX>cmzmjWXA3Cb*l@aQ)Qu_%Q&x#I!~o#N`vTS(3y@ii1ZX9P;#l*?J>SN2)Ohv{7LH2TE1P7Ke8 zuCEE;Sf{}~L*(!*1-A?Jq@~G+ojK*q^r@z`xKI*%ehSZFIt@p#?efY%{1eoBA@6llui*sDpDCs3r@v>xn|BN(&2|NF%Ge1ZrZPqNOiQEX22 zAx^V*z<-wO_~f^6$1~O(LJ!H=*S9Z_!3V`(+>BUnc=DKf(hkcPKmGF*f1N5xkqnLe z(xEzYDw7O3To`gNIc*c9{i4Hx+M5dlw-kQ)adB=1S_ES%XQy4laon5le()mpxGk7w z9`W_x{Cp!b>hV8`f-gP}YKNF`@~CghorSm0k^w+tbj9EC_(371!^)-qBi;VnkA6>< zN_%<$D%12tVPJXTm+5?(HzDV*{f8e&Dfp=rvF$o=Mjzm&KV4&4cW*56gGQ%o9oRr*RfuUXM(TLlc!jKC*gCxEuImMh3h+dQ( zKdA7+OAlU1B>|{;@Dc!CSQ!9H0&Zkx+!4xv)V_=YM*4T0d<6ulI*(QgSPS{G&tN{X z@)i6Zpc@b$ewxoRi3qN^UuIEp#(?+`drjk;uSg>h1~2i|{bdK_fmQIa^^gWv+}gzx z+kw48;l6N)DBQ(+!kTt}yHF4MhhDylZ!k-Z_^wNJM1E0pp70-Q@d9M*Ct-x2lCnPg z!CYx%uh~vQAFKFUsYpTqq4TKO%q<1AJduC#X&r00iegSEp7(pQWAB|r8bG+TXV4N)G6f=}?oJqM)VyJ~izw>RF0*j~XY?tJ(S z{V*a?I)D0(@ETm&m!I~&vwkEsJN^r3ceO~7G8>|N{JPGrH(!mLU*T~tY0}d-sO>~$ zIU9qyOyTK;=_x$@8ewE*<9Jg@ny($SE`*yYoa0U5@9uV|aE^U9Nn_k8WZKH)V|UuV zD|(781;cqF|Ln5>1bRz#cT?S@HuiJx*h|?XYJa~PRtP2XFq~IpnC89k5(Do3e&aE% z)Bo*p>A_2}$)Z2mM&DGBq2ody{Gyff8??`$=M>4Hz5IKpe~$0s)8_IQ@n|ItLvsfW zW(X+y%lpj7hX2!VKK|=#srk5r@DX=D;?we_y4Z!R{yB`v@qhapzMS7)$JN+@HKtF@u<2u}K#K{NchrJ-9ln#%;gL)c6;! zrAB+r$3z4w{=sipnuPxq9y23d{DjusBr}cSV3XvbPx#y9bHNXt_N(6%MrNB`FKd`e ze=7@-l2=|7afPV!Vo`3TSa2{HOf5pWNESF1Me~9!lOCO@Gd1{MeL0U_pbc(EukQE{ zuhxwVene|<3Fjf+F-fk@7gH7zU@7>6h}>-aO1f;$PHd?e>Hwe+$|Fev-(QkO5Z$Fk zm$E74Ri3j?gx^2Z|5UeDpyxz zN$th4n@|h^W~FhC|KoJXg9!M8R~&y?s)zU^e@n`t3{On^*Y}I%OZg{7Q;VoH!J?w7vP`hx{EJrD&8=~qi9>W{(WQx z$NwhncI2N)l}{wElUIBx_E}?1k(l-(Bfa%{0`8V(E|zA-)>Qmi6D*`_`LS0^@+0!R z;^hYHgT23JV?o?ym$B7uDhmobW`Z4hDe=>*@5y%`d_Ld6%Gzr_pW%V7c(3_vSle$t zujbJ|aX#+{rT*jd`6;iKf9`yy^1pz8+5c($>+QWXmJMK1`W;9&71 zVc@3XB@aHb@hNV~E@R4q!i!QC9hjovls&39I7TEtXZ9yqEAL(kzWq@BeSOvc`tu~c{wMUO=}nyA+Xd_fD+`H16GREhtS*z8N=)1H8}_D))r{si zFvzowJj2wO%53>1*DOOWjzhnMQ#e9?4-TrhTJ*iBTuKtt_f=ni$Nc!wYol}HN3V}w z5kI;#8jc^Wj@HDFUK+hDezYPwHGXtj^yBfPfoNs?=)`D6{OASIbK^&^i=GufIw5+R zgU3u8@;A9PejzBT#I&l(2*5Op0oJ?s`rm8T@sDqOGpLeCLDfUBOP+N}p8yCt?5;0y zYpfa3yeE1{h9YrN;#4NVaSQeLv9c*T~+&49Lnz7+Y$N!raWo->yP;!Z<_ezl8h4NRsckw_@@V_PR@Eb-mks@;HiP8Kp z7ar!^#?f}AF6;j7yJ>-~UoL4Q6OZX*v!WZLgs1OK;rG!>AChD!xYsR&JYMm%;C~lC z6nXp>NC8Ydo!W`qjTLaTO)|eD=&;DYb-znYd*N3ea(?&6Mstyr%)D!tcT5E&j}u)K zIIa>G7z}X~w0B7Sej+MQru|!XQKIqTLDkbIZOe1#vd~M6LBb5P5-26@VKDL;Cy@T0^gJJHi{(Uz!3VK#0yYv0C*TxZMHGSu zQDUl;R|uKseVyON%>txpwM`m^)!(wzB@EHeN?%>f^a9P-$WE=QzAjeKk#|AzRAa*d z0jeigD!>)VbqCiid8=ly=9}QJpOLMiEH$`IJb8sEh#!MswDess)FrPGKTmge$46GP zFJpNfIoGYi@5*VzkKk=eWce!gv%ia~)p!J2-s)Rl&f?iE_b}X)hbWqXPP=7r%JWnd zGQC|-b^KZWtBl@MTa?th_Tj90E3@lmKVD5;o%Wl6q3hM^*3D3by-E8Hy83zQx>4#v zF)WH~LS`5<}GApQ5^^Ql#r@2JEe{ z-mk^UHGMBpdBHI${heur3Q0Pby2W2q?hE_eXF~j>zR<6HUH1Y_X&}nF(D&%jo}mB_59{%Qg9pQXS0yTywE^!ZG6&M$S@q^aY~b4I^)bE`X> z%Ahj)eRoLLw`nKcd+2MT;7S)N$e+^6TumR=8X88Jqhx(KZj@uD0=^&NeoyztbY0TB z_NSiuu(c|`q!d++O7SVchO!{m3sIc=?5u#RAX`lFn`fn}yJG2PeoQ$dv) z*LHuIcwa{#%wjQ%aNM$3yXKkF`IZl>` zZXjKXKZk5v&nZc&K#1>A@}mOcHa_d9sV>clf5o{VDp|IKkV?-F{gTXB_Rmw9u^5l= zqi{7YrJcOBxBev`fe;0ZaSTW}Sc_v{X|KAhf@}Uu^Jy7t3g&XvGK+jZLXp3E_ItuZ zl#;c?ml@53%xG2y(a>Q~5jyM5|LwfR?qwaQMMH6x<6pi)ramV+%JDDe()y!S7{VdF zqzelI1#6*i!@B;J>lW;naN#6cSm`WZY^?RqXMDn6&bBT>wR9N0zOI?_XD(mX*rUEu z33jlu8oh>}_Q%Fwc6iqKwU9eqhkn`6dbI=FSw}|Z`*h5Y%F;XjN5~`~eQ{w>sJp@> z2^s_zO(E^_3u(XNYy3jmxy66=I>}GPh8puT-B3dq0&2Tc_zA7^1L3x@ST|IOykJ9B zHoh;B!w|4e>(oTfV6EER5t-i?)X4BR?hqV$@+Ir`hV9TAQ=9FnIw1=DB zCra!e;p~{s!w3KManS0M`stv=CkpMA@|rDb(s5Cq_%GHdd@$EC1)pwQRtl4OXamjG zk5AH3Y?9keg7)SJ6Ei=XhNmZo3-AjmZW`ZQh|v|~Qu{y}xm5C`hBJdou?fmo zm-9@(t&f$>=YhxwbNF4y(kr?$rFqiT5Qb(1LFMGX`>J%&Rh-rV{jyQu%R0$Zr#f=G z4eps8R_wMo!`G#3x|I~H7o<9Y|C+#`V+(5%7hS9kh3hqft+zG$7mf6wp3EFJX?ZvV zT%3m^6`ijAF>DPZ0A*ugxXFgKyu4fZxt(^fS+M5cBS^I6A?t7zNIg15wg6rlKZF2j zS2^KcHPbJ!}XiB>}gx>7Ksa<%hPWrFp1@m;?a&OW@xYzrVRR~JpACO?kdPY3Pe@4rKZr@ryQu5~v z+h;cl{G%Ho#nL-6oZGmU)Fke-341O}W5S-xQfaCReq}?;D#->GV37@+kTq)DEYK7h zAiJEa4@>Dodf0Q#KTd7TN0-TN6TBWfOZTQ-7MIG4KkF4qZOiXcup^J-4@xDizg2Ld zj9dKK3NFyA8HHBC`CQ>p0Al|bR}HN*IPXe#gU7dHyB99q@kf`4x&;TXgx^O=4y#oQ z(chM)w|;Mx?g{w2gJfoUw4C*G`Mc#zMHYW|y3EYL{N1kqlmWn_gvZ#20lo}3_rMC7 z0qwYFt?a`edH$H+r-uIim`ijJJMB+XOQz0<)~Rw$_KbK)!R(XodSfqck@f52XMM8w zearW~|Iptj3SRhuPM6Cm2}Ar*xWuU6-Jew>VtFj2+C9wA=wo`E#ZGS#EhG z#Hkx&1$>em9Gcn|%WWCqefvhgZ>0wLenLYN6`bqp57+t{I22$IUcJ8LkcLp8Etc27 z95%J*N~zesKDGQ4zITtukvQm5k#9u?TPlcfH_YmV)uqextCue?S~ZD(y>8hP&NCULOsFC+} z%QV)w)mN8E?MI0`QNF*aD;K_xBLvR!^t<_^VUdS%ZphA1OMXd9WV8<+B@);o{%z>& zL?h;QUH@j)KeKQB4Tm0vRZ2H-w$Kw}ZT?-;jkUw3Q-8zs*8J%Wt&zKOy%t{U-@^ZP z{hHqb_7OBjo;v1<#Ijq#NgeE&m0OMG-*b&iqR~v)BPu+f=!kx%I18F36U%Z{^#>wj z4F?qyz|+zEQuHF`6IZFNfZIn`Pu&|EL^u+#wkjmM4v2e`L*ipt$u@>s#xN19KHB_J z4D*HbJ$tO0iK@XGBYs5Tg6l)t4o*Vb@)oXt-L=2s#1Q2>#rz^A+ZlCD(o@q4{;6({#($)+m?cMg&w}_ z(!`wM62V~<)yLwP-3>P zHZj+ioRF$$g!kn}_sb{AutilvLKY94HY!V8?sG`ou_=G@o*L_gT5F3`TtqaMv$1Oy zJdE%@sLFI;xWb6<7v?dILc1=#T0;Ln_81lrjJMI zeYDsctZ@&j7j{AR%uVY*bNcC(Or5dzs@hx*E|1nUG!Z~`X+zV}+MIvVENUa(G**7_ zX-s1*-Q!!M)rWoY=q2m}ws7c?+~|aqJX~XJC<>wE2<2^U-g;+IZEj~M{_2XF7O`rE zjd!PF26ojh?j{U_`&(wxlQ&?~K=s-&oVa&S*QfG0rhmuTwa) zvpoDS`Y+EP7`+Yg)?1_VmHiE^D`M6i|G(WL`yJM}bug@6fsmO?6cI(wQT+~5&6ZnL zzf&q+C6%JNNA{^)l>=^h#Z~1fGxQn31t~pON^zq6S)canw0*~K-z+Ut)gfcVVAS`~ zix?bOtW=dipZuRUnqCd$DLrfFAH=^QR)wRCuJmQ2-DyhCGPw5)z5jstxAXY;_t!W6 zqxkm><%h;-e;THYh~*12l;(im>IEVK4ig^o z>cc?ne`>!9rerMbWK2GmIT>rZ$oW5`B!5Z4sbAEJm zQ%^qj~+0nVHnq)V@noF*NlIF=l2EqgP{7&$#IPrk?uf+@_w- zN3Uq=`9d_@)YA~HY3li6^s=U&8=_O2dTx$>ys77wXk}B+tWehl)DxEX^N#o4wWmye$+JlpiY`uUE>)+(Z{)HKgw=RF z286l%5%?pgdYrKm3zKMbq6ICvPy*PrP`qkfY@|FI)R8;Reb)6INz>e?{VuJpKfDf>|F2J#Pl=D zeym^FTAB@6?GW;DM)M(FH+9z=&0Tu&d87Fiz4!u0#_2_a(Y!-1zGyT*p%*t8&5!BD z%|UZxaa#OZ>=NKLT&R)UcCyn zTSvAerUufyM&`eTeCbYG7aUq@y4!X&bq_J_eiECJ6f97JEt9*?TMw_mvjY^ME3ugr z+tkz@mIay@Q%A(}I&#Au(|s3rOwYZDWEJtL^VWS{Fb}?PO&jy;up7{gt{?Hp*+l=k zaC_9j#imi0=?jzeU!bu7XpD`QPI2~RTSx99t%W6@M@{sQ%5teFxxhi?+m^hVnF*6{ zp7KLGa&M3V&c-BJFk~=Oc{wkJ>m4tHEmOUhW$w$<ORJMEu&AL8+-X85eMDq1~t?^RW zOHGcy`3rJdotsD_(CvmD{aX5rvQBy^$|JAOS)=;%&6!c9X3G7ArGi2Zk53s#;swQn zM$N`73Z8y{^GV*{I`Dg`{Y+!ckT^JVR2(#D#6h7&aj<7Wyk}OwlftC@L@j?q^qe>- z_l!80_0%}{l+TyQ=kw)r;8H-T-$7$;f5*n}bS{ed<98mrIA+A}{Cjxd&M^gU`P1T4 z>SLpgHCK``;il+tN~>@y1xKh>nZ-*-IYqBp7J&&od= z8%9_gy_Y*eEhT&>FYOfk&_AR3C>y(vA{YrOgqlHv5Lt_lRF992uAUghR=1@^?9{6U zBR%bpUp78A4psN3S2IFPrKBkFe-mnk6+K$4?Nd}t>fOc~K8TK7O&yFQtB$whmraZ< z;x$hx+%khB#ii&Nm%pIhe&xqQe-i%v-@xE2Y5}*|v0k%;S7*1_yTga19bx1dgIe&j zRWCXvz@tvd`!Xe>?0T1rh*cCpdQw>lTdgl7%is@f5sRM~%+UY>8$xs2xdRtn8#C)j zE|_4y_go(vD+jWCL=G__D&ANrZp`ti3yhVkxR6g;isbuMLs)H`z_)WBYPsBJtZA=n z9l8mi{z4qSZ($rJ@!B}d->2d|pN(EAXf7gHZ4Lka2^Omod^R}_<2X^!+j;Sx zP_#@Ceg`)Ze2_a7>h*K_r3P_|At}*4ze5y2XVXHC2Fe6 z?MKv35u$cmgEWafl9&|;cH*(JO6i1Dp^o+2?AcU_whQlxuyt6pk0WVStbd&pj3mC$ zExrnETzmRF@y}ShQ1t<`)%oM+?#<}b``M@+@znp8K90R(Y#8qNzos`v^Y`FijSW{& zqQmju=9TEWTb|KjqYlaFe1;h;{G1n1%DDX6z(?TTIC`S_qx{Z~MERRjHl%9C zF_PM}Cr4M`6f4%7dtt@f^OA!?>b^)f1*>@QfTKjEdQlYJlPkyUmZHdV zna|a!sA20QI7Utrca=w0&G!r#gM7BlQ19>cW? z0uT-C^_i4%Lf=5$<7%$;vBR$Jr*ufYpKu)-hkkEe`?H4_)PJJyA47CH(fjI? z^zW*Z)PL3S>UYL9@+w76#hw=%4&hLC#@LX1WG^1R93~FkXMM&MWS5nXM89xN_V>=X z-M#KXbs~goWJ0u9WB@fwajt+0D!q(NQeUs~!==1yW;)IXAG*B9si7AZbHrBqe`>G( zrrtZ_9%M?>^OCxd`4LW!?;20?-q&}oq>ilbU(IfJfco1{^8Ue-y#Lur-nX9Q{b%Q& zc>JN0yg%zC?|sL4&w(5Wud=DO(8E5PLiS_&{ZCHv-k^mOegDqYCw{;4B<~*{;63_& z;>n39@RaVv#Cb}828AKm%TDQT0?fx2wpm!?lsATIX`95c8j1uPc~;e+*htN-LgoT! z#A7rG=dm;GlfBPn1m!!SKJsM>mK5Ipm-{o}!}ce8{f^8#@%%r3lJ{#*^8Py~c|ZCj z@87xV#QocHlJ`G2$@{xc^8PaezK?M7=N;dU)kuO<5 zSosJI!p2(%9Dj(*z=7DfRkPsFMV4d%pEEWL=C#Otl@;w-wdrNW0g)eh)qmfo2BG#p zY1d84q4^zCRCi76rj!cgz^IV5@lMZX1*ellzg%_SJF153# zwnr!FAm5p*NbBP&ue|2olp7mxx31$xhHpFm>0DOWu~XB-H=P~Kz%QvP1_zNGMkH&Y zI~_6;G}*V`sWE5&X4^kg;~r@J1QN5SSJw|ej*LCk;7-=Bfn9PzLlY}_p0Sn{T=hvg zp-ES81(&Sgc@5UATrx-T|0e9@ZL!_P+QAh0pR8h60MQ|9Ko!#>!m1OmYuNj*FI=YEd3V z*2Ku>IlM{!PW(s4@y;PNmL(4@-a4JCzsZT+AD=otHZp#fFDF*K4vtzlYw43nKi*ZQ z!=^>Oi^fP4U7HluyeiuJ4RR~J|8KcyT~cB;?Zi83exb3ZE@zb}!@?*}5W@!1`5Dr8 zB9xf#k6tMtQ-_w<@ek*kurtgvPar%bpWryfqGgxL?rFX0{Zf|e{abmJ{6+lIoap7e zbe5mRBJj|X*UnBp;#DjWUzRgIHYkoGW%L}`5BIwnJdid-t1LlRugGuX<~Dv7Y|>FD z_e#k=Z!!b-uKK8@@UQX0-dVrRQm4$o@3;WHiW?H)U)WT}N3U$^zARea5J2X09nd!d zP3^h%Lx2PNZB_oT2|e`FFy&OtDHs~GOv`{}L@J#)bK`by3xaVPHzChu8$tlme@eOxDxbP2uNwzlXCoxCkpH&Jta3S{p4 zPaZJDL`n1^hMulpAr<%GW_!Jtd_V)ecjXnTI2)sAq++86h@|{sTzeZygGMUUK7-hnz_SQiM|H=5awoVRo zAzV2>bfio2aO;t5yEt$vudSw{v!*qiPwmwByqKh_*`E1kp!1G%__&#-MDHe^A^Fsw zPjf*{0bfm#+y5-;ac#X9PQia7)8FVV{rjpN%;f)ECkNiS{d<-3#QS&bMcY zuFsWZS=0VPJvi?GE0N@z5Y4bSRUHxi&8=qZs4}rTA|YXXlt)X%0ttesL6e2?FV2*h ziBV;$FqH=_Jix7A9#aOA-|U{k%T1N=yK=rD1i2LdtLRwhpJ|CQT1Fx2;pvXJfVCFI zY=BT>6kY81L(TJ8_S)_HTOs?VZ)P zKO4!dE+tPoB|XxmJ#2{-e24G_W)pz{S|g+B(AL;ndce@}rf8u4m|6Wv#mlNp9Tss~ zG(cGn)%LL~fECy8vQv!672A5ptL4F2w{XG)=nmO@Bddygh>LqOYQgk+^=j`L^0~ui zX^U!#evjd*PhHe^%dr=IG2pAG*ahNZx@&ptRL8I9a+;OJ?o-cGn}wiwvjaDWua5KCCdYJ;;s z1LKzme;ZK2ZgSchT8psyfG04#0D)hr)fQu#0UU6+4yt=H`}9OYxN zSJrgaTKTKm?Lr=yaz@O)(2-rdNzaw%A3pOR^ACR#E_mvUe#$`^59=ojcbf}S(56z` zlq@EQCG6^p4(G(97r?AQnZz%>?1T8D1Bm3gR`4PAa*6I;kch`yn*w{MI%0413k|Vq?3TmwOWPS}tis3Ow zH$v8~TI+fFxCnmFY42JJn1$=#TbD1`XiEooj(OxSKr&mm(|&EHK=J`$C9B*bnRgw$ ztFv|x$zeTBxGCA&T#l2nrS`b()KhPBuEc#*?D3-yxvc1sH&WF%QN`rL$hdqHln|G% z9}yAP+FMugS1-R#$jUEEL@GJ-ZL571#R=5x$jO;qKkD3Y-m~$kMaIfF4WL{pirz&k zVfS1!^kkRHh8ro)4HB4fVPd9FDMp}u`5B2hPKMu-bh2PzK)<8L=aj-|-qFE_saBSxK$(cAxM5x0*2%%BVx zt;pB7HLZYaJyFw%J-SvN*-RcUT$h_kW+Ik~&daYZijDD3g%ec1-SH2hPjWs8R%X?( z!T{pff$3#?*7#I%5T_+%2MRF0?e>>vNh9uRV|VA6j7^1R(_1(g9SPU7*zOx+rDSlG zbjqA547mwgJM1dHiw zTCH@~yY7u7UHTlBIN_}b;0T$OwZ`LHjmP)cKD;E!^k#5+d?j?q6?#s@>q^anw#LjO zerq21g1EU?BBHN>+ujo!HTkUuj+R69G)E}1B(A=G6VF<4e%%`VlUNV-HB31#`l88s z0skT~siIK^bC~v@B|e|`WxV<|uk2^#L1Nnf;d;HSHH21DVn8dC=NfB;W{R41Y{TjX zJgaXX#NXw&7dKcTRd9(|^A}_7l;EVpb=DIN!0PO5c_Y7!^UUP)v*4#10^PB}K^3xd z8?5QBGD5y5yGLx4N=l*DsxZt^gEfV!Vw`VAx6xOWn-wpR4+X8SD^3kn^~F0gqqU@1(>B;K7bb80sx%9DVVEX5-ud((8$A8{bq1Ult4NdQX zRi)NzHxd4$zv-HFk4*&Yq+?D|z>#jQc=iqMid4yp1nu7*VgYb_Vwx@$nl#0wNx?O_ z(TUmn-PkZSXl&S#w^O2}g1N_n@xQFVGZ{5;@K}ck_Ae`SI=@=*ljI_>YmoW{f80o; zhZnKd;Y?GLrKyRTrq02i@B`JqJ>b=U!2Z(=>Q79ws9HgXWSQryI{srDN`WL}ZNOMd z-sbnJbhZi`0>`3x4IJbmQkMuSbXb@{*MPuO=me%Y>lFPiuz$^h@U9O*mk)vMC{3jNXY=a}NX zEdSzR`BMI^5IR$8zc6OtPkXgDIxM1c$`{Fg_M^x7Ce_|YLX=Mzf9|y76j%OE?Q{Qt zJ@TJz-}`6RcRYWXu6?if<5^`tXUg}sZ+6}C#)m6^*#PAgKMQVloff6NY}_r~Te#03Ag1UHzq>vT-X#G)s>mjrP(PT`%>c+&R(LPfi@GLf2Gk%Dwb zh!ia-TIwp^N2-w7tkT)b%%3M;j(J$%rJAaht2oxsk9)~n28DvxOG zGOm1?(Lwjg2tY;;V2a0em8i z{E?Q$x-TEZ5Xgsm(#i-WxEMT7*LhB7E-Q+Cw6|1KK}Q+!r6vdcrTh+rK_*?1qRhVXjqtOsow%WOy0Wxy z!noOA2g(MPaSn&;k7Z<>`_(m9WgK`XNuP8TUy6(q(Hi>6IHvTYmyDAo-Yg0&2wlJ6 zT2MNv6gH>sQn*Qe1v3|P-OlN`{Y;x$Oym{JM;w|X2)#6QC{QIH>Uwn1K}kcU_@0W! z)h22=&h;uza_Y@}eXUqVPd_a?NuG$ee&Z7Yco`1L#N!`}UhK-39|PXV_fe*92$NY8 zW6et|+Ylzvul^CI1LVb5c+U~;6Us6uA0l&8O0;X*T-oQmAI`HD%?ySuC{d5J3-bJ? z08pCW(++2tJ~wZGk}uK7EOeQ`XNJoNRGNGRAQT__Bb@TalldZFE@XNuKZ7Lcx>HOL z;EL0VjOQF3Pd#wtj%Oxz{(VTv4ChrDPNek6YqUt$;=K;xe$!wkVD3_#g{vEnEif4{ z5dtb-(K=)kqhTo2!oMxc;kP!i&}W?Y+p=90s7)-&&8DB|FUa=XM#t%qB>5e#5ooCl zzK5*$Dth7pB0YXc31fpk_dXyH>fW+;9$TG!cblu9m-y}miSPcb#CKmO@!eNTeD^0M zzPnE1yUAaDb99EpcV8;;-BTpK`yz?&zChx;$4h+oIEn8rmH6(l65st1iSH(@)FzF! zHa6r*gRyVp@EWn+Ysr;J^uem>ayHfEZHYgY8qT&FoEN`FMz>dk0+YTf=q*% zpcF_v*y2VF$glhS9vu>nYacLd?FyL`MmtM+O1R7hHhMPJHlMDOBudQntt&V-|$*weg%#LqcmlfZ}jv%%{s&r8mtV>Z^ zSbQFPxYbRQ+KU+Ra~Erq=eS?V(*eGEP`)})C-iOBHaU6l#$zFM?2Z{=~od^Z9PHU#{`iX z*s|=upqG%!Ox)mfeM?&)N`0bU)Edp>^x_Lf^J#iP-1+(%dJ!|4$LIy|>V{qri#|v%!bbDo=_RqSM)P0wqCR#eL!aeT-$Yd49nn+V0fNIL z?=!@s`W4~s;+00sQEX_4bG>gen*THV{tlygw-#I+t4dXN0hLvREeJ7T&P-ZrxXxCq zYvp01`2ju%>@Xq+t+%`G)sN>&DAHnM<=41#TUq%PF2mMv`QE+|=}#&{hnIDZc;ZgN z)wbC$Phv6Ok$Q0+$O#-XB1fnfEliF(o?OQBcg7<##Ze-VLuuh6xQF-(f__Kx^Y?IU zSe?7Tq>oPyuC>l}zn!!El+a^*M+3Eqo63A$Z*ZMi++ORx6cxLi{eE%gJ&TW8&hdw7 z+r!wh{54>$8nBh(Zh--Db?fz2t+mvD^gZfllKHpy2i?fP*fV_F0PGODWvQnX+o0( zX-ia+AaRofk%FIvk5YV%AE6+5>`y{X+N227q2#v{0@^DM zzgTGKhVx|v-7e8ARWiBF{!eN_%15y(lyY#7;{=|t4lFyR&U#9XrO#q9ar`UBF{>!T zN{Q=pL+>wT)08rpA$x`k{tTy85^!9 zZt@QPFTP{Gq-_4|$5bAO78x6`SRZT6OP)=V|K#b_<70(e@?>KsM^s-X*O$q40c=?7 zP|y3Bykd~VpQ^?KM`<$uw_-hq@Ch>+WXPI%KXvb_2{iD->wn7Mk`(mr5L7Vv7VVf8 zM{0pK?&cc(POJiLY;;tb9+?gw_;?okTPn2_JY=dx(Itm|?PC}z`Hu4qL4czBxZboz zTSai$S2gy?;9x-$)ALoW^gPEX+n<9itXZ`yS!rx&3v@M@LB>4TMoaA{?xI ziA)iJveWZr(m8$E$*bj*fcuadrBnDL50-?_Wf&cmzH(23kv)x7(qxTMD}E07d^e>q z_NRztgm79hRyN7HX$8@*NISPjDt3h1dvY;=F8`MZyAv!cD3;t5;d)#o+t?M7+OdB3 z<%#@UIwx}!^4c2;FA*3N9Xw6CALB4x^87V*(57~9UuYHn7y~$`*W#OToLAn$GR;{F z>G9YK|8CL_;Frw&3V&F=T;}=rT+~<$q%9hC`3?00x;E6O> zfn1H3t8uBePt_`r(?he5GqSBXNUvikg3kt9r)FzV#U>WWyA;!e3M(5q0>`z5iD#sihHnZ}0M zKF8m3Hc_|T^JABY;w{N^{3$j;UZ3T@zQKEqU*4u%sNlNf@X%xMVmSm4YwxU%;Ht^B zlMa7ZHg9?8zkQ)2?=u5sHCDIgpcj1tiPfWXg2tM+14<443o)8|c@m?Pn9`Jh# zt_pfMtZU~95}LK z9Dia%0lO_G5K_LvFA(y8499qQ2ak`Kc?XlL1yU>*QqC}syqx6peRm6x_ zW3?|CFvTh_Hv&yiUhIBHZ(lt6ohQ_O=Lx;v`Qd8xJ3oki=W4s(`LI$&F&2Fxivq6)P?~?L1aH&;6g1ECIqFpK!jRU z62kC*TvhF{v?PEl_^S)Ww^drFkE^jC&axf`$1S!WPPQHnmZG_MfKHt55@|ir+6WMD zh7ZCL$g6oc`XOEo$2S%5>KomrQO(z5(TPxJj4>aMq~MDRuXfHt2MH(0(S7a=`^sZ6AX>L2ckbp1NSo0OUA90rce#D5_-iII#v6@;Oy_MO;#jxIw&B)H; z-Dc~BiUT8Jt=EEkaV;`M=f=qw@aLtXV^ZG0*bjjMoz=02^y8Kbh5bON9@m$|T2Iik zcj5`y=gDn24=WVmIT4Z67+y!%+!2eSVRFpMHcV-$i!8?a_2v+!OmAZ2>;m?86jR!u zd4$t9*`GMw##V#U)T4yT1&*E37EFLPWM~v+6$V}(R9^0=NF9T>s=a{o9dzG&COi zTTs-L!?4O72-^8|yz!XYheyy45n!X>@pJfM35KDujM*o3plNKj_0hyw#u}taWbh%r zn1@@8=o?PI;Hz?c84Hud!CRO5F-_|9!Q=SMF1{hqN2tl$FvCYS;mM^(speRkNp^yh zU=C#kZHuWPwOZPL4{xSxj&L1PC0&QKh^|Abrt6Su={lsPbRAMLU57M>u0yJz>yYy4 zI;6Swbx37=4U(=6tfFfJ2iD-)z-wzeuJ2%SdXG; zBgnjh`fXS>-L?TOhYvNI?xPYj{K0SIvD$oL*5B#v&=0%uDi7MmEo}YC)W#pc4l9z3 zB^XBURpf-COFN47hdDXhYBH6Yiuu>Q$5F9CLzLHW&K#V2rLAJ5^SxF&IEssj5Tj@_ z@o6@gQ5fyq6^V^yj5(G*y#m8&tXu3PGen1DkUq;fT88lYld!*{>_NMN2{Yc4wu|5n zw8G?UYO~1)xK#>Y?w}fc{_(tqRq_(g4b`}6@%H>SHn%UWFcx4z0W;b2yWze~7#%9x z63QtG+)x^d6lLQz@v@dsq{rKs7o*NnGOUh=dK&6|sBojZ;paTJ;b`Z;+BB91#i*St z^Ktz66+ElLv%`J(vs!xg7~78!t^ru5C7isyCwj8SzmpV{Ha)u%_VPKNe7qrJa|KxQ6f|3=!zoysxORbwv`R6KO>g zm0zTjvKIZXJqp450=x0uBJA13GJMD}h`}zXMmgteeE)#?bxRSZX(MV3CIOglZ={kh zh9+39qeWcY|AyK@yYesEt zrDYy|@CjP3qtZ@BY~_{hEqoLKuPB^{YQrOWLR3NebTpFwIy(bE-3<_l&cdth&d>x7 z2mTi80$hwrvZ>Gq)dJ%@;59$tC&tBn^R&}U1;dYI^Of75C{y7|?4@D~IpP4$nQ_Bl z_i>hma0Lx~HRnAq{B|#%TkDO~$iy)L_Dgl= zXwmVW0k+S0Zhp+I5=H7$NAVlNR{Bso z_`};zW7o$Q>a;cF42);s({VkY!rIst`iMsM3pjj&>DCJT1}7gk9qv|p99{y)iJvjo zwBz#~4P(R*@z^f;5?`eQ!Qgrw_1LNBHqA%&*y}cprco_ksvM zcSZx6g2+$Q1w=2^1~fVN4DH+3cOLw1Yv;(jgWtyOD}8v6MFT}@xN(0T4G{4L)Q)sy z3>5bKFLd;DCIh7+%==%cjV|jJi{d?tvMp@E@km$MTLlrkU4nyMk!|>tTrA@zlBR~^ zH&P8h2^EU`Oop{{!`vgS0{Wo(kNo8vT-4eX<>LHTJD=mjcE+lGJvc zT^=dND9O-@ceQCe|0Krq_WRmxp=jShFpQU^Vyp1S_#gBIPJDR~;#4*$eHb>#E*qEE z;kh*i%pHu^YF^}9U=Mb~X_d3ISosM(D}NC^F1NH_7pJAQZWySuv<&oa2k6uh1~@o> zwv3yAfdXUyLUAdmKZt!9B&_GkLA=WIQ>4g}g2*dkxS(W>HFl>Wfo%GqtMfWHgQoo;{#*U{9@$;6IWp;)bjlVK$pCvBeDY`xUNu6tzeJ5e zwBJO0ogmcE@8i*3FsbW9H8p@@mdWP|fzW3E3jpYcKU5-;ek;)t(j&En|NoHPjJfD3$s& z=P3ZsI^w~k0EfA(0fNgVarXsbtj^A)B2(Xtub#PIXW;q8juH4sFZ@fsSK3^h0O#kc zgZ#w;FyUpW8RBSl3B7z9>E|cY;k;4gylp5AvIz9$E_tB`F9N|z5afMBzxPLV@wIlv z39*KLuj5ZRcVr0_k33xcN#hyp%h0QnX!ZNT6Y%iKI1^J$j)$k8`Kc2~;ecYc5~s1> zAl?q_Y%)DseKN)t*f+tM5Y#UHyi_`U;jS5V65EWp{{*@NFpDpZP+HI_lpx(fXUeL1 zoXo;{k=2Qc3#aKMc?tWP+S|yej+79p(v?t4Cd1S;X=*mi&*tUk9h~38TnR>ggFy@S z)aCkH&nLx|*Uh=NhGVc0<3y-h-kmJu<7r+|T$5_WLb4CzN6adyH$ZayiwDCVI+sU< z6l+?Mt=J)EPkjD!jGZSe4v&-;KZR(d#WRiw=LYBy61O-YEQY^=WHGk=GiiR8(B5rm z6>0A=GZ%;9XqcD(<U|^N?Ls@vA9GOX2LW(3)#s#U(K_!-C@RP#jn znuTc5#O#`5m9MM7F3Z=IBpLN@zXFyeC|}P()K$JNJ#3Y)f#|Vi`FaBPW-UKsXit%!b-21-`szVf6Zly^K%Albmixd-&^_lN>@8S7myR9#l_q;KluKG{Je-a{=B*TpXKMNbl4~| ze)u^uKktP?nV%D+=}vxjq$F^o&xg_fS$;O6eLdRzjBoF68VGyi+WSraM0wSms6UYNKQ=%n$U0cg#7TeFw)1D4?0&N-vT4H&d`N(!D_D1FhZItE zJnws8R2I_8HtqU3)_U;^K6*_F{n2X}Wby$Sdbd#Ymr@NMq|7Jz2!n=n(R_U z;|6#Vu7Zp4HV~Vh7mIzEf|nV1fC^=vz6cUoVgGG zwtmyIm=U8u@fE`2z4QoQBOFX4u7o38kNDr4$m8$#$tgU39ye6KBUJPF3q}0Xc>FIT z{&x}CioCJX7M0Tq~54+Y=ZWifppp zsR=8i{9+u>nul>^b)e^2)jN8gwG^L&&-}WetQmumA20k7t;(Y>E#1+Bt|NUky=`xb zkG_^0Eltn8b=-hCJ;KJnT{5Ro?P_E&zSH3B&Oh)q2rcMOq{D}_K*EJ zx~}ck&OK?kkNdyqOTAnu|GA%In0w=+Emhn8)$@zjzUg^scSOAzo`$!J+d}oPz~OZ5 z16jM0?vE^pY-+^;`Ax0GLd6}=!xGemP-J><@uYdJZiz5Mys&4bkK2>iGbRlBS_nS% zED3HZjA8;(5V;&LyBJeY%(J^227+;2ntxu!qj0X8mRF za`_a_*n5;qcYDC~V@xsaM{3AuY+akezO3L8phVm2)K(i}@%L5vpGwZZ=a8cR7H@vc z?;iuJ72O-~cZ2_hGtnb7_?tmqej_$89sN^m;BowS&Cm4r9R54Ve-G!s$MN6&`R{b) z`AGivt5`3N4Q%1RU*f-4bm)L5m+;>q{`)la>-g>iMr*NwY5aFO|9u?)-HZQT$A3S~ ze|JOc9UIt-|L($n2l(&%`0xAq@4tLc@jk?VujRk@@ZazA-&@4c=m(uU+P#hC@+$Gs4$v(C&qIduw3k8yRk^gdz7yD^2;HH zH$+jHCLU@%fmMUY>1zT6(558j;xr=RtIL?I#Rk4{n5^2!f3N4iAK<@l=f9Wp-_`gn z$_Jl!rZ!_HivAg?q8S);9g5+uaT;MX;aTf)v7$2j7<_gLs~~r9?qxQ2By}l&GG9JH zrv%9w3PrZ?>lnmo*S?VFODX7iP>wmbKc6PSn12Sb?dRjz@4ZIH}JT~xlUd}O_E=C7C53OP~TE(UE$VfrtQuNB-@K@jV z7Dgv_F2D!HBinFtk=+kZ=0z^Y?V!^;`wr!P@9T`~voMf~1XGI2!kvHEQHZ_L zvMq(Dzm2a#Uli$;9*Rs%kAzY}ohGGxpWCTtO^=>W=60&z)fT#^u+x^p5nJ(0L8`bBykiU-_UI3PtH2M!iQzRryt;^V##>c8rM zmH6tVJqreZOzb685Z-TPt;pdSIM{%pggDWFzO1kz6v%4`LRmO{s#J#WjelBDb_f|& zU$O-<|HL`P53!+7d1~oS4-MXF>CTO9Z~c;%L1=FX+OtW!HCTxaJpTtO7kj>=Qb*;2 z_mUJ}s3DYM^`%+(#yLN|iaPn@h>seIcQh1#oSXCU^(SC}mm7O8)Cuq0VnaOkG7>bP z^>aHqqzLJ&;mNYzB^7z~4B8`9r%CwW7b*Zsd@N%w{oUX%&g9Kw0THZ~_@fg$w4NTS zKi$XX)2oQl)YTyDI64)Mdt&JCF8r!to>ds9igd{Gy|i~>LrH+{0}%TQBQRFpg_lus z{?T(~HY|wvb5H9+pAcPjI@z-&FY;A`e<&VRgd&{>X7L83IHfh6zBhgHGprNY{8Srl z?Y4z_KGyF}wBfa%;bb)5U5faZAzXi`V@=OzPJt*p0_*nv5sJ|u+z2R^apgCdjNn{2 zeYEs2c`fhiu^>^ec_&)`U5EiIr|r178-NCujcDmMh~E&G5Nll&#`F@H3KM2 zKKs|#_yea-V;JVA_UD>XIF{NK0nTy=EQL8oYW;;dZ8d+!JBx*p&sy*1d-xe(jb;27 z*WurB2KW01APwXHj^BAXU-ex185w!Y_(u@l`w#p*qM3j5ss1qbLpLLA`zeC8KDVc$ zU)i3T4+Zd)Upi_kf;pROdI&$gi+N4!1PsQOp;RDOD?m2;2ZIN`^Rab+b2itm0K-JV zK>Z>64IXdy`@uzoDcVHhQy{x+Ril0^?adFDV067k9DXWg;CBhU^wXjvAclTfi{e?3M2hSA)+EE$DuUA zl^c5(`-}eiSf|?2w$_)yjBew#(_fE#K<_osGMNAHnZm|&i_NmNwF^>(?T^j=FEijp z{D__w4#WXy+Ule8Fu1Msi*b(~IvZDCy*Gj`1g(5I3KGUM z{C9}|9**BMW8$@i^rEpoaaydBFp?*MtAUkb9d5EjxUfYi5^P!C$nDi9lW;IIxR z;zb#nCuBn&3TTZP5Dp^bp&;Ndyf5S5(x2)Da;FgTC=^)2ry+U?-q@y(-?g4br@y%Y z4gNb}KnSnd@?d>48X^Cw^eE!LmPmuYwI7A7TcQ2?p?kCcB|Plf+1I?;{~Z3JQUrl( zdXYdL9d+~9%D<~|FXw)gG5^_+<2UND6)4OjUW;YS$8&gK>KSz4tpb7i@m;`#!YBA< zfDi2kT(g0q;%f!VN#@2)WbDhafm?td&3Tkx6nG2wh9rpJcvux!qlU-a&IXEV;O6qeGDUbs-DxXVS+ zLSJthkMxiHqDNuQJBzzTa#K3JRG8DU7@u&%TRksk=I6Y=csIqMtufH}iLQl@rtTi| zBPKPkvH2+2Nb6}ugRx`ULQm*>1(f`rk>G$A*(pD?)bHxRcgo~Qs~TR74V=w?7xLc| z@Ed7^ZYuEUq5AQE8h~Wfc8O&~uoJ9|BFJ~*d;@a*>f=xX>dOb<4l(1M~=0=V@mnnPjPXfX8fJis}oy);(aQ9!47`r@(mcW+_=H{Nd&;4!UKPw-Y= zA-1rdrWzc>B&6{49igX3$mj}l{#l5jU13ANeaCk375wln{$luxq6$)n%SY3fKv8U9 zi2okTf4>16Fuulbd+MY7p^We9_v6dXbjv!*Oc8GAL_O_YIJl*#=lSne6h`_Eq#fC! zoOhR;FemrM-M+S>=xSeEESCFfCwf}jRv3XSY{5)-Q#$(oAioEY-nxnwg<1c`RJd^F*jiXwg`iogp68WYi@-q$ck!TBC!*X;MCH>JIgkN{^PpXLu zUKC_Abr3nE;vu#ZYZKn-D`EYU$Tu0~!)&<`FJUuJ(TtC?Z-P-i#Fn3AmYoG;mJhNCI;hJRWwQ3USlaR| zpD4dc@Qq3ECKDdr!qY!rGeJpzw3+bhJaO1R!K5E}e-keB_j%Zzh47N|qu5gvU}@|O-e$n5ystChB)`glN0C31|7sg9v(T|W3i+W%Jd>pv@obj% ziFf*)%?k?B~Xf{)hv-ElajRn6}R+V9{2bAGj#X_ZeeFQ`8| z<8b~ev(<+dM)FIrJdDvU?0N~jBi_N7_aFOX*h-S6g!4}&flTUjBUj)c(ML5{Sb3d& z{S~dNzs^>Jp9?lg!A$jgwmAKkuF8jTx+sXluyi-!)KKWf-JN0?7h+&a3#Su<_!r{3 zrXb0jpjD6} z(m`_%+~0;F9QV`yeeQHP`lD2S3QhGTn{CvM)6y3$%4W;|q}t|Ae+g?Z@h-oSq7n?_ zamZ}gmuS|kM$55J;JsrR$C~g=cG-1m9B%nycAEje@kN?Cv3~^Ht!7h~zwyPV3BTKM zgMvrN*{qMAL^m#yIQa`Ji3xBdai|H;WLIBnCozG1G5f0lmr4BgJUfY$P4-WmKAGeP zXWLQQy!1x`{$_GfFUJHA{%FxWHguL-tE!JSt-bw8@&{)c=x{Q_9%d6v`lGzA^oe8f$ZzU%4sJ2| zBgnQH@oKith-b1@M!cF;8SzXu*@Q>=cy6%HqLx=0-=ls9*qJxk#WD`R@x@|V;oh^^ z?Fr#Fg}(^%aVY!nMq8QY**Jb-Uqn}|=;Px_uJRT~WgIT;%hT1^F)RGY&&EC8<%{ZP z)$hIggJ{uGHra?*v!Mo@>hHlrZr`Z(q5R{5Y`ZJrY0&W&Z#Rp6t|=2 z4YD=3d{oz_wSVBK^VSCqAy#6*$zK!VVsPxG@o;|=F6IkIR%=Gu@{yHPT}6_Pum3Xz zkSa0e*zCyI{Gq5QPu;s|Q*Il#^x>212 zqO&MC;!1N2m+>gL@W&)ic{#uGz#}WEItzaxeH3U%`m)*K6g7QHe86^U7@4HtBEHM- z)AC1}Z4-qb>04#g$J^g4brw|~^WS^jktw(wZ+glLyt@Y;Sy`w0hXUlEO!nb1UjE5s z8#T=BAJV~c;!L)EvbzE34v}Y}-8s|G@w2j5y}EMjZWF z7u6Ro`$heFL}yX$%VI-K`bBxV(oKMzp z+npscX9dyqRhjgmEKWA!0k-XT?#LAVvi;Ll#Nn--)#N($J+hL93wHZK0jeKaY=b7C z=mXZIVQ&BM@kgIHlRf=|`hS-pedtfN8F8$SOfc0S)DI($^`Vmu^1OV~e2CrM$7WOf zA#gcgsX57J@k*~K@0UBebpN3TM`U64;hm~al=#qorwwq&qTtc>R6bX0;!b|^zG2(x z2Kgeaf9t|9P|**3f&q{4_<0gebl4nu8!ur${MJsQgVXq-J#MhB;9`CESw~j)AC+Cx ze1z8Xmg~Z<^kuS{MjY$k2AsxE6YzS4J_2*>r?rv(2Au4laH6+7t!Jj4=Ehy?VbSSW zKtn{Yj5$oluX|%(@xH#*er*2}ig{iM;1`{~IawjY61 z6d67J4&)GKn7HdQ7EZ@AfA3+3V>mH{Ek|WF&0RkFlbE$MLfNB~A2Q4LfqT5Gtq;N4 z{e#Hb&xExX3Twk;+tkh6TA2C^3V!=8H`RZ#WtOt%#v_)$9RKt6qYStI99-1D6t;n` zL(tNYSRdw#ym7cMsPe1&2oJE!R-5ol)=i7gEuYN>8Szjot*;8K$Tl2oVd^zc% z@%+wnRa;&9?`lT-8H$K)%sUTi-KK4prjIvvgMO`+c?XY74^a(b7ipTb@{YA`;t8@R za}J9o>(=*N3!th#vA%lvfNGgrAMup1IhMZF27SE0Nnu+@t3FZUgI(J+%;m45kVyY3 zkM!TQM);H5%JMX;ZFh?GbG{yrRbi~>OQ9*zmy0@ z@4U^OSk*qvPmMUz-)xG1DO+xo&tfyZ@koBPz1~z!>rEvrD~?<3UnoC@n(Ptt_tpk? zk`4L0^94itguc~D^+onY{Ga^GkGW5KgMT~za8B!R`~Op%UyDxXfslTay=Y$#-s(=g zn%^OIaHAo;Sf5;HlrLthjChz;8S!FPWsE<>CYx|MKh>j9(kI%J)?3^z@XY^ewlR)b z(FcETeBSJ@OyPc(`Kfs!dcnLfBt$SBZ zmB;+#I}<*SwHxJeKE@b7`oEPX`>WYxqyBkpxe-VH8FBP~&zk(dl+85Shx|_>-`^;Y z^sg|bAN$)z9Od7Lqx^3$q))Vu*TtzY)VIlT%xZZ-{Wryj_9@LMkN)yDgS{9#&ots# zA9}_lzm%=2H~Blns*>Q7li^9?PczCFvoxbT+W)5w=@aJxAGt|OqLM#R8h^8hj%%5X zfWnXVbGa!#%1`CU5bvJdB}jO|m94ZYRiucAOK zBlR`ce87pH&lld?V#3k?xb^GviKzd_8hr+#>PP&vhNYxl_do6ho$ifCu>aP)S#zG| zAHvrd@er#s;51%Y{-is7iv40gJ*Q49Z|3qe)TpnH%4h2h|AxMu|81}j<*zADnPPv@ z2;@KBAoB8mw2dD|dgoP@MU@{O%Xm`*HUCGW=~%UjW!#~$sPe;*{~G9$4|Dk`8jB(y zC7k7~)Lfw8ML3l|L=$%D&*J*OdBr1rQNr0rD?Iftjb;3|Cami(LixSRgu}k535Wja z23(9MAGuw#&#r%2{0zQ-$}(C1veZ#M43$5szc4=jyQU00DvtiagbRBHU$2#4MP7_= zyX&}RheZ{B%$M6AH`}w*gbRCCFEiV7osL^}SX8&C$`l{ki^(Qjj{o)e6#a7if1PHp zWrsx-e#E!)F@t~P{7=`X%2WIQvRe2NiH%3{2Z;G?T7AU;n%|GTgXbKLVnDToLi=pJ*L&XPviy#rk-F zZP0j}_(?vk!7Z=Hk39f!?lTKbe=OslFUpm?Z<}4qo>un9t=EOvMNzp{`a&Wh-JWeo zt=8N-`AzGtp&MVUqceO@4YAo&`I1=1d(EyA;NVeS-eMUWG!_S^6_Ry>ZN)N08jkow z6#p@&*=#DF#adqQ?(ePm$9l$(^CvghisRzPepoEy=NCNFhZ?~5FSagMbvX7#i%yMY zJnxow=XZ&f#($w`&_2=^cWVXE(FcFwJwmrW2gi9V+`sFMlfNenQf;LqJMzFSTka0n zY$~3`N;Vq&P4U0E(c~}IbiJ)OvA?56d9h!l>2U1h{w{LMd-}T`Lt*mw^IrarM%Po> zdqf{HtMQ=)eC2u1^hV`)X@kb1$`{8nR%)P@A9TKMr#{$l;fO!qARlG0KbA38V{ygr z*Fcwk%=b<4M|W`jA3mqLK-G`Et3PX?OF!02>b=W@Xirnvz8bXybo2{6oozMZz1dnL z9$>d=I84-j?D!)2A$xr{B+e6t6G+6^!@!(H>>uuh6oPX!BtT=Afzf^wG;y4`t^79FcOzFk>MVK&r+i}lIwaV%ODu**Y&_O4~2 z+dE46iaY-vVrxwNs6ROW6~|$UKOD!c#1DPg-;U$3^>I8c34U;aJB5n8SZ{k>XHjtU z2+i(;fJJl`RX)h7bWoSadBe#@9Or+HIL@mj!4F<(NI%-UZAKjXpWe87{u}QT z)cM5w1h;~+s~s`bAK3qP09i_Pe1Z|j{#O#beZDEZS!|~fNB?KUvsqITe0dUlrV$UY z2}V4d^-qGgS0+in5l8xscqVHy;&}hl8;`7f2t`8-kx*VJfKifI!@AHBQ_BPP_Z=WX zdBOP>15V@Tw*N{#9^E=m^_dgD7{8>mwMM)*yUmCP*nAC_$*_qUUzi1r_KNe6Cu{mN zc|LwVJl88dB;T8TXvDGpq~W%qasIc)ceiQ$sy#t&&wL}^n_XnY18k5t&eGQ<&) zy!;{T+iJuy|1;v4|7p0lzi50pGmh8sS5)-pIXa7iqdfQ5K}4qG?GA>CohPe%@!qd$VheIL_;O;M_l}J?AIt`zB~V8rAmW7)PbsUd;c?RiC(V%>Ruz z^52Lf|25n*{}YVw!wDoE`{+FF&^QhqPxHp(;wxsm%2ZDXOI3Yn|Km7R9PPgm$Na|| zSH}x@pRP{iALcL@X);QDVth7OgWc{`$~PUWZ=dZ>p{*~nvISAv{SO7G|G|E+k8O6u zY<|p7OW68{P4%S&^&y4b<_Np$8{z3}z7a?JXT;I|dEn6^ydQgyXMMr=80Q;GJ^jJ) zZAKjPKO>I$j}gcDHX~lbCMUs%Cc)DTIITxh{_HDJeW2wp&!4SE9QEIbqyBs0^1hL^ zFBr~~7s>lZ#D2{=7!~n*I`aPNwO`}d&*%SI+*CPK9Os=5scF}7>JM7};$6OJ{b0fz z&+?UEJ+p3>J2urGim${FAK^g*PW{936i<6lo-x1p%=>+CnxF2R>9$by7ur7qPWG+& zv$wwpU!Fw1B#C^`jPvq*vKEJ9KjH`8n;rhb?IX%}>qq4evz7tl!y<#EDW-serp?*1nH zt~KZz(M2{>7rAGHYPD6Gq(8jgFFEB?6h-^}5?uYn-!CcnlWgT?J|HqD@%tsBJg2Zh zm#cMF^_M8`-S(*EUBNZ`2mDX$zagRh4G&2BoBn9DpP#?rRd()Wb`li(EW9_n5XDCp zvjlh+JJU&`gUk6scSkqnii2CP`e2#q%xCP(Q}8I|FMD&1R>b4*M=rH(*Y(Tzulvxp zUB{L9|N3;I_)$K?dgPk@{C%=<-t9THx9Lgbo1U^GCgbpFBfC-?hi71;~x3sOoGhsGp$brL*mz-j+?W{&E6w|}Zxx^XTTDOqRY`E6Nm|;xD?tYmK))u3_e}-t9G?Pk7^zmGf{M#Gap1 zfad2VpzO?Ewpum+mlD=}s#e8SyqfUVDW3Qa-oB;Vy7lx%qg?+Z$9v*+B!8u+JUlvC z2Q`02qujnpp8BbMG|2-$*i$o3(=XT758R^_0o6Z^WdG~OX(|*P`d1nB%ln}sCi%%m zc^>~HlYE*%p8EfT_nYcRn6=%lC0_RrAI*1n z-e^i6ov-A5^ba?=*`P`MIQfb8z~bNR@N4}8bq(VDXeNpV?mxX-b`nqgPU|Er<)Etm zOjZXzkN!H6pJktq$)fWyOIi40t!i?ujy@XyEx**=pQ$+B7xTs=`2jn=0L3?t?V4oN$~zj@b(r{`tkmEp}T}B`OnucO4xmmxX1iT zbyDk-7(WjAtyW|leR6!>U60bit@)SORvO?DtHV$Ezj2bc{c^ssT4zz^i`jA=)Z2%< z)}T>AF|THKueDvE%Hw>oL0--$`oyuQ?o<7T`BU3(H0Npd(D-WGMc(O+@T-2R*}jKu zV_oui|Ir{%`l}4M(4Wn2)AZZzF@N8N&WPi_YuwL~k4wAL#qHT$?~tu>f258U%hXqK zRDWXqXPS3=6_xkt>nw_Vl+Pa@DR8^U%im(G_WD3=5b5ZPM8h;5yQ0yZC0_c_9%jWc zEBb(^8*o0})Q!>dPmV47=q#%KFkAm?x3LN?-iL|mEIj}CdKfYc_pKCF$1<{4@Nyr< zz0Ulv-;*QgTJ%^(KLO$7`|;v0%!#^+zi?VVR*#SS&^NijoE^(p17Kxy&%2uO=}>%W z=53&xCl*2%Zl3b@{oshWKdM-{twrmfg}tAw(vlQsFATU9ieWhnSkC|A_9}Mt*6k?J z>?r*(p&eExtN!EVYxU_K<%Q0FO}N+4-bNWx&bnWyl}|@M?FY2q<1H`0x6;QH|3-s6 z>2EUN)X;4_O-r1s{M}0Sua0A}T*axA9@1A8$E@IDK0GOo#X}$O@8g&qeT284>TN&m zKkmHP)V|bF`kswrG1#-p7$5G_FydM4;MJbvqeyl3UQRheoggz#ijkj8E}C#6+z! zz|)RA;X92u&I8|Ns4r-5*WBhEKaF4O3^>)7jhnsoQ+qx08qe|+cOEs&(&JL2r8jyj zpZ}k)xy1HIWF<}S?ezu{H>HMoBC`-A5ixQXP zEuY2u>!7Yb%HO5yqer3Whktj*4jIY^{BOd=S-fX+6Z;G4=kxW|9X->J{7bI?@+A6m zO#0i~4e1l(2D#{w9NdD&K2vNmBlk;3Wo}+S>`b@ml`NvnqXb7A611 z_rs2y=aqh{|2nAY=lcytJjj}o;LD9T&c7LOy1z1bv&p|<7D$5olHj{mdh3sh@$Rz| zJpG67d+DIdf7NVx5`3l+$NhFj9OwUxIL<$f*Nk`BSHgChaIxR;tj?m8FO;7q9dzkO z`VBaZUnalrZ6EDNSDmfSwV+;UU#b4}H|h_v_Hictv|pUUK8)j`c&r~Kz2c(EK96Wa zAQk8R!6a{-k531C;wbNFItU{a{b&z{#@VXk)hsOu-gJh+pLeyOJ;XSF9y?~W&F1JQ zU|o*MpYymsZ;Ruxd`Z;NN9S*5#_=k+XuorG76+$qH>GX&wnz3)N5*O_io85Oa`Jt4 zVm14y{n@AsyK$c0`!pW+cut%@`F_w(Vmx==%rYF<$=WI5%;w!{f|16WmAo z!dZwE=S4EG-VqE%dj+xJ(V3qa$+%IDtOv;iSZ7A;^s4J6B+u7NqP?b&3fwbLC*}9u zps$%Y=|TUC`S=Z}63%XU+<70EMe}i~?DtZceVb_8OJ{~ z9$00>MSnhMr#7H>iZcJp)@Sbr;XW9?AB5fi3*FYK{gwRQ>))=^hK#m8Yd)0oTP+C= zPWD&p!mvoe`FKmTkDu5{Q1LBjG1w4Yg@Z@sef@WM#*h8~Yc=Ct@pJue>MU{kFZb3T zWR1FTBK-?>mN@-Ke&cCB)_-;3MEX;8mN@;ZP5N7|N$Q`!=`3;jb4>cHb>T$z7wIf< z`afzk_$OT#PNe_9QZ0#b`mZzTU#|-%(jV1X;`H}1=@09|iS!TAS>p6R`)h-L+OF0T zpGg0kI!m1X%T4+lb>T$%7wRl=`j4zK*q@~fC(@s)v&89NZPMRzRZ{=_O=pSIpJUQr ztqUizzes0^)BjP_;Gc9|IFbGXwOSJ6^j~Mvzg`zkq(7>&#Od#2(jV4^UHbWY+Yp^a z)z9%~Z};+#*!VqAQD8;t@X+z z`rlk)_RrtEaH&5hiT;IN^3whyFI?*X=r*%|QoZD*{sW6W(kJy_mqh>HyyT_+s248v z_er9^$V*=8AL4~e{m(X-{d3?~9_g3*-}J(z{>zi-k9x^V{R_QtssG5WX8VVD$xHpI zUbxi1I*I-_tIhuTn-?zi=Ood;&`Vz0j}3Bl%Ob9Q`{)+4e^R~VrTznp%=)iOqW^DR z@=|})3zz=slSF@!E{`ri`*Hk%bsRof7^|I8a&W$X_D9WG(B$B>4s`HzH?FNW@WqKO zRhS&xHfT7G8GI0niDL$G&BM_z&SS?ix-C+teDV0cDi0j%dlU4*qHh26YQA1}+0AMi zbo-FTSjMH5K3^kG%Fhecr0_K9{89gQ@AMSmRK|GCSj`^1e~J083D087^}(YiFV6p9 z{coiyJ@{TZbco~(jZcniKRW7v*E2no{}c4es@ucMSBPEfu^x%{iE;n&LN)UsultkE zk48F#BH@%!107l_2}ST1Uc_yf9>~Llzc5Ol0h%7b;zKce`>$5QrZ2GbN!J(kf9y(+ zj$84iA4PP-AF`tR;z~O@m;F)yN3Qsk@>?_y>0jVVzlvi;;kzrn@VNVEOJW&4-QINh zlNaJ_#ab7hpgtz{&r#*aA8`;(6tq|7+YZw8{cq;SaciyUE^(s!H}ZqZbCp(iP#pF4 z4((-#ZTOv9ztsFfdA?%4SAOwz^R%PTf2~RX&uELZ^<*V}e%2tC@u9||;Qan$4NO?y zu)f@#zt|JY_zK*-%yh0q25Rw=Z|FzQPZY{JoP4P1{A#d+klZ@Y&iVxWQU5;-^#lG) zb=&Ktht5Bz)v0+w5vVxsyD+c!v37kh>6S-+V@#(S_TuIk74Nzo+h#m2!gzCeAcaHWsy=jR2*ADC|kM?3o4bS0|h)z6#o zNd8h~`)DS&0GX)#xb?aFa~;?9rTs7K+gPr*1!Sfkf3oq$y8rSnPt<;=t#{*Y{heMm z`7`Q&)rBkNRh;E&^-z_nyX7^U_dkQQF|C8+JYFp0lQLKN zb#T%@GpT)N810*U6!y{h(y8-K{M^3r-u7XBgSIM`ak9pu%G3VOZ141Oc~&%AYtmGC z+%LMx-WZW}#9cm4dsojNH~udd?&v4~Rz0AmJyH3duwB=$%O70kme+8e|6{cR=-?v% z-R+lylYK*z+E-b7KBu!Z9BByu>U2Bl#o6;Y;ktjimRp zFmrp#&8?0PqH?^nR%24_MgLT*fyhu5hdmWWoNepnou70*Z~5yc9Al4ElYZa_X1V;K zm)A&sHolaKlM(d6)Xdyx(tSQ3=1kd`Gi74Vl*Ja}q>dP)pE`>-&boZmzss{fO>Dm| zpEUj}nymQ$IP>3(pW6E-jQO3mCQ*GmW~X<33$mF>~>nw_W^nH_0E>k0S$!Bx>9?)16`RMwNWd9vT zoE=HinjBT0@1G6!wh!Y|9dy~30{d92*ZvPbU-Pr(T$g+?Pv1)#i)!CJ9Dm%1vp(MG zqY}mjKj@i$jz4mp5f|lao$X`WzPR$-Y?tR9Hn&p0Q67kc<#@*@HK3cEU~91Bv*i0Y zcNxK*YI%%iaerMqRV`AAJj&|?!~CD#H}7x4qddQlc9 z5oeRSd!~<J<)KUFj~jkd!5Dcue>k+ z5gjL=sXq!o{Fio?cDNXfn*ZvqulCh+g{PLX6&tj9~^sDK^_x*af<5zLKAJE%`E>G=Gh9>O7ale59r}gTM$D7iJ`;olk#~T1*yo~#) zf*3Dj@*9ZbR{X*F<$YE`y3eYZwWYYNSNOq!``_X?RR7?99wQ!NtBg3(*UN2ztv^xv z;kBHz!s)~HXsU-SNDQePi$eKejs*v0K3CqBa09p{bP_op;dx3;H3O(iOV{Nd^K zy8rYXn3>@I)5&s|Zf22ihV?EBskH60?@Pk_>U3X{c-y95qpL#M{K(G`YxjGnhtj*# zfJg4yg@htY=dmBk?Zm43(Owzk>HX2=Mm(F%G~kiD=sY@)|LR2XTlR@#@6#L>D=Rp) z?M>{zE3R-k$mS2p^Yp6&8i#_zzsu7#P|Yu1pJp0xJAEbW?y`jb#dy&mPc2$|Pjmb` z4Y(bDke!$)ehU}f*0-~56BGLv8CA?0FVYt8b$^Kdr_Yz#E8C7gss9Ye$vgE)>?h`D zMv7Akqp5i%eqZj><1m8=MKeYZ?f{Y+1YxVQapgl~OJ3yl!l-`&o@_Xt>g&i0k6K}C7&tkt$F6wFR6ZGz%MS9N{de0Ghvq|qnp%*M;5QOe^gDl-UE)cr6T`P4fV@*4K zarYJA_VbSJ+|%ki?qlpA?_;F(@Di3~;zxPI{ikspcKpEczHPPVeHg%(vY|#ildbFE zwpi7V{TU;U@4p#w^pDOA^0N9M@iP?jdf4dU|K-%Jlk&`k7|1HzMEv71!u= zo|Uw|3;SCaC$=BuDaf|zpv#^BYg*yn&r{P=%w|4h#wQr@YE14^*hMQ<9jbo1|L|7I zgEQ-G77b_pHCbx-R2=UI-*3wQOjdQb3CH)|Ot@HI%4yd!LWw`x8?6-U2y`^hNmhD)9Z(8L9#$ezZ4Dx^hjPM(9<~dFqek7mCV^@k}ArWFJ{ubc?IP zsPW;xg}Y4t#QWezd#c&NpVYLt@-w=I%EF|Zv?$d018kj19_4+N5y$+zoQ{SEI$D-xHAT}Z+zrP0_%Z*%49rE9c zspITIi@wo}2?KeDd?5(yHcaV|^YKJ<$bULdbefBA*E(c(e>{V$?IzTY7HWf}_U~C- zEn?0^5dHBN11!}csrpn)b;AC5<+kDtACTjj;Joa0yaJc-B{?8Gl z!i67I9_JH{INAdvj_*GjajgHu;c@wQ{2a=^iV2i|S5(W)`~DKjylmm+4saw!Q{6yV z(K$-yrPv<+V!X(_h~;5>i`1Qe_jAqX3C%y8&Ncf;^S_2#`A6{~|1JS2ipH%{`)Esh z;{2nmvh$Aulz)89`89TENf8?iue|hTyi*FPFT$pY1Qdmg^0VxCI@1 zt@Voy)8p(>&mRQo$iWFu9f}o3F7I6snRG~8xJuuk;c-P?9ybSljCCi6iqnaO6n3(QJm$->jJs4>mw$>#9{R6Qc^v)ne%)vFA&81o8x+eJ zu8J%E7w4liP|qLH{!IE-%K}@!^}RY;(!}@jS`ZhlY6jTYI}-bg)-&7xr72V6v-EX# z^c{h|FxzL)x6YtXU!SGjuDUpGr98UUJBdG>m_M>F;;+QCyna`EgTDlST=6pHocOW* z6B6gaSa)5Cg7fb?j8)1GX;AU(SVq1H$M>JV@$@He;Z`NVs|+~JmzsXECn9m_qZTx4 zjQ9Eq;b}(wxbN#WwXA6AkDG6aj@SmF_#$=1j7yQ$67evC!x$b(nv^6vID#BO^` z_9e4MCul@n{PO!~e|cQW40g-7`O&?gW?g0RA34_*2j^GTtEc0z;T613Iv+CDVs+TQ zc;5Z&61yqqC0@saN$@}t+-JnYY}Y7L{3Wb8 z3BJaN&AMf>lZ6eI|J^RvxUYQ2ifb%_F`2L-qUMYbN{A z0~qCzexp3nZ;+?`#44kFnE4Fy^8CR^`?V_Uq+jCC>Nqc?$}fc<{#X^qtm3fOh~s>w z5ue9~8u1dAX2fwmuT@K|tGwZR6(R5S1!_lznsIAA?G`5*C;gBI&gW-yy>VJmV0UkJ z7c1N2sy_PO317cE(a~o!%0C=_TK}F9r`W-%|7;oNUEXPbqy1I4VX8dtzc%7{zvKdM z|5AOLjMFyW?IFIWY}Rl2;}}PcYF~-v|1WXKNJitvx&Kd$i^g`V!%ypbO^%`j{>J*Z z5y$?Y377XH>nv*g_}-ol!Uh!&uy$rAG~Pa;|D!k-JFWDGqfhqd-|0mp4u3BR{@*&T zMW)9G{|3)7l}DU_NP_#4;Jb!;>!#6liwFmv*3kH2C zAB}^&>kIX-2ha2F{|FBo<-;t?fXnaCU4B4wnPR`V&%PBeq$o|2f@3XZn+|IJ;^(6b zINfKRmLz>u51agl^q*z+Use)4Jqg~HY1ZG81Ye&7Z}i6F=HGaGJ?t(GYW?H$ACtbY z-F}5>eYu#mTpX{@H6O+rqx^mnE<%>yPkJp=PHA_Z>6#pC`A_-VKGhwAQ+}xYHbR3^ zxLoofR&B(Q|4HyHBaZWn`DXjt&M@28V#G1O`Kwufy8);Bh}u8bDyQZj!gm^Qntx;& z>?8RmqkNFH4K$@6B&RY%M0rn}^7Qstb*Vq8hGa3^{10o zjt?cg?IMiVqNxoQ-YD^iza!wtmRNIx|Im4U-^$l&PkSarZoi#4Fs8zV=3Cf}PaKN1 zb{x?hYVf}gE@-;J(sTj%gh7ZeJG41(K%gB-iunHwMxl7MP<-Yy{M5<_QrwU?0L``3 z{w}u2r1p72`!9s{?7i$XOS_%kQn0Y}*Kyh*n)+IIncimbAzT2ty-!FPnf~dN{@^Rz zKb4l%jl?hfb1vZlfnRFj)ee3l;XZ+re&j{w-mrs{+gM`(_wN`B&vNh&2;bF!(=yT2 zvn)K_!8dUEyEuN5g}0q*+ehAGfvr5fT`j!D!S5oxgl5pZ+FYsAj_>%&^&

    az>o35mka!>^ApAon_mOM(nb2N zvC>x(u=8Ur;cIZ_6uc){c+kNoBWBj}68BGmg$E=a@ecwlszm^Qp}zUqzv$}rXzJM( z^S@5vz9)Y~{QYhIUV?ujzbYWqFk?V~eF@G`WCzuxzNn|Mj2%c_VYJ_+OUcRow!+lH zhCZ#`L!12@@HhR0A`nDuJGbw53^akFoG{2Y;9FHO-~C@K-xFT)0{7oZ7QWuWmlNJbUt5l*cD3+E2cJcFP}uil zS6L~l9X!b8f6eXNYvExBKb7#hP29c@EIiA>se@(B8@YY2S$MjGe@5+TSlIWBg}3#w z)4z%EHT69Hhb_Ft!Kt5RVPW6B7QWuWZzg=#%iO*O3vUD-^WO#tUa7~^z02{$YU%C% zoW>XPN!};yzs8aeJNhSa{m=9CRa$tKgAV~7%Q){ucs80^Y%%nVi_RL)q>{cyp>K@E zzUyS$9|tD@575`G(Vtp)vxC1yc=b)(Ung1k8V6s`T8w|l|QYLS%ojOY49 zd<7PNGx#A-;k{4bZ|+~=zoEq6hI6C9ZTlOEU*M^P2VC}7JNQ@Qfcpe)+aGrD&k5hP zfv4ZLKZ|gYp1lL`w_?vH;E!dT(~H-KrB(uBCkiGfd)^36g@yPCQQm;8cI4(WL3Eew zJMdADj{$U;y8WS{XgK>-47z=}&kP4cel+)e8nM4HvOR`@z^-#~z^|bX#sV`DEguN{ z0)NmC%1Q8YW%$MGAN%VvECWPS+m4Y1X|7|J^w)GsZ`(6Gy&qU?K{8AD^De?$1pbKzmwPjU1u1_#oLj|r!@TYSA6T$z}aKYc*{$vyGPF04aJU#W}J43)%6JXDOh$aJ`y(NQk zAoU=mN4yh?6r{v5ZaPkQOZBFx-;mwg3vHQ9?^X(TcEZn6_*>L1i9gEuC)xh^J%v|P z9kTFM6wY(PH&A%M3&LMizA5~mqwiV@Z^GP9>c0`;P;B6aW68DPr|{kyi=Xl@l3GhV z`j@PPSGYeSsaHt6S16KEN`DW2J5;~>P%L9chVBLEUp^Z8^PKqarSQmZKUwxXOyL1e z_!$cKa>C;&yd8B<+W!rOH(6nx{~zE{2MnSoj6)BnxP&X)br)ORR6z|tR0eVM{{F0k|a z2?|e>VYCM~?ysYoYfZB2hui>$4z<;x=fny;YXsp=jZNO!o8KL$SufNT*Pw1v0s@bescDU2{63YXD4b4X$5Er&-37-k}>e zks(_1LH;_1rw47J%&$Y|!u~wWJ!N<=g`c?6PTz+Vu5rSzQ+V2Qc6ok|!rRf7Ncq1} z_*=}qWVngK<&ONXDLlXl*HO5$qwiM~Ug7AQP2mR}eN!pi%aPBc@b>w(y`w1n#Iv@2 zXHa;gqwhotPjmF8P)@$&T@9(Abg##W)nVh>M1w`CP-_;)Aq zZ+hDH$6FMB!U?~KFzWY*sj_}kc<-GK{y68y+)n!MPZS>D*mEa^_f*>H{R@RxIQ(}} zIM2~{3x%gWDD=78=VW1|=VFro_9;6(JiJGSk3v2`@=rMOCsFu8C)}OFn=p40>GgO0 z5yctrKb!$KHTaJV1p4FxYmfop(~)>mZpo|bS)qvk4S-_dD&pTF{|<$s)3B0-3KU|? zZ~_x`FZDsf(120{`4Iih8_2d;Mov0!AhTsRf%xtKymx?YU+Rq%-t(mGk0lh|?u4(Q z@Fpuv?REY51$ajN)8ER_bEALyGL837m*BD0Kkb$)3vvC^FTjNIvKDxP{xzCfN%2jy z;)|v}L*YDxQThL$|NP~6n2n$9lJ1f8kfhyu3B0eQS&{}NeNysmlGIAjrmjM6ouv0j zdb^}oNm?T51(Ie;nj&diiqP|pq|ZtEsHFEx+9LUPOS-+gkb6$jwURy{>F^AhE=hYx z+9uQWv7|3ax=zyjBwZ$HiKJ~Z-gZfQbQAgqNIFu|JV|FrS}o~qG9Ak#oiFJ<5`R$A z^^!JAx=qqOk{*_{hfHT*Ne4+fR?YlUkb12AOz$Dm^OMB)OKRbhC2oZ; zk^WpPX^EusC0!x;m&tIUq&LZOv_R5xB^@B?36ge^^w4p_uKkkklys}4PfNN^(!WT0 zr=&Max=hk?NyCy}An7Nry|CDQSO6Pmr{Sq#Y$alrHQ)DCr(aKa_Nvq%TSO zq@)i@dcUN1NP4TJb&_5s=>kb-OM024VM#BLbgZO9B^@AXZ%KPd+ELQBp2Ge;l71}d zR!KKX`h=v9O8S7LzmxQKN$Vu7k#xSKGbEiNX`ZBGCC!rb3`zS+nl5Pk}j5Xo}@D+ohIo>Ne4;VSJLAoO_8)cRoM5vr28e^ zE$I$PUzPMZNgtQ=AxWDgy;IVgBwZ@$Y)L0bnki`yNqv&G$@XKrq>oFwO46HUdt$Xm zVcDKq;gRBxI_w3}Pn}4euMQvM;o%xdmq;oIHWOdN>L-3?ZxysnQVYN84e|U@N&CMk z!eL48lXQ>7Ly}tZb(;l$M;XsS8Mg2*UKjXZB(TE0HuP>8&q_=UrrY--{x=Nzx`smq~hwq~j#*FKK(TklQI~v!uV1^eRb< zCC!%fWJ!IJ?w0m!lJr4I&ye<6>3Lj+122ns$4Oc&>1~p3kaWMKy*3H{LP?iO`a4Ot zOWNxd!Iv%R3`y%GeMr(4Nzu?X*%bj2qk+?Tf4@biSEh5r=cJ(6C#ON7@-x?9q|pNi*U zNpF_4zx0z;E=J1s!U}KyUdXMI^fF0%NxJ4cfrljB|E&nGk@S2?5C2O%-yrEdl7=Mx z=^KHcFKPAHBD}v%(EB9a_mv1gAZezgYY&R&<&vHuX%|VKJ0S2mlAbH+$NR zRfLC2x_h4p-!JL;lD@xJJpYTN*Gf7~(nCzjNg9+iUDAiX6nIe5{a=W1Z%M!TT!i0{ zv`*5olJ4Fk@T(-vleGOa@qCS>7fJflZt;Abq{Wh+A!&;&4`=@%_?Ah!P14?n#Pj)* zZj|&}Nkcyh{C-IfN;=`Nc-|=K9!ax~$ao~(B5ALm#PbqKAC>fwqmNc(j zJYOT}7D-3O#Pf1VZ<4f5`gykukMxNi?>0%dNqSrd@%$c1r%Ad&(rz6EzEg%r%J37C zZkP0sq{W@2K1q-3EW%SHeM8a{{NnlJlJ1xE{4U~olcZlrI{X;%e3_&#Ny;Qmm;L=| zvYaoM`mB0x(|eDp!>i=JfTTf58zpU%H2prwCuva95=rYMT_b6;q`M^bt(NkV1|_YQ zv{BMElCGDuMbb7&)9)90vLp>lS}kd#r0XT!C29EgLatiUU6T5mq+OB*B`uM(PSQ1! zHcProQr{n>yre-%OC+t6bd99VlJ1h!w?@iK8kDp|(mF}kNZKsvE=hfVl=6}WB`uM( zPSQ1!wn*A0Y5D^~K1L`F?+qbl2Yi|x6PE}h;_aANoyZP6 zB>~v%wmYw4N#$I)+0s7|?wVS$prVYtKXG1Z)!d5wt17B$966Oijo>Qt+KLsqiHoYQxwz_=iz{m?pn2k=1yxq8V8V}@ zPM|X9mN?I+)-GIFy7-#B(wb6@e_~}#Ud8O%xm=6mPuFALbz??lotrg#PS%i-bIu(( zWaRL&(L+irMxQ%m_=q`U%ErtoA5~E{TP?Rm$OP2Uyviljr8Q;qcx6Q#?)nGUdgPqhBg#gP&Q{~2f>2pg2~qyz zj>m?f|MURrVMY0`~>jOGlShj8XOfqP7(J zZU0&2Zc1&{)Ecx=OKK|1mQ1NAEk{DB+$F?$_ATb+#ARP`Wp&xqiYiD?V$Qj$osK5%#{}^d!q|!`B_{5V z|4BTSCfMnYXW;^wvr{U{Dk`s1Vxv?cU310^8$PD2v|`ApF%`pyjI0=S?vODkVMB(a zMa(MC9y7cAT&+A6$7%GHFIZstd1~3>%Icc%g4(&2RTD|ST_0SC{e0qu3l_~TU0~G* zE5G!oPCj0^xU_m+Wm)NhODZd_cA5z%9*x0~%dK4+Uc9K{25ev%{V{Nf zkI3xGDlLr1zF7rTm9!RBTXkjCqN}R{kXel8!&h8TIIHmD+`L&u`BSInUYI`>JT;3& zoPqHZ0^BJ4I$FMhswFkDCCjg>K?L$geZCZy3|uml%<`$vEq)6l(b=U-D$8aqsaafE z#hbL#{J%Yk#@XeAaav6N;8HE$tq2EXm~e}4jf=0@gKvk6?@$81j?f!8+liOXD$GDQ z07^eryu`P{#n+sGkJb#C!gmV7TZVM?$*$1WNAWZdb>hW_vM=+TuD%c`{aEo5eF)F3 zAM(p~gah({%D3Oe7syJ?myPGv59upUz}E%OS15dBpKn;aK6*Y6&#fOENA}Ga-qp8D zKCtXdL3rc{hYts2d@TqUyZDH|c%;Ke_ANy?kbtkz#nkJIm;730{z ze(}8JvrhbZ%5&m*iR81w_ej3=@_G6JA!p%%V};)9hGmzRk3M(y$RWcj=9CT@i7_Jj zL9~;jMwbmk4=|#9*q9M$|EJBXToS|4+b=0Ud|1 zn~(5DI{hktNbVjFzAY}k77xB&V|9If5e`WG6d(DS;%UZn3f}`t^r}^KldhhM}YbG>) z+`sG7Z{9lf(uKE7->`S~!kFdlqjv`@By!y!-T&g}+}rCHKCZnVYLS zU;EG>{@$g>fA`sUaq)tsmy8^qcfK^o$HOC>aJR8jr{zwW<{O(oIgfY>F$AevQW3bM zVlky=yd`%D2CIt}2PT&;tl-az7M0g7sE7;OVv@h0TxytBxv*kMP3gjF8P2aNTYOD5 z%{Bv2C3I3;dHGWVlPa#^TqB1L_YKTm>ik=hK>x%c!pnvnHn`SVk+0yr!X9dOvib|JOF05S`sGMCpXUVmJ%z@=+1uB-7RbXkP zoQH-6rc{*ImQ@6gMxwG0;dTaJ~9z}2No0%eO9FRrbwsVF}h zKe~z;&A>Cyg3%_s{YmDB{j8I zUA^?0YqRXmZ16dO|L`Z7p9r`6M=Z``c!41WMiS_77Kv^Kv!j|tivmj)V(oCZahLh`q!ajr_TN^ z$E0-a*1bn+TF>-jk2}6s#tA3(K1pakxliAI{Z9#;dfMp&2A*+d=2?RVpFL#gIpji) z{}0liSMk4){$%l5UUKI^<=sVPZFEtoR{Q?{^KtLox#uRqkA3(3{q}*$+?n~$^E~s+ zoH=u5=FF*TaPGx8ueH4+Bz2gq{4qZMa5&`eW*ZZYDRvv>dtQw;x!RhmIT*q;f^N39kXzwE;!C_Tr_Z;f5|9z zw@U=^nyBAU65P`z@wgWdcC+6F3ztzk%hRj`n0EFeUK8auAnd3Jjbk~&29D)8o&+~) z;ZnE7^J{)Z&A&Y9d?ovu>#lDsx@&K>vov?X-AkS7Rg3E9Z7*GR-;UXZ z^Zj|*8*aIFr*Gz>&8dOii>~qBy7;CWW>(i;SF?MyQ+xZ?m9v&+Z){psc=H`)Yc^!< zT;!c|L(cU2*$b!Lx9g_a>o)juZ@z!;+WW5A*_^#-=d2xDH{6-MY0=68?=6|TtL`XT zm^SU+eL22eTN@W|T)caB&fN=qwR4v(xpu+yyzA1+%kbgmtuyaf*KmV(Xa21D&5Lfk zHEZAYHNGWPjT^4nIBo9jYi4+BQ?};Mt-r2x_5Ih+*?9k*Gs?CtS-)Y!yfw3K+C8Uy z=Y0$2RNpaU&Mxn@*_$&1o9}5VzU#(aI~Hc_O1&bn#y^3q!FEWUGd_RIp`?YpPX zz5o6#O*gO1oOkW?8(KErpEq@d`O}ja7&E3;-*Ea3SoV}|0=IWBh!p6H+ObZmux#_m) z^EU3zTD*MchMh}pt6aJL_Q1x~d#=4{ZeAeJl6hNIN?`R2{~hIv(r0bCal@*Nocq@; zE=|3C!HWD_Qg6L8yJXc}3+CN%Q4dZ^yj#RX47$n&I4#wxDL~T+h6Pd$!J*w_(;zzWMX^ zE}fOXe*WwtZ{e1O4Mq2DTC?=Vh0V3M-##z@nl;mQ-BuD4sIcO?S>I*;H1wuHoJtv+8#4$j!{nTXsj|x|u1S#>#2MbC!8p zytP?ryXrP=ylcz0ijA{Z&c1Qpil%kV^Y-ReRApx0@4a+$h_1jZYb}aU7PEFZb zf9t)ad1?3Ev7~bP-o4k~l(OTd%`-~3PR}a5X6LGTcg?x=&f2>@cNJD-W!%%e(%G_a zd0^p!lm&sCtBY&zTDfz}##xJRxXG6`uQ6x$9jk6%w5isgvu;~)Ufr%4c{vS*r3(TD z_coTzuHG^0_S@DubBY${?U}!DUGwxM-kC*t`{p&=xVXA;R4TUYO_ zzhQlD+1^=|l?(5y@$B?%+c<4gPR72*8=M<%T3L42wB_0J$_sXR)8}rwzC3^3O?R$b zzr6nblDl`^;w-y%+48&Z%vo8Hk-q!Z8(Z!w^{(4It8w1VH`On^b=9pa3K}Z5SEt;+ zF?~&LNlMG^g|{r+SG93h)!lWq)y4j;J9CzMnwHj8ZQ0l~vu5UsThsDq%qeed@iwO} zU*z4r;QoS?jCn=*3$JGc7~`>%TRH=5W_9Yffye|*8fG2bPtpVITSDcj@u)gx^3)3R*+*mttuOo8J( zZ#tX<=Y#8m`wiT$;eG}83%FO{UWWT3+~aVhJ~$uT2%G~q1lJ5V21kAaa5ZqFa9+4! zxG>x}9A);ymBF2X%Yr)&*9LbHE(2~5j%zUI;0oYQ!X1LU0!O`{fGdGJ4YvgD7+etU z0vz73_dEqx4|f(WAMONP1nx2%*TRm%RlvOfmksv}TnF4GxJ7VJ!!^R4hua8u3hoHp zYjCvPo8Y#>eF<(c+@Hg>zb~cKfo=8`wO^MxPOPc8SedXyWzeG zw-)Zxa1X=%9PT=}C*i8#{sk@u(*U>%igWCo74Y)OM&%yP={S1z4 zgYSZ?g!^Z>9Js%RI{^2eaJRvI5RP-3--X)*_XW7e;C>CqYx2!-#c+QIcNg48-~w>} z1~(7xy>MLL{yN-hxM$&d;QkAaYY6Xz+X44aaCgJ~72JbxKZ4_0<)6asgZmEL2Ds0| zJqq_LIIexX4Q@N!m*JMd{UzLfxc`8|i7TEzhT8-8Ex2`XpMg6F_Y1h|;oc2b4fj>J zm2iIp*9G?q9M^_F4A%trGTaupFTyAJ|<7a+`qt1gbRjZxCcXrJ?*#{!X20a zj^GhPa7F#Khq2cv77;pxM@-Bms$@}atUE+{doX`qR99D8B2}b5I(}PGd4-NI2w+!G zFtk39*MdiJKdV8IwcNn&@wbZS`bKH-Rwu`)_hX^9#gA$6F!oJ3`Ch>@nVjF3UX#gR zzoxaozb3RM7+jqfTrYKEL9rDpj%4X^pRgzzpXZJHr{iPtbDXO3x_YOMQv&`Dr>3_h z*Wre*ymd}x_3k>ShE~a2wZ^Fx>odyYt6Tl~-cW&meL;ROzaWp@grNM;+SYaJR(aR1 zU$=UdcU``Yx73T(TXe1}emy3p-?s7^D6wm+Q;>(cYQbXH);uSxORibvvHv5j^{-l& zw+@>*TM7yaur*G`BEDel8tSoj{n{XZ>G(g8KC84o1?yJ_*W`r)*wnUmb;}xdV}jPM zg?(1RK6z^cYXg69eO7CIlGRJHdi(>~Cstoc>Lpn{{(pE6Nmh@4Abq0sm8@Qp z)#GoYPx01b^nd2{)&B6NOcJwjgM}gVlb+C;z`8&%7%EtYje@Jz@pdgJ znLg3W+FECg%}pm>?}_@z>%G|Jde6t!%+=_t3j(VP)(5;Cz6nZpy(iQEcho1=ehOBv zD=5fczuvzt;9b+YHmXmO>phwNzoS0U_LHn$lG))8U0=!SC7B(P>G?a_Cstoc>Sb+` zdQ7J0@2F3-zOHikw@DB1)b{d1Oypw*JmT-j=C3eb&In;voP0eT2XeQ-aa?={+)}uiaD0xs4vrVj&2W5fx*d+sCo|yq zoG}-U1C5*D_*`)t+!DAHI6jA_!EwMbACAwRi{S2py9SQW33K3hBc2Dx=YoZBeEysc zw*rnv;`8Q>aC~lD0LSOEX>h!c&Vu9f*bQ(TVB8Alz>)YIo(jhSMmk&pTm~G=%<@qt z^`cJHgR+SuvD_>(`LQhI&$3e zi}|P%bzpwth^LO^Lmre%qFfU3{7pXOM;@A=nU_47mpsUq{F#SxnU7)Sqb%|up1diS z`6xr{LmniZ&b-V+ex_ZBBOm6Y4C+Io49a4D%4dG2GhX90FUr+rqAn!z)pjHwVu>R^ zVl_XFrwnZi>PH#643x{fj3b7;wEc)7FY=*o%*(P+24xY)bmpTBrZJv8h#^nrBY&+6 zc~T~MF&~M)b@??9;>eRSm{;qlc~dUa7*Eo=F`Y8WTiZg*Bp>Re?autfG7s~UC)20{ ziCF4DKFmu#Z8k!pF$sEnUB0FN83~9)8$|q zc~TZJ9CNB7e%#ymb9Ao;YoPEtmX=CyxA?kG!Z4 zi881Y^Ap4TS`Yk;rjxfWk1jX)n&l#%JeW>?)Ir;nJjsJ)BOl74Zj`TiXdS2zF}mE$ ztL;lH^N`4kGRTYZl+C_)Q>!M8OVcp=A~>B z^(22{h}ZHdSL;uH#4(T76F&tXtv`8C7O~{Tc;?Z1;-|<@8oew0Jq$zS`FNnNNX$1mFFn1y2zJ%vpD8j4tFOU^HCP{W0^LwtAZJF}i0xXFm+p`$KtZnCt=+UI2LW3u*Zx1Y({>tyX|vg^Ta zpZ5A^E?=4iIl-<_kKBTN2=BGh{DP3I-&u4R!S#uf!=3&E?SYE+7QO)>iShb90A@Dx zZOrW1Z1d;!w7sHyYq83&yx3i}=9EO}w(5bG= zb!xcF5TFpZvtzdy-!cey`ClubxbZE!B@VTi5EtD z`0BYJ^_=Yldqd6l>X7O#y+!G&V0o#Ux3t*9)j!lvBviW1^& z`8MCl(jD+vvE>N6263(Px+mHB^tygcWvpE6g9Z_1zd>TTZTb2=tkkMlKH4K6VFx~m z`82M=!iAIIeAV&%nh|!$3`BmK%6_8uO?_MTyT9*w7@OZ2C9mc?QeOIts=xob^d05< zl;5oUu=4wqKcK_kkom^GCH=m$(jQlR+1{HBJv}1cus4dhH_Kc};Z%?RmKd$69#qa@t80&pKAqEfl!=CcGQcqPi*7xOm zR-U4o8g9_`lvP)j64QWJl{yc>7n@X@c9+&}t*$F=s>YAnax792Q(Z+K_ygLDsG^Dr zxh`AU`LHgr+21`7#`=0^H}+=k@5Ea*LFZwA2f7VxY30jLq0WcfySqBs8zG^)T`YNt z6FLPckBusza-my-fm@8b?xhmo_zScdP9 z*O%7SODWh_Vc3gtlZ9=2PZq8ys@lE_@3U)pHr|xSd+DB{+N$!Z?U?TruibJ7ajbvF zS_Qgo9GcRLHOpMb+110+M_IB%j0V7q_d@kLKKzwvMl0d5`OrrP$_Apy)Pqq9A7y7} zn=Cyy9Bfs5a14Nw7dj-`OF4gNuakDAQPY{xmg)61QgDIRO_q0c-D!!Jw7h zU$B4X_-(}KcV{4c+3I(7Ki*gq-#_;wY~Cj{ZpgxoAnbrIN#7Sz@cW#BBj@~`v&8t+ z#Bm&a1o4_UR?etRJRg9#QN(K^uA?rIAIrC-K8Cvv;Q@qoU#ImGx9(jr9LL5Z2$#Vp zX&mJq-yOqIZpEHi9v^&?iA&)}i4;-pGYI#qFmaucwH(%aYJMvF<$+h_ec_A>kE^h@ zvv+TdAM3FIVTTMrB|Fp41HyEIWHuPpLC^_;?Nq0jZR0fl0bF6xG&-DWjl$ACbrL_?oe*7xcAMDuNYMq zXkw~;Vfz{b(H3oA&$@p*ac``Dj=U&Ki-E=8#vB$W%(0v z)V~?=nwak?7aZ+%%D_>N)Ry>mQ1CN#AGpoEpO4l*@@XR9GK3u!VcN~U|3;GF1}%O= z7Vf0h>rSbc_vcbi?_DySOt0)fqF&VZDa2FH4)|=R&m_SW1SKvVKJ8Tl-+@mu%Q=X6 zP2@k63}=;d)CI@-^n_ykSfA+#JB$ZWuJa37{`5>){^QCXzGX3cP>%uRBfbs37>B_x zLzu*PO%vX~*XBiU;PO6!&J8!N`pO#7|LSp0eJLUo@jX#-ui|JQ@8NdthlgRgcubUM zL*Ug)fOshAL3H~E{PGNmgiNwebbbCFCiF)X{zb#f4}wPC3-x(L|n^LAmc7;ysOEO23f0;P=WEDo*)$ zK4rGdApSEi=aoq${a>3hCXU{kznlw;dxio`&X{f3kEMv5CjeB#}Q^Iu1e&s9JE9KUq3XI*v54 z0}3@oaJ&JvaOE>qTtEyWjW(8q-&Nvpo^r5>7iu#76u+tB)MYQYxt3S)n-tfL!+9Ro z72wi-rk36$Pu@(Lf1-3OKTRlq;`9KH0FGYCF7hW!m;Go{04FhZVD3N~)P>JfaZ_z) zQ^ifzZ%>*Y-=5`Bz%J#p+Oxu0=Z3oEn_Bxw+D>J5)jVAKugTI2Co8{vE_O^R&&Hae?YX2Q zaXy&1KE!JxzhQ)ZD#9#B>VbG%0m3$p_>%^X7DMlEW~Rfzp^B_ zW((Jcuuo+))W9*vc^7`fFA2x^ zvAi`1JMc-GALX_gILaMF*ax4aar8$F9Qj>BIEx^V#*tsjLovDJmyfUyKFP#2TDYeW zw)rvtDFes7J5G0E`y;L&@tTMmcfnD=hMpKs{0k6v;FC0t<)|@mW09Yee({_^%E@+0mk#B1WO3rTQg zZ;ZzcBJ5Kc&GIgJQw&GD)FW)-2GxAqn3`YnX32Rr#VUGUb3v<`FNB|p#2WaH0#RO} z3XENm^LO?>n&#z_Z^NrHz4>t&9#!FyM`QDQcmzfyL4t1PES`P7f)Ir@aGpCEkJ&ol5H_$1mh+gU93gB8Ljom2drcf|8M{+g20 zAFB`Qm;aV{`;{QwkF?yzgzX@>2gAgdHjaqCV$-E$uY$ZfPfHK>DLkN`F|TkErmdvg?=%oApP2M=W_y zSn@o-QT6g($)9?VXm9S@ThuS>|1$D%J?aR;X8R_Vr12GRm-r>{iGK!uGCcL$_>P!8 zX#Wy~9rz@sYtrqp&A^N25`-~bEXWOi&ca`r0MGg;duL1^_G`@u`viBXk3H{ihvWCf z#nu5fZaz}DV$0&$g9xAb&%U@;%Bqej}e9^2lDdBAqD`G_*iIrgUB zUNML5wtmX~ApKo2`*3}y8DR%LiS}nb(Z6iqSg(toOvKT?*@)M~{$(HuZq&jRygQK} z<(xshChGfI65OJJcw9Zgj>@RZ!TiSz9QC_`u-TqMku^buiO~g^p2E=P3|0fW3RD>=E^?usG zaXhx@sl;(U@lPOL6ZsuO*ijLhAN^AnZp;NoyIe7Fv`g0e-1*fYUK8bpli>O-+;9@y z84EX#u>D-aa&){uCYSm?g|Gvkq|3|r69$g;a|vO)T`@lGk7N8;Z_Nlh@JX5kB_Q;63@{gynPs2_1b z#A_mM)CEUG`Uhh;wyTo}JMc-my!4$9#c-@&AHoiNlE$&T4F-;Osrhi?a|82VLA)lG zf8$Uhj`a~iye5|848r#H#`;bDvlx!~du;dl~VXSYIh0jmJ%RpTnULhj1^)mGj>P#;>Z4O{{gHb*W+yJ1OCp##`f0$K2{N$`2C4|=&6b2 zt+({n{qvB8J8j|Y@^Sw)zj07mT~%IRT`RulWrl5hIqtpK(}g`uVml(2qVW}tPWb>vI(oy{vxygN4)pR3Antd=)=wAwW{BY9d`?kk&p{NZ3~TP9TEr(%#2&Y*u1mEChD#3Er%V-5iKHT=>HI-`J0hJ>;lFo)%5>#;P-NI4m{^7C9~0 zP9e4?*lhscGLhyIBRqL!!Jyfq%AqFPBvr*{UYN*cfnBnwJ$yN`gE2HeTPx1WhSgjA zfd`$KaaFs~p0G3boT4GY!%~ZGaelr=fikg$>0KGvo{t?Q!63grgN^A}2aXy*F-%%6 zeAGo1OMDx{-x1!Mv15d^=*Pi$&@Y*U8E@RIrpR z`zZvI^iz|idvnD<+*nv+n z^{cUPkt8_#J8#D=oNSlHT&iWel>N8bqfMFi{nBnv#E|s;ebHa3=X1#6cqAWThX4@u z*QD_y3O@*+_B%HLp7nh08OeVbKChfB@E!Ohn?Lb`e=YH0_|)eld_<1d*r)~;;+eOJl<^aT9bUTXeEmNyGN%i9LOOetaO&+Fk7(lyau zFCgrw2pdoSCBu^cBKYLr2tOHr*3T)VYvOn}?UU~IvBxiQ^A@YT(ed15-)o!fdu%*E z66eXu@2nZ$SxETqn)RVIJ?}d^?n`5MpFi$1W3ljV`PP%p=9w)nu@JrzCav-R(|6rA zKxK|U%WIk{%8N^@@M@%f@6n7B1zV}U+SY@;g)4Fqkj3Q~1p5lx!?_W8G_2@H%EQXa z>ZS**+28Q{a>;PG$#T5Pmu|Wb@Xcf1(wFr(ZC$vNMfY5A7_UCWsXk_cfj(YzLhctO zgSa<{_s>(?SJ`On6W6ErS@cum9| zbHP#0xPjw5P{D~rew=3tBVN-|{5ba9Eb+|(#&LW?x%AI|I))?vD+oLANpc+1C)N?h z_4?Av>3uR@VzmBxeJ=PJIUYR<-;t1n@gMCnigZmJKaE-VbDmj3PR*J`dBkU*jL%YYvqgVeA_aFDUfpP07Z3(%E8O+7?8-Gh|geIySC$y^yOj}PR-8A@0f~- zm;;yz!>kM{wMUOlAl`$wWjLhaMs!YcaB>NAKgQuU54VR7I=ivqKG@}ygnHWdcRF>w z*piCF5HJ^lHV_PPY+AWheDkwKyqJqIRSb%6T5V2Wb*M>)YDfjcnaO_4MF4h92i2r+YBbfFVdPrx^r^VVi8EPEk0F`<^JX zn5x6~32@{*27b`a&xs=Fbig?%-a91|8c}oCHoDsHcU}#i3hQRoql5%~8^h=_uTTLfzzm_mHq#yQe+aL{K?C+nWF@FA+Gm>xlA)AYT*v z*$b9Fy5C#$#Tbt5y8>ZHWz^RZ`#byl@&hh7T42=RHy>eVB%WVQQN4I<)bdA>u8H!; zEWB+uxAIcI)W41Avk_rOG1ldvA2e{Z(-Ri1Y`VODn|tK->pUQRpYofP->3W$}c?Kdk&w<&P^r{UM3-D!)egVdW1fe^~jW${$yLdbi52{2Jwll|P{T zVdal1e_Z*x{ZxD@zTO)VcB}WN4IJzJn1w@>AiKTA%}1<}`zZ81lo{~Wp`5Um-I;tU z#rjLGc%>d+p+^4}I{<>O!i&X%5T}K+9V~G02r|AH(Ayzk(|w2a1CV{E>)Qz~IZ1ZIMX0aOKK_2M^}*$-DDVE|zjus)ZTdEqZ*_ za=*;R zf^_vxQqjb5Z0A&>N^%8n8cSy z#lyZ^Kg#|w{qJMf6R(qv2;0vE#GSWrY5$P8&QIJEh}T4Z#}Rf^1k?5XbHu`3vT$;| ziKWoGI-CyGR90G4Qd+C>v;WuQ_kl0V@%u^m4&q6aqbcJW*}sQBCi|b!C#CO+NZ5nOY_-!)W*Dw8=w@csi4(SgozxkaqoPJdLBgzlIONJd)Zl_m<`;k)QTV_~})Q0-;pZzX@dfA)XXU6zSs_*vf7t!hi45O+u?Z&XSaKfDYau8 z%}FFCd+O>->gsDtiz*$D`1a^F#NnsN3lHfsu^zw8v_y6}f}faI#W<=if+g<*atuX2 z=6ILk*t}{GGdGwfiEVvRQw!LqLKbSt49 zxYsOP*1sgm74uSv*TnMnSvb4@;hHwj*Kuae75xH#BMeBq^_I*o60vkO%wo!~`s7MrA^DH5vyS=tW(}uU=2`RPL21h~~2~81WbS zx>$bKgMT5H>)%j=JN^(7N3E#N2>BP|yi~{|Mx1M-Qw+Y*Qc)Kp-c??dn>d|GoT!AY zv6=;nhDEc9Y$M89TD6;HLpjwi_wg3n)6=7|`eb`Mjj#isWVW}muf^lq5VoIFi5N9- z+=mi*F@C=?+d0Q;i@qM?$Nk;|2%G#6B}iXC`gyb9>?{x0bDH6s`|#~{7dMZzN*=8F zIw)72@tfP?7hCso0|$Z>1dmD1%7Eip8Hk4EIwYr@lI$1c>Gyt2I{8~TDUV$b(HSYd zvy%HB4`N>*cjEHyRamHIutdu*m#^gT^7gK-eJ&M4T^0&U4w%=OdTp^T0`!K9q4y zpXN*Xx?l5tSI(m~z;_T&a>I=(+!YIF_kYRyIouPlxvXkeL(?8i8T0&bx##KshyI6l zf989!dKJH3p5-|wtQE5t?N)|(P0SZT*ijKo_s)>@)wfjEhiyOJD+uRxOZ-ub->}8+ zxZ*duO!D()x${ldufAsScgEuNb!JL^$CpdKx}Dj2C*xlaewt{HBM6(hJ(8-e{2rMvH@wV{%LY z@sKy(e-P*YrQS4-kki_wfQ;us{-qC{GoMg zb5^ZxT_0HA8e9_!w3zKSVZ(wHU|+8ZFmcu5I$0MAcnenhy*aIUYw~jP{i|@r6nMQk zp-}6({MMG$fpzN&OnGc*IGI1BE)-i%G+FZx1=p-!Rgf3VS-lpQV17P|;$NG;K4;bX z{FXJr7XLbbXuZjQXD{9+iX7JV)9t#px06%NIH|Th&{K=I=tQp+vtwMks6O@@3H??> zdpJ;s4L+gnVxhUx5_ZT71pRC9ar2zK)oY<=L2yluKYvXKfAU-NR|O03BHCJ0&lsm< zaw?!~sMC`4TglO!T*`BxL)C1pT!Ks}SL^z`RqF%(P|lk5p;bBgp*3rB)}w{x;B)eM z-eAG{mf+gB_SBHTQIt>BLtUV|Jshd&=-uDmSIi?{LZ!>;a~y`kPvT=^}$oNH*R-BndrUsPY$RK1O}r|!?=91k8d?$ap< zpS8vT9FOq9@v?#A_W_UntNZgt_V;7=eLin=And>=aa^OHOO9E%3rTQ`{wU|k9`P=9me&Th-i%+yjzCTX%AxL z#q`SPDY}@~FD>3xiwz=-uiIOPv$**Szq?u^8qY9!SG2bz)Gtm@4&e(eo|XKH5^ySv z$*@qMR~#A6+!&AVDBarxQMIL2^-a6##9o4Ed_{F}5nj74DlQYxB+>ZdilVx@(z>SF z>T0e#B#Y+>+G-lnlZDgBxocxNA{h7kkjr{Y){ZY*?aBF%_<1b0V_wHKh}XpD+v5ly zQW0i5_FRnN*xr{Q>{vL)ml-(DryWg#yJX;a{TKWokste=A;fE%fgk4)RztqH>n!Dm zF&y>qB5cq9usmfJ?uZMHa-TAAlzR?gd;Wqr&yQkqiSr>GW;{sSkGM7qcMM@SJHKGy zSdJ?Qd&vw$es({`Hb6?&4l*vq^dmnX!VWWlO#K=R9NWcF7aZH|h=Jq2&LjUh%hL>> zr1>%bq94cP@>c}mEP_B9N4ty~Z(dWs7hG_}r@Ru+FAZS_@g&WUeusf0zX-yn{n+kB zeIlOtVfd-=J>!Y|h#NpWac%Hf{*y^?%|DU20{F!B!!LtR()zKydS1ozQ#oIp1>bC^ zcKzD*YNrbUvu4kA_(S=b9f!o3gw%sX`J%1%;rAQS|G>!z_jW_O4gNf4Z`S8Igzfqx z-zx@=`4;^m(TyP>S4IKNeQH1UG#qSWO{yHW%fN(xqg;~$kkNGOD(Y1s<{0O(r zoSndrdWL7kaMa^zgdO-KrVpxlnBniqd6;j0PVURWNVS90|6+N_(WgJFBPx(D>smQ~ zGxl|v&v#b(Ln=O9g>}5P>!_iRxSlM1GEya9eQx2H#AVExBEKo(GSa5VZ;Ck9o7w*?nLXRX`*Q_+2R?~*w{b%X zcV-gYqB)XZ34DjlKyLg76>ek_-261jZzFt1aC7H3pl~NA!KGoDm*vfeKM}uvg&Up( zmvWutmkr;IUl{S4czyI)xbStdeup;7_O7q1u^VIgc%7fJ@?BKvSB&rY}xoAnVvI&r66 zaBl5o3EGbXJc;F?J}5|38(WWl;Kew^%4g#i-7NL!fNzf*OnuKNT*fVlIO-cjye8WH z2*QqvpuXD9CoSBl3y%G6Mn*ipEQD=-Zn$s~oE}G>L^`j7F&CU!-i?@@Vf`G1Z^}iK zptc+3_3zvw`y+j>u=c~#WW4rARk+WJ*Z2VyPdiP6gtKCHNXnvp&s%!n{0*DS1xF7I%j3aj+KRWhvkk6HY+U9=p{->c+= zm9P0XuZibBzB-#){ex3~HQvFnZPEd^mm6^`w3OvxXaA?<3**Yz`C)xV?g$?9LrKc_d4K^Em_ zTkvv;Pgr^oHyz5HK)j|D{5YKi z=UEt!OGnsI8EJpbuf)RDTR1-N2HJ1{8D1=I#cnsnB5D49D}ORMr>2M-PlC%@6e}O= zw*X;BDaZ1S-y-X4Xs)a;?{(52OOyT?l|HJ%kZdUmng*&eFADBe{ksK+<)_+{-JDL8D%5Ur6oJ9YTY$>l#@lU3| zZfE+wd>r+|>tocaCmWZ3o5U5scYr6czBMj_cugFKJYnHv80D>3e@D{q*@Vzek6aU!(Yr{~*5p(IfYz^QE+L(AH{Llh?kS`bcVQCTM%)*PI||={PttPf+xMZdB)HVOV)f7dHyvRIK8bu% z7s=~kWUH))h6OS_s=|F4GM;uOzQE$=v-n-SP3Cu0Kj~Hcy|+m|UKOveTU{Uh;6r;3 zTl{R?xWZ*Di7$uNuNm>0cpq+a!SOj@1o14-ApBJL<4JIbG9|7CK5@t4JMc-&Pr1}P zb!kj4aajnP&sFl?j9q%_8-~g9^;`Mu@?S(c<)tr6)Pv>K_N+lVafe)REdK?>Q~y)& zsqg%(M1I5#A)dHH@QE9B!BLJ_u!Bz`?y2R8^SExfaTgrrMpne^$?M=4!VY|rwmat+ z>hG5G94YYUBK$P`GJ-%R?nt)8Wy5E_)9`H^uaAZtSza%E_LpJ!4t$d4N8AO4JEd^1 zx!}zDIF>7)KVN|FkQqqxGjU5+N?ZkeN1!CG;C6Xkd3`B;%$KghJ{8v2X}^jeQ1Q(w zJfyeY5fe19^mh~JriFXPm zzh?N3%E)+K-s6bZM1E%xw%3b@&)*P_Yed*l8BKl>3-@#q+zAUehOk**TE8n6ZplV> z+(yJ}VmaE9;QB1wGcGvV?*#+L`c2!ExIRYQM#O6(?nn~cGZyYr65OKA@%$PQw%7S+ z@1QS+V|hCecHooD`moPoekuvhQxwlH6=6qki|3cNH6Aw~;UNo0yObC>_KWohI~I<5 z4j4F=V=xKsNO8Q}ClGdPry~~b48m@5Q%d6br6KIr{>m&|4Z?2xZ2KLVB5t5Go*zsg zNVoqJ+v4>+g|MSAv>$Q2pI@F49vG_9E=SCux4fjVRn8eD-hWTyVrMxhGz~Y=j-elQcj2gBETm3C=$E+Os2( zAN30(o_x#TvmE{K%ixo=T#j=tB3{#t_~EUXov=TLh%v-#nnzsa?700yI?cW>#l5GO zxAymT{&d!b?$2d!`R?bwezNw!2R~k0^nnfce>t4;$ng(9J2UOMg+Hto=TJ{{E_EUH zYu46#3QMa>sJlMER2?k3NteesVpqf&2l1NWXf}l}4=N7P^IcWA6yK0O9OkiuJW4mD zV$r|gJnbE!!y?S{nllRyMI9~A_xGA4^P-w^_j8_!)9?EjdW(v?+Wba2r<|WQ)AHjF z??s!Mg8s}OJ1;;kd*X~j+KWdnVF#o*BP$1Q<2pFVFw}{&yTn0EUBO;_d2RMAqtJY# za`r5v6mb!%x(e}7uITFZ;}<}bj)%Biy>ov|MGWG9d%jqKjByeL#H*)R&lOCks;7Ks zl=@LIaay-BpVZB5yvY09C0y5m9@njN>lF096}BR@*%V?SbF@8xx+O*M$;%T@fo z4BxXN{YHAPEB(9qLJ3Q<)`J9N7bL#Lu}x2Mv7*mF;BCtQc8sCU1oE)@1u2O@aq z{9#W?DBy8`GaZ5+oY0oJB7l?Gl*c#+uBR98^@4K`&P&IecH&)lrm?kRnq3^zURW5t zeqHs9b^^$U_s4m}9p5+?3%TMOGX^u!GWb~;ap*)_2p0msp@R~t7vT9CyWEuSxV?am zDiNghc4p1?FlwTDVLe9Ag_uIU5I-3|yIv;3JJ9cHTG`=v>V`o{@4U3P(5gzIPVrm;pGZ-Jz0&xyO;0Fy-)aATMG5h)9|V6CHM|}665u_F;X2ZirBX@h_FKtNaNUFoiuRFv8X0-Tur$hh}V>cAEyvz zzeO_nE!i2v@mD{>Xg-28j{Z3VNB*ALMEy7p%Rsy)&PSa@*dF(DJegG&!|~TJ!fh&} zmMi4c$8a1s*C6azIL7xIxa$zk*p>L4b3Jj0C;zP7vpu)NzXX2(K1s{vxbgfRiK}Rs z?cx6AbMS5bSl&Z>C2kBp$Cr)wV*Ug^N%Ir;9mH$m^VO1l?shIgye5`EjIjAU=}iSmw2fTz7LAf5S6!Kb8@riuA? zAYBvrM<&42KF16^<(;tb;l;APY8J}+(!6P3;tQH%@)&MF*ij(rt%-QrbI`!E9gZTL zr9d`b@b|~~v-}MRA9umq;~n+>S4p)vjkT#^S9wVj&eAHc+TOIgsG_{2sJ^_q3Va^* zD$1d6{n2TU;p{COIF7+C25daUHqzZ2h&b%K3k%8GY3;^NGel!hH=E~yFHK=rKDON+Y9UJ2icmMU5u3 zt8Nje=aw4>^cFf&HRy_0r+Pz9olqWM*pTs$W_k-6ax%U7_8-Q>9&Yyy*565^zSzFT z5H6D}MLT9YAa2~kWwa#XSpQjw*TnYIkFeRVXnrFGj_v*e!j8&Fd3O7Vd;X0*{>t&m zz3pMX*JY1`$*wLGdQctwQs~r1zy2tq`L326B1ULbe3LILGpug);y!=%e5=K#5Y$R$ zFxx57CmvPu1a+qh2i_a$(oV_hWqu%LN7^SJVTT0*(LUOa9Tx6r65JIFwj zg5|ZZdt4uQJ+iyoe^}1d@VeB`xy)9FFi(&Q_6E@1@{`()U=S;eccvqgrTH^_5p`if*&pD!}1!hKug5*+-yUd^cUG1|w~uoV zM5|2fQ@nz(LjZ{FL*p_YjK>uq><|PpaYroN(@AhAEZkTU+!YJAq$9rn(E4peye78u zHiUgD!ql(N!ab7&_kxAHjId+z#U!}&&Uk(m2>Za7q{~6M z5es)5VTT})i5s+q;T>?0= z73YP9LxJ|z_JBj%IsS(+w3gFpm?F#ML5n=BF)jzrbPZwpH5lr|cORGu6LIYrhVzXI zbk^#N+o6uGgSo1jr($pFIu$$qw)UPfe*n=x_8cs9>hR4A4DDFnL-AcC3g%2v-^FNz zvcD~Kin{kF;TCJFQ`M8EE$KZFX1|U5NpWRKQSJ7+^2!=~Lzxd4ycbQyp5#*%{iJ!1 zC~~Mr4035|K~v$gF^&&zFFkmgaju@v(j3eeAKaH2ysuDv8GI8O?A7Rz)5`piFIkAJ zQ_-JCV2XT(z^UYOFd&@@Zn=Z^r{c7lT0Zt`xJesYO)Ve9O-V2Ehl$l=6rPD&51^0g zru8;0&I~L@4yI9d?~CPM?vjJdZgtIvF;rpx0(AQ7|ztN608k~wGG=N3%HSx|!u7~BoF6&oz8=y!w0*%gW_{A`pFzGjCUQ3&X23_>~@BrKsW9&IN}O* zsr#f{Pm%g9ItG_=QZ7F>qhYu)=^1#jM98}pO)?oyp93kDl#pRpXS52I9a$D_&{_<> zt``Z(R>)Ruv}=4WSfX(isk@2hL_G|?rPUQtq}hB#^EGhG9#is}KMsh5xRZCK*$6Y` z81*KuOOc*vcZ{rX1@X&!{P15g`DT2fp_j>Te|J}JxW`$RwOqH_cz(-`daZU_QdAz~=+q*I1hHRdB-E$DPPrh_! zlwm4*@V*BgPypG*T{|-Y5D$7}Hd|yC*000}_9+`Ukam&A}YDZP|o+`;sO?XVT zJX6Kt{v@7q9NthJJw8`6Hy9Oge;@D5YS6^TG@*$(PsMtjgpblbetwJjjB#csM)Ejv z5yN^pb4<~={Rs7V_mL{%LD1I6k)Q4qb4AG79)vVgzsx{pfU-zeEe}I9@nO(7?uq4) z++%i#DU6K#dP(#d$jzcoc_eE4mQdti2+y{-*Yf6_$8b!jew0Hr71>S>7YY-(>Z!(0!h~&p&gO|4l2x3`X{%WM3J^!(csA_9Qz2)_VxOVG zW>cjKKFKj@I~4Z+Ef|{ZhIJ~=`RwF`1ix^LFYRH%K@FI@!t#?Kyhso$o4B3d=vTA> zW2#M%&$Js_Q0P#gqc@1_k=KMeDhE$cN~lZyO`x~BlwH-2yKY#1OAoeW{a`J6NV~ii z{tu_OVLR$Mi5`>cO&%oOAmv5R7K_I%-DpFHaL_cj1-f>NVme#4?idhtC)z5m!d5=# z;V3BXqZT_z$z6O=Sijd9BD5q)w!ZqomQ%j41?>FEQU`IXp_ z+V;SBCSl!oI_wjSsDT=ObKlSq!Zq+o)8M$SO58aE$92K<-o*7o?pr88ye97Rc*+Gw zzLyOg*J(^-Eo=Ac_X5cu!I^%+4{Fs4b zyUys3mn+*{d$-;JW!K9}JoV^L&;!#g zSTV+0H=ghC{!4A>;dVYM6gqjic?F{1Bzscd6S+lF?+Cv1;l96=DPn6THbPOutHoyq z3ps6-tLLt+Htc3E+Trp$WA@^>aLLj5`Z%Yq&*mBOerwl<8(-E#ANXiuJq%fV^>uR6 z!kxEpw!FA{Sd}m8Vdm|{9*)gP!me1Z#Y?G4?_&}v|HjtUS`J6B=o`j9e{pl*0x%{w zi0DTkK=2sm8A8ZAEqUmG}sc)UPLUAauZ0zu35^wL64e zikPVpuP{3Y@l)FhorRu)n~YyQJ|rY|7X-xzh#bEoK0uB695Tb4kJ@(5&#JRnmcE6B z*fP=6Bc_eG7>pHONeMWxKhV_E{zyn}kee76z&^`Ka4mfCTQZC;LPIpNRbi_H6EQ_5 zJ5Fs2YKHW!;+1i9T#ke5sfp8-v2|>u*LOuQugYj3GK0=a{>jP=W@auI>n5)K{aK?O zi2nZF@$H~y*?;Htw%5n9;x1P#{qbUb{e=g#<>mW=hY&WezX`7+y!X3AGL-EU6NPQ+ z25FDW^muw}Z{R_ELmjVacSf-C6x7n)t|#`_CoKKWBkU-dOh2dW=u^*K`h5l4ugQ}3 zuDL^AcVo9nf8ciM4=LZyH>z+G+QE45fZx@{8x6IkMHLl$n@Y+{m9FBt!=-s(zZ^o? z_9;?tP&@uG=TXJo-QmP*cQ3Zl$afRum7OggKzi|p9N)d!i5z`@z&da(^!HB3=`(lNy8_6~X$|{c702k>8Mov+GA*w3cAIe93WRHaYnRuSn~M!W+!GY_^=}=IFv-rRug9UM;_Wel zup@HBkAKfuxYsP)sA})&tK9E1@#7Wi`AU4LUJg@C`*4g~6~c)F^08F5L$&`(KCa^a zpr4Gz2kh0lauR+l7w;o>9u`;BLy~+BaA1H_HL&=ogdtwPQ&3Y>FQ%hv{1GuC z(D9S;6(X^GW^%?V9}be~>fDd3Dmp(bfnv3d^_?j$y&l)=f#ZUU?~Bzd+pqKf_Bacma{Bd=B%Ht40>QiAHv(hIbWcU@8o}SPS1qgp^{`$O@ z3T$%PRkU4qYaZMdu^YL)M-96?cDfy&2%p&wJJNMZE#B|F_F%UEx*vaT=d3@u_4I*j z-+lRk@S2YXe(;g^1wXW^|2x+mJ9+-_?LYX&SpQ2e{_))vpPu!FBcFW3wK*sM<2|>1 zaUhufncIHq3_noz&}aYadqvMb@z~jKFZj`g?;hCs+}X=te#_V2ynC=?-pKI>gO9x0 z^6N`q3B9AbaoYTsI%Wm}o38)m&*rAS>x|R6;r{gYiVH=}-{^j5|DS*D!ZjPWpH12E z>$l8(@xkY2fAp8fpIg&0_^GYm{L1IQ<9qegGym3cZdGsN%cWnP`_1!vH+}7|p7Fl4 zw9xatoqapMb>?RO=og>6eB#c#PCvW*Pu};ruU`MrFP!|)$>~|C{WF?A^-|hDEcwBl zPrmI)*UB3z+8X}qHvivl%4z-dK+*Y!XFl|uX{VeQ2Unzjzv{XE$>X!$pD}pdzkYu11CQryI*|Iu9l`fZYYhDR<(&^ch|jDp zI_C|&rOMOt)Bk+m%#KGtI&I>pkl4%PhNGdKS58b>?sD-~ZR6C!4?Blao^Ne{Q>GbyLOckALOJ-0yCDXhzM)i>5D2PoMMr zTb;D8WIorn=h?S(IkV2Te)hu`{Qq*@tKYkS@GCDZUpzQEeB$`GzI@B3XZOBw?upzD zjbHf8Uw3?N#Ch|{|NQi`U;IGY_dk8j2VVSSWc~JU{P1rMzWBkLmVPJY%{QO#xp7Y5 z-=5eId}{Lp2j(8X_kl;|eD%5uAAEh*dzXE}Gy9Vty7sY>_g+8#;zv^7*7nnBxBuo# zGu!Xm)AEad+8^qBXl=)>|1tBy&W9JC{mt0jUw`|(k9<4*g?qlczw71CzWVAb&p%oG z{AX_Y#*@R%7eDgNZ@%HZU;DGuUpiM%`_q@Jf3pAcU)#Uu)L(pe=5t$ito>Bkx9^^N zu43Wrzxnw+*Q{-RB&F!@UTJ=L*US5lzw%^f-r?ulw;g@f|4$3v+2MC@2jKFz4Jpa zW#9IR@9i7@Qt(fg{xopu>5n|nJNvx{rhjbatf#lEz3xYE*?(>Kygi;7Z@TA;@0C^`Z~ULnymJ1?oiBf9&e<2g{?@JEKl_s_&wjV9^UdGB z+xcSicXAk}O#kt?Ae{)LJm!7?5y?^Qczx>6`&7+mu+snUmuygZ04}9$t=kNXI zORaNW_Kj~ix32CJr#|-gANu_F9{=j6w*TPu=e&!4`h_pN<4d3WNAG)|t^13QoLDkr z?da#;JM&xrynN61>c6=ErMq$;X?^zOJ^s_v7q;E^)ZJYx);&Mx#GgHx_IC?ko^kKH zUYVX_-)HsxvHwG{ew5>d69_x9yAaP;8aMRec-$z$Sq83g%L);)`#I*~Gds^*X@qkN zGfus~;K{^qc2|@2b9Q-bxpul9_f#CCG)cT~96{JoVLd*gf6BnoE*FyEmV7kEkGKki z9rz@ZU&O*aodkE=w)6MA#1$rEzDee&n<9&^| za9ejYDAI%e!y+QnyQ(9Ie8R^@?5N)nr-Y zPnGh=w}^NTd>R)tS-soo`un^3B)Xbh9=DVSC;4r~>o0+}!uGps?p}rCK~}Gk(Vm9l zx_bZq#P|}7-#V~F(p}gc>cH4Z!EtWZ6wY-jN_wo5W;3Dx`sQxGW8>0 zlT5h-$TNcUK?`H!&l%|#jo-wPhbB`l`I=BGivV#q_2SswB=$&@?5Jg=mB&RG}}&wEe?Fq#VRJ6YL@ zAy3+hWa>dVCYgF<{5i^x^kxfVQ7=k z9Q?Lr6Hi{6w<(|eP0|>~6?`Pk69&IBgTIO8Z_4jC(ub1BCoj$0lu!O9X$<3q#~AcD1im$f923jm#19zhL&k5WlZPg={N!tr zDc3oM@+19>g|YFe{}tnrVf-eJJT#ed$=4)P?jZ8CfsfDPW#VbWJ|le)zirvXlb7ag z$|rx5G=_1`N7FnPkv?K!67ZK}JkpKdnuo@la>>^uQ*IgLHiJ)r#mmIA+=q~9YUTlq@T1fCZ6)I80qOhbC*wEnzt#R{7upr#tpOl;8y^bj70g3 z^noPu$xHJ#<&(cj8pF7AEI-muS{NID#Yj*6c|1Sz&}7ObUz1F^S$~n{X#gLu#mmNr zjr2a_H*w^l$&^dJCYf@}kY^O>$1RMFzi6aiF@6(A9-2(K?H?GI2HF??&X=W~5D~OU7TixBFp(hbf1;oH5dpmD99i4P>Ogn(7%d@|isOn|KEp zOQJbg%SurM}$&`3XS{3eb(G?{YA*CbQ!81h^}`lyAm@#(*e z@o^ z3`v*gxW$WUX1Qzr3hf`5Q5T%Xa*j3q*D;-%@q41O_ZwL9n2e}{=5CgcdYPpAl|IW3 zZvBe3gD&5&i%zryd66{kToRl_VI1{LnkVZw(2Fh!k)_W_uQ7fz3wdZV%PaWm1o%od z5i^23L*R4B;$^@E{-lw9#`sMfd1x}_lCMdWdr?L7{dJlrwCyw<$HXllUk zKDaTs;pnb9of`AW+wHzec_xhl=XPH`ZsBwu@*FYJCey|FM6CZL50<9}E;Whn)WtE< z3h=wx2qRgL$=9^E&9@EmHJP}67oNnieuj)RTeiuMc$UWpXY(`L*8p{xHp_F);EODA z#ByfYA z|AEh_#fxd0h)$C6GI-FOu6K1k|}o_dBWgRX7Mudw0FOeK7`+AjIhR%m*#EC zCx4TiVRt>pEu79no~hTwc-XSFOyV2B+Xrlhf!BPrPke)s-e&wJhCDQx#GAMw;KqPC>4MX|*>7Ai(lb(Kd6LL^AcI9Ft5vQa_3D5BU1vk`eKJ zM*4v9n|a7XlPQ;cO)}+rk!K9)CoPPPzhb1P&WPtn9-2(KIm<&v*SrrZJK8AbYW3uEIi8tGSz-^7uJCQ~l?nqqQOWHNprhM`@Nn;r2JAwK~`k;j|@sxkgNFT>{6_jXe!IyhFU{MO zPyQxp4C988$2S}0hf7AH{6=~hzun}Mm*#ECCx4SPM#Oyv{6_jXe!I!{ zfS2ZN$|rx5G=_0C$m5%X^1~$~QGO#mjNfkZ$xHJ#<&(cj8pF6DmjC~?a?ea_iu zsMF+cXekqxkJ=yP*I94pU$$b~p?}#AB`%}1y4v4I`&mYlsQp2Hp7nPAWh=HF`j`Ds z;xbChY@+?-Z)hnKmXF#W#gS;mCNG4ZTo||Di=%C{)s{RS#Le3sBH4u_I9TS zbyY6*t@SE(HjtlhDWkktqV@;*m0(?EWFwYvd&+Jopd9uiIOHdkFpgTK z&!v(*ZbwU*xV*~hUfyEL#FE!KbB6G~<%S?XF4s!=(mhZ~*iZ5wN_<~%I?ls#?d}Vz zj3jPHrNx%d^?#$e-ax}wiuKX(ACd+0E_kcLz3PKOAqXe%$AZ^?K>&T#Il(6R^Q5#t=M+xU-m}L;tcLN?b;1Y3g)Oq5Umo!tzo3DHF?L*4z1) zt=M+xU-m5=Wh=HF`j`Ds;xbArsQpuEe@mILypAs^ z%EXdkeY7#CGP0?dC1D)$6G|9InL0)CSGAN0%PWqJLH^CGk2V%nPd3}dAwQvnanx_& z{{J-2r7dOR@+zzQw`t16vX=GH&V8kzTwH!M%D0}mNy2_pJfXzTg)#&amTUK1C_WFy z?Yg4%XYhX*k8;tnkb&NL4Jw# z)N`D>WlOf(#Up>Agz*$^=e{xdH@B1t%PXGl84Vk0)(g)g9@%aekNkxa#*@5*_aCso zBJdZMl|Aier8fCnNlVUTyD>M7=if#2O)NE*=PQb#-TtPyLMc(M-E-^3ZG0(i*~|uc z|JhjM=YdJ=hWkV7^T6==o62f?wtKD@J}-;QWhmS3d0CotNxZ$fXEpbY^1|(!`w92I zDU)tfPG#fmnh)|fv0h^duVZqv|M~4H-|e=i;t8crxpv#L8=tKbZ_iYa_n(cme5XGz zsqe$>xi0V##-SKWL0*ORXM5Hh+n0@Jv#;&8K!uQayKN2p_?+hLt9?P^J~?OSzLLFo zyu{@ek5VqSt!<%x&y7+pjxR2^Zj^GdJy-2>TPRa*Q%+^nmx{a|}-O4wg<+^dMCRl@zNi0w_3DYhx6dU5-zL4GR5zVu(TkI9E(XxBc)6-qe1 z;<%R*ORK0{%@QkjasPud`8MU^dOEI^gZwS5Ki58?y0WeQk%Zet{z3`+d)?i<|1wOz zmQh6IGeLefSXUL}u*4z!dB%d6cBC=*MD^>+7@Wh=HF`j`Ds;xbBGd=J+@wqJ3dUkT-oE^I?`&yK&tT_S;=g)dCwTTcupwwlI!W z*ls7XSqSn*iy^it8$0k(p$^|lZD%q{({W-;m_MKE74*^U$A)CP-El(xLJ8wZ|BUCq z*ymfNVUebF*<2OmuVek$Vv)^u{VhMCgmI|v)z5q*GAt6Uhvja@w%d*OpW2j*E2{i- z%EYoDSXWwP!!pC|EW4qEey88d?|;bWn{Hu|rgimGF~~2o{%rjuo9+5ZenJW3$Wq7c zPWxNRw3eSvnOGJC>q?7kSY}iETgrug%W6OQd}Av%yAz}K2l-{zpRN6}*|zzuMeSHZ zJJcSiv@~`kS=pP@?dGhU;D(m>(UxARj_v(-SkiJ`E~=5!4*&NYmc~^_=!`)923g`Tr7A0^4H$`LFFF{_2rclO)o-yr~B8Ycg*(s5LEejQ1&)dya&XSHO6?rs;o4 z7OG4hs!kEA&1E<+yUPt;nXF9APssIU$EUSjR{Rs&rC|QPVsVU}gJEPMR2)Y@`CbO) z`!p!uAA#!EFPgsRT%W%fs{Bi!+V>$0&&pW9>2G&g_cR5!eQikrP?&?UF^)W%iE zR@5&W16%1`ye+q@w>1IEjwE^8=oFwk=tmSn11;&-G>%_m05l^WCrN7Rz<>eQb9^#j$udzkOZ`wLMQU{hd(T z_5G%Q8mj!aptkchrauO?|LnbcqrcqkU8Fddf@)*Nn1ixeFqWX|R*W^HyThlapw3Pi z({oV!QUMMv>~({QUEPHG_*zeOTxD#&GuM$Flip7Mq;4PQ#^Ct*_RW8`T;AG#9hBS- zqjqznPks7%DE$Vg_TLZHhrcy_>K?uiPlW2Z(@nn~jzhoO^!`2lv4_u|NB#D4*hBu$ zU@zQi`uThL{ClDLYti1$>Fj?rlzs|SzyA;_o?D>ey9Y{s1+}duCHh)Yfhtoox}W%M znKEXeZ03vwIJ7I<>+a@lvy^RfD&_vOeV*FK$9N)?tb~g1n^1i|;RRk#L$&cGP<{S# z)6a&A_gbhH-e&qtKDwzs-wP^#kaL^r&xNwTr`-%b0Qw%{d`nxyc}t!)#_h{lxr?mc zSAu#~)!V1n?ZfeD4~|dsIX+F_Hc;)aOo)zk8v;93tM}vm2m<*jl>dPloo)ul$DVC| zezM_?ajw9(s+3o@Z&qDv`Y`qCN?XKnIMeHfCw97tYsR^;9DBp`R+|-U%3glhvk3Fp zP}*0a%AKN;tS_-{zbQ#l6G4>|@aEf)FHvh@J2DdR+#ytB(KhP4B|ug-&f=3Y>~l`okM zRe!PRL*qN#_$A}AUFK`C{7>(s4c#McP+Bap|5XPz`_Eu+DTOlT?=Egx?XLogr z_r)6gWa30vplwlKywLakcc5gm>B^UU49do}rYrW;!OV|XYKmR)E%#$KOi%Q8GLPtv z<`Ak=r2I<`VgFrB9gXwtypOlJw<$d_rQ1!REmIZ_)cUIv@_o7SS=CJ*%Dj&ytGa!i zu5V4h>s&dpDLtX|MM>9pWp_3ltLxN9!8MHZSkx{pMeJtcL|CP*8mAR}YFs`BC36n* zy7DCtTHpND^w4iveup^bt{mTVy`pr9{EjguU)bzFUG@IuTV*9l(qcVhajb=s4?@{1 zTe|8?4ukS>lIa7px|zTAxoL~WyPjpySd7NY7Gjd^Jmm_9`!?PHC6Adtz`0}BMSX6{ zvVnXu*B>1R+?{s(p~@3O8D|gox!!xmbKLB0%1`u; zb-hcvDxLX`+?Z_hF)WKee++3H2bCrXx6S4F$waCCPo4NQHsWoe)GBGQULiKE&pq0g z-v`RxA*Sb`@?}Tz4AeH-^)9db{z}qc{q)yFH*0mHztsNXG0Yi`q{R49x9zbC*x!I5E{t+~&{!>hpE9H+O=M=Q1eyp6R~M0Ar=! z^{j7Xzc!&dzS5KL&Na?aYc0M!{v<^xA7v;X zRjBytP(G4B_3>q(YUJTKSTen0`88NK|Fd4<=SIt6H)UUE`nREM+yFHnowMBQZ-Q#a z2OwuJx8C$`K*iAiO5g5-pkllbD&|X|DN(0BjBF_&fD;f#I!gu2b|^3guQ z{3-hy#tlo>%7(g?O^^7tdrm`>@pm5APCxYf_;cwy)t|z>vb)EmRX<03>Z{Z}%qgR} zO5-@Q;Fpd0Xyx_3+|Qxp@20D6hPu(5{mSM!dzSii!^oUAwbI*oIh5RZy4QaOCEcsM zzAKdMVftdz-)Xvh=H!!mt^?P%UdcJ9Yq_=fX84WxE?M0N%+_sh^u8W|k~`ky^#`Hk zx28W~`f+de`3XP29NB*9w{Wk8C7JQtI@FuS%FIqTbN#gZ)ZCQx@F3Tq+nI|#JkIsq z)9t!9_qfjGNms|tE@$w47PA%V3C%_9THcQx_K-ZsW0rL)uVXCsq%UCkq|!9!+1~BO z(pO5$vTQWlt$I^EbpT~!+fD7zxs3VJAakj~Mcgx5n9R4{i`hwU%qep|_HI{sr*luT z9PwiH8#~XUmb7H2OWxDVd85a@^<8{VnPp{EmhU(Rdg8clcO2IuNy;U$kzCkUiF~YN zjN-jC45l3wl8`FQ)+?JZvnejev z7E`pf%$#+nk0d>l+oFTGLABiGOleIp3MYy6O%gJr0dyJbNJn* z&+WqgH|OCVH-oX7 z|DNR!lU8MYJIj0dXKvBhThrB)rWmpoLmtXbQL*su$c*!*)(2~os*OAGzRhKh?Q!3? zvT~u1?RQY}1e8rz^z)-V);G3IMSe6tUFPSfTLQaTEB6K{`B+e|Zt*7X^YNzPv&HLv zGkUzKPxyF_gOXQ4#rt~5nZSMgBA*{04~F%ua7|})zo|mrv7e%EkM_rpW}Vp~<=mn! z7p5l;8s`q;oV5qnDarG=7nEh+#7}91bKhZ^uI(hx%-x#OrgXX~q>WuPrYU`B);Kre z3(@lcyL;^CVhm62k#L>2o%<>rFUp@`o_Y!Q5|;W{Lp?Fn%QHfjMLlk)KCL#TI=P}N z*63WjhI9F%gbUBRL%XtXa-VN-eX>5W)>rMVbmzzAy0V(XEyZti&Rx^Ny%}G3XnwDo zx_pwGx@f>nT|cQ7KM7FzjLO?w(#BFc;(CA2C;dGRKP=(+4b!YnE}CE1eZbl#j9yJ! zU+UW$>NaOehwT|j)AQhkJP+>Y-V(?9evbA1tH=9!0>{Dh5BMJrEUV0Js9PK(bFuQZ z+?!_ktd$M*);Wpx!JNkMDEAs%XZOhdR{EhIj}Jh}zCW_@8Qt@;>w_7+ZpIq+U&h%C z=0-CZgEJORtt1B9%$EkoxWQ$~bYe6n8}}?n$|y}^mq9j?HZkEQEZ<;?X=XuDFd8WYfux^i5kf0MNB zW=Hv<9@g>OoBM^_kM;Gjc_Z^UmP3ysgj6js9)b!#Z(WNk zM`hQKsSHo+;#|*pJ0OWx|-0W9g`tPk!P8|n9xwpeNOf$~GWsg9e- z2H-eHJ%@dVeTRKNXK1z`_m?pr?Xzr0-Mg>e?%ZEkZZ@Bx9&J1Nf^FybMamcMaPD&~ zJ5*j{e>44sZ>8PI(s{g(y;TqEX}>G5-$_bP$Nh@-!>L^yt9X}swZ99d^Zb@zAGG+h zG0Q*k`{2`1a^#)t2k;`ObI7fx?|_ndRiD2vlpG8d%Th~!g{7Zj=`H=1R@s{3+@;&? z!oAYj9J|A36UniS<3-^vZ~I$N@+;G2gOBjodoDdA@3|}v>L%~@bq|D+V@ywsc6^!B z=jL#noU^i*`zJka&LZZU3un}(SEp8nhMC`P8(-&4Rf(T-#@GDO{&P>iEBws4r&+E! z!Ed`zAL4#olKEixoK*E!5m$6h-rn!JA5Oa3eVmiG@Ci+`5$bAlN^K7A#pHta79a5K zy&OuuZ@Tt@D(l->!t+p=HnNT4b6L#bxGev9{7Z@>eb0M2_Kwk(-o|!|$5!z{AJg?v z@=K_(wZrtpFMa+_=g`=Hd^~;*U9puFQ}oVIAM=6cd+=_2BevYHywBTfiir8fue~lC zRoMuh9V`gzS-G>JcqJA3 zMpA<+<9=>^Y0MaN#-gzd2WBw8;T+nxy1UwCPb_q-F8vquX~AN=-eRso#rFrOK79tN zPbdD?>w81>;fqW!n|_1ogIj%{9u8IiOxOj_H~pJ%9Qq@sPyC(FABHM_095`_rk@EF z&wHWd!_fCA=LY&Fl3(~fO+nenK*gMcLwk>%@`|QI|`hzgahicnXP%`!pjkXntT~dN7Q-P{e zGr9+D{1`LFoUv#u!+{k4muvX|&y13;e|_T}9F3v+f58}P?YE4z<9sMt57mb6K(*mU zsD8N5^glz5sqR1e{C7j?7nuGa9E<*#>3xs+F*6s+-bJQwH2rC)F*N^AKL146js6zX zKL&fyZ!`S~%kQfD@-w0G_cr}iQ1QPGO5O%X#vuJ0$uDgTLdBFZ=8Oen*;s`G^ZR(; zZ4&Rh4e-8O(k0jT)q87xAJ?{--q$!6wf6Dz)k_xZ?=AK}L$zh-ao^TGp|-_h(_aPE z_ir%$cy<)&CquRCTTt8L9@9IY@Y`Y#D*we$egCxSbGQ5aeWCJS0+qkq^!Gu<^I<5t z2*!Que&zc%Wy~0JP%#&vVk<$#R585{2lkra_F8#>+kN>Wo=xxXCMWiGjo$V5cQXG4 z{ad$q$Nz-@kX@kKlQ#VfsCIt{D&}uN#ryzN%qKnR`)3VQzpR7G{~A>JckSR0av4;= zv_3B?(gvkxm`}K;e3>~=GR#tZn(GIabth`BNhHh1HmA+$VjjzL4$}3EJS?A>IL1v} z)|at$5NwOXmU*QvBWo`W6I;SO2U8NIVTk`~MpN9?qw>0R|UX=+c3mj#bt z3FoIxX_P6EzqzGMQ=05_V27p2PLLMGra08bn7U^Yx2>h^+6L*G&eb~KOyztt7@cpl zALj=e`*Eo&5pAzY<1|W~J07ZTL|Fk14HJ)z;Sc*Mc?{tj;A+^5xh@xW7c}+*{$ju-JA?`KZgsY`yEp z^LO_7-qrqHzg+02-^W&hlG{y>?ToO^y*BwsKIF%48V<}G@8+$X%^YMFbC8*A!>P`n z<@`2W7x<00U2VYo|H35i|7s|^cbT5#dAxG6Q0WrI8B=*xe8;-_zaHqC59t3IEr40l zmqMkvuW%0uB>&w%=U0+M+f6oCp3)O-OKn$;0i~@a&A%_i{U+=P`-B`^!l5i+Nd^2PJycV7O0rNVET70e>Uw>+{Z(S>gHK* z{teCek>Aj431TjquP348g;Tum_`b4i6=f@U*5M{M+FZBt$*I2n45&JZ>&Ln4*L1s; zjK^{3>CJE-SH)ti!KlW=Hs^)viwsm>7l| zZ97zd?tsz0%!iHSdoxsj-eLNEP;HVFh)Ys}vQdGuQG>GKenZ?m@90|=9nqq9-Ln5g z%ysiMX~@SiZHA9+7brgmLix=>$(x{$jB^#fCD}GHtZfrR!Rl@_Ti=1QdaLOjGaI(^ zX1fUGuOc7Z+nBX-2HR)Ijc5Pw%=>-6)W%o5jZ$XwI4HRoYVC5qfmarakmw_ zxmiB_olsIRy>$+iK1!@_W(nsNO=*-# z%}Ka@S>Ahe<5^{x=HHFtUMKe!^&a!|h4xNl%ez7aVyX^%-%mivGp2{fm5r1eijMdG zU90%rZlz09Q~f9wUf=vqqkClMcN%N9T`BHTyLkUgq2%3AYacQFV#}8u$pk20^Gpxp ztSZiy{XuDxFvfX2Z^=Zd{!g||jA_!eO;n<6F;p$4ze3sT+ttT>vcS_HWR{tW?{k%%g3>){EQ#>E4T7J(w?{6|xeeb7vn=GZg@=6v<1?H`M(dvH< z%F?$@zuEGm^UbM^_Y8~J8q)h5%tt5R-CvL2MAV#Ac@o))xBYuhYwp+NZ}~kM`HDwn zB{kxS^~`+Vj+gA_+x1?kwF^uix4X}m9myOhUoSU3-1csdc#KYD%yS-H@8={+mxOW7 zdqZ=a1?(-ROdOZW=SYk7^a3BpYAD$bwKlMa*XKZ$l^w|iP;p*jx*d;3u6yF=U~bQZ zo5Zq#c!pIm(Az$v;`(7|!C0QTT)?}b>s&v_K;7F_$^AYONJ99j@nz)eb#$ZmW{;gVn)}g}Q|yFtn4 zpw{j({TG(+``VskulK)8Om6ktG7VdOEp6kgxS#iRC6sK1THAl2*AItkL+f@`TGo8! zp-LB_#!p$k^^D-|G10j_-^Op;)|hqklic6?oddOYJe19|pym!AH~rr%{~J)U2`VN@ z&Ej&u^Kqr%z_d=@+fFK`yp97Ko+YNL+1g>YyB7Ia4uFc~Gp28Zl5a!#jjs)~p2epm zFW>5mD}7&7+W1W#;Op-LB_~3yy~p$qT7J82P&7Z~7C&3t_{kpV{hR?M7elRm#q=vI ze~5b{{VTa=%6snpSB}qXpNo%&Rr!goqnm$op5*yoye%_}y`L{b$*)XLoZRhBUen`V zxqO_P$@A~%T@2k%ud!qA*z1PC`LdknQ%Mow!$Hq`kEbMd( z*YI6F+Xgj@$35D-4Yst2rS>A<&iEsy`(}JBOQ0kR)kYuF#OT}>9ZPwCAWzScp^Su1xIlw2IttF+Wx z8`P^>xu>k&&=C!PwYZ)gk4iy*X06=YpyUTZJ@*I3L-g!;JkO4s=Xy5>HfmONldj(S81&TzB-WzI)!zsNbZ4qkQmSHp}{eUsZfs+>X7!#iJA1s`+dU9UUJT=S>t;7u z_x+!S?fSdg#!ozMa%S%;v%l9e@9#LM{<_rkjZpGEOW*4i78jJf0jm5vE&W_e|0s;( z%@Us^uQ-GL-O(n#vem1aoqs@inzY>eOTpM~N%m%SaStuY{e}LSX{N1xRwU>CFt{ZMOnByagFl*rF>vJ`6?gF*-$d})s5Iv z&thXkU?XjHE`^dqS9lv1cl69GgDpu;HiQ1J1a{(fR;>PmP||avZ|77f8HQ?O>ve1) zwr%Ivt%2=|)p^it{N8lgDYeu~?$+3L$$#;_UI-;enI5*SVr{F%cI;fWIIt79&7I`! z&4!YfLan{Z^w(K_Y`@(#mD}d~G6hvP12z70FuL~P_b=UdH{Ko1wTUB+CuZt3KCWY+ zWChgPze3r*3hG$)chmb$_W3iQWIj}14h{2eG0*g)=Sa*gqx&Hg8RmJ#r0omb#E19b ze#&0_?q@%jrOufw^VTxIjeak>XQW?3+M!CruJS`YtRsI#>x;759zFkT+`CxUrrp(3 ze4N{$WX@}SI}U}CqoM3ds%E&@2*U9Lj<7M82d zqJQl@cIgkYTob3Q>5TM8NUJIhd&&>>raI(@b`^V8vFo_V?=i8L9`Q1Dt z+?RW?d_QK^qA9L_n|}hT-##uN*0)nO-j=Nl?~|%*YktMr({;Mv=F_0|q4iKPTnZ&$ zgNprHsJp6jR{4I|A4-3P>905aC#I`S616{V?ao5~JC5<(PwI8yHzTrbVobi#`}!P| zTxI%Cp;8_*T|V-%-E@uB_&(v=vPPQOA)o)L5&UE^5rzp(08C#p^NC=z6y<3wvSS^q58T38YIVvXs&LVHHZR!vUCl zvdc|^X&9H!pvRIWeJP6SOlBEmQQH=u!+!VzJ&SxL&l#5UEHdl#EK>X7biU#Cb{Z9r ziT`Ov`}s2e9VNw5xBh=^-fy3GLiP0pP<@;{)9=sop!VPUp!)Pt*attcn%^qH??Cn8 z%`gccF#QqBANTe|)TeWy`t;RMeU^i&|7NH@UIVr5-Vfz}J=7TZJk*%@uI2yO^6#Wj_zYm{&cInBBX)&lHrr z0BY@ID4QRGiuv=Vf6MZ(g_4`0Vj9|W9N*CxKZf^s#!RQ9#xs^R7fP|Am0z-$D;9Ij zVs=k8$6S0KG3URjXfQrc>hP9QgX|Ab^?4)UfBpbKI=EIxXw8g#0`<@LY$3d;V*7P$hzxBC)p68G9Rpyyh z>TGZ0R4BRB^kLTX=Oo;EmdzP^roV{iwqY7&YUqjgHJ1s~n%>i1-Ta<*#o{PhoAa=O zEyeZ-lnfPooA!kg-xhza(z717r*DgU+V@)x8|m}Bjn_fddKZl9M(51vet7hpC~b8s z@@sV-wX%OTeVB@=_b1!|EWdca&HLzwFwKAai{Iw){m|C$KUl|tHc|Tu=isZXca-F3QvtDDp&JvATbgloLWy1#=+is^c z;tcDx?k{PJrAiFh5BV6r2qhCg?DcRv$16L)&_jS{zyAHN0ivR3a*DDm&lAMEt*jK*Br z%9bdrKB+*Jtifn3{exqu*|^SZR84;zs%+nW{@xw)}4Z4&}KI{+N%+U(Woc zu&Xi|C>uE_e+8Je`X7aoFPeTmRLX-eifx1U*8>~=4#wZ&5r4(Feb|*$%wG*=tlp=g zbVk_4I|>W=UWM-Gtl_um7bo0>EE_(;{!hJ7AF0#Hc3i?f9lQ%< z^ZCYaDdIA%4C{pJ7RQL4_WH;-DvF;=xX-af3$VA&e`UEUStH>L4g{;;0+6@9-U7q?H_*#A1nxfFX%LcXB3RSt%8 zf$|HU`+6z)c|UIUhmymf){cg<`Esc7d=ixJIF6lY+sls!z8&_3gqy{(@dDqcp+0IK z+P0IQqS`WBze{L-Cvr>Brt%kkOh1JZ)vHiXQiD-y!uL%IsvQ~V&vlLWG9CBgtUV*| zn~kRDzT|y=0!qFNwe~Hj{<<2<&kaz=tUI9OE~xkoSmb*oTy>#*JXqYM6t zIP2zbuP=N5he53!4WsP}qwNY6=V?&#MyMF$eKy{n71HluS$m=1o}u2fJ=^bp(es$p z7~k$J^mE0=cbT(o+LrpNkL7SEc^%Z+8Ky^lX*Nr;Id!a?aB9ob6D0CefvXQanvl1iZR*Yw`m%-zSm!B6I1JWtKmy_r+m%Fwh2mZ zf=a(1YCBH4%x}ltq4b4NqB7YwzHbhEXDO?4tAaWO>PSjZmMTzoYqF(#nl?|Z2XFJ&K)1uY=j@h~a%IaOFKV|u{ zoi*Ees4~S7AB}epGHrZ}wC8dk=MpG69ct}rsCGSLdiOVc{t76+lCt@(nQu4N$B=@p z@3^gcmKfq#3TFEf^HqcLn)#-Wb;^)Pi`cR_=%^y`9UT zuSFlLUUdJY@y(O&{Tk!AYGsGN?d$FX1e(h)Q|h9X7$Ej9&I)UT=jAanLB z$5rC*;ix?ugZl1!zWl|Ty#L#v{98NIiHY3%=UpM*DUZG>5}lujrG9n7z3Kbh)BY64 zXU$3Zy^QqtvZRzoUo`9Nu`TuFCpnH7N9G-k^HVj5G5rG{)2pGxV#~)d%1#D5lKhB0 zeXpotZ%1G+-iDQHyxreI$)s!jHk<<`vRgE}6=Tilx{15xo<(Y5W1Pi4TA9PP?4Dyj zzGObjrr!*8Y`e$wKS1q6J4{#I6uu=Hs7P~AbqY{rOHlh+1#17Q8C{RpQ^pMR`%2?^ z`qJmohSoMcm!A5eZ}SOI@=2(*FF?ip4XC&`LB)OHkNk0A2h)mS$s$J6)odfhz!Z&QkQIVP`fe63vjX#T%pJ3QBRs#rffZvC>)^}e58 z2qi0^`r;i>{dunGU$Ok(L-oy*P~)!S2CvVB>Z8-4dgUFa-v_n*eq;IroBj5C1giYw zQ1<=?C9{6)?^E0ZC1Y+(ME4^mLP;9x+1sI}pAGfw>}u1seT($FBn?$73)QB)=@nxQ z`t395x6hT$+h@anVEee6{5X37l)MOP?PRDJUU#$a*ZZLKhoJK3+~WITPbhr}RR5k} z`iW4Y_PIXaz7$ky2Fg|rYMT{cyK9}wKhd5d{^aX6^ZTI1@mnZgk3;$TE7bNId#jJH z2dbW=OdOIbRBj!rZgPT;GYv=kR^`@zBG!!gJl|sY6qLUbl)uZMV!a9~)*nL2_0UJk zF@abl1sK(Zs#}37Q-iAOCfe~8YW!xPYUW_OK2Prd+~XnTy$#YEf6x4mm4AlOgg99^o#(HJr zYi>HG=7Kome*5oc-r9c+RP0-zw#)BK@4v%uuM|{%7OD?Uhw6hfq59xGP<`+LsO@qg zRJl(;)%yz6x$k<@?}a+{)u8(1_fUPb9jed%4plGt6aBTh4*e#n7;EasXpZB5H={mW z_bh#w!Irl3DQ5FBDC^%f{RybH|HJgC?^pZpt+)a2I}KGQ3sp7`eGH9nWt5*KhIo6H z?)0(z5K10{TANt)G3*VspS;)fe}&3l4<%|x(PFA72F6qL9k})W3n=P~Ep6hcP+xT) zHamOYdtS{4C*CvV`AD2-CvnVL7(tP<&mz+W&r4 zX%bmfpNU8CJTe1gDKSRE=fz4dkf!mh^$PyA{sfdH?)CjU6)IizCEtMZahvIiKer{} z&b`0+8EBZ67}U3@C%8erLp;cLhzD2mzpVy3<8SUp*Y@jZgZ$LYuA4H>l^*cEpMjD| zKld^14<&~~)rs%fYCT20@SK=Aps`O^9`xmZ4JFT*Zu>$yk?C}qMeL&s$29$JyWM?W z`O3&wv@IInidhx<`?dG?l83y%`=IKL`0Hd$FQKnv#`loheKRc1Yb_h=t&Gb*2mO6+ zp7Z@a;mRzzujrluTbO5C&1szXgEAvA=Qy9Q>2@da9?Hn~)K~I%SUJoVjH+^IqTmKKu=6^KuJ+w}qz3SbxB4y>LiXTZG%0hCg zXBzt7&Kp{!KCK1)5yw@t`V;@`?d=M+_EytZLvNjJCA-mn)VL4ZeMn>b7Om{nR`(9m z<35(1vc*`1@>3rr)^uPujx}cfFFvNvL&^7`)^3C9v->UmK^VuLnnvuSe9M1D;LAPf z>lC5n%ch&}rvGVBCGYnvTfgS---WvTX5=%N_ZI>`*&W``nNac}(^W60dM*9DF{oRz zvbR{>2Tk|$zQ+IOs!~Vxvgb1{p7Q>Nq2w*5NAI{T;=N^#0pa;8b13Jpk^79yC71bc zoG4u)E9z^p`|B;|Fyi0o97dXAp}4C*?PHnwS0BsXP-};oek@ek5_KfsfbzB3^f1o6 z;%xa2kJ2PzjF-2>SjSdtj8)R&7;_fG`z@x=K-v4c>Cae9gVTMC!{k-T`wh$9m)kvF zj?H13@9XGzn^XDp-xBUXmTUIY{}R6)oY{pjx#*(8wt^JZBO=UAM?w<;AYW+_zl4pGXOoOt2km(0Q z$x^8DvM)IUDu(k-58F^x8#pH5S=RqV;Uk8d12{U_<{;X8uavN9X%ZgLgOn2aT@# zlrK?)>Ra*9Z#8eXJZ)J)y5azlWJrtkY{JKQxK66b+o0?eOn(fjtn5h6fhu{0>8;1c zy7ghj@@ufr;cZ+FB^4+iKe6=IcBc-f-6{Gn{$^Fp|MyI_S$UGM&FOM;o6|FPZnGmT zZgb82ZsvD@lBb~T_452kQh+KeJCehpa?Uh;K-*-|r^P4?}NHVyvX!hEdLfh_!vB6uj348+ zUg75hp+251|D8*W#ABXnqadVe%qTKh~#Ke_u zTt!bKIrgyK>PL3F^XQ~_E|g+^p?YUQ$)%ILZuukM0rUS)m;a;7v&kv!&;0-6O8jiH z%>NmBm}TRYKJHL|w*Px9zcu0&9p6lBH|LywRAYWP67#M;#*?AsL#BTWYP|f`^pR^9 z#>dEahj^z?{!;i8Gx8hEu`+G^)#rJCGv|AM2SL^S7bt)4G<~Gc;%hX%ak2~V0!-ll z8BFl+v}RQ=hfhhtSW*npHB9sOl~%QhCGLad?mmX|pyZQKv0P{RjZitu7Wn+rp?pg! z=C=k_$IZ5V6l(voH_-nAM;T6vI+ko&xt}|l zb80{RYVUDLk43R+S^K>nmx&W$a;~5AmG|>8{2WT2GF|zS*--TlG`)3Bkj9tVkg@z6 z%r5jc-wajvOelG;rH}T1ms;Pc%bV?j*)BoZtC%j9_V>OjP2f6e^4l=q*45>dV+G2MaQ?*b@U0u|TEP-VVz zkWar3s^4}xe%f(6zIT;8WQBYxj&m2V zg!iPvv=JNeIb;-Dq1~o+s~3$6`eyJbie<<5ZQCwtP2+qKl-X&lOy>7;OW40Ba=-pm z7{(pz=aJsSeg0UFsdSZ(`GpoePXC|gsV(hT^1non8f9$zN7}F zyJ4FnLFp;j?%PZY0{f%QPfF(J8S~qJia##y1tt4J`FIOd$~vgy<(Ez0X!$yR<#J=( znJm%qOZ)g5|9@=5bbl|f@jheb*lt%o)%&;~N_Ks{*Q1zuMjY)AyV&*guGVH_1`f>V z)Ga?O;xcuT6>eHdE0M*lJiXeG}QUvdeiTQD)B4R)dxBAS&+|3%ulxYXSh4s z_)EXR`#BLx&Nuy&P<}pddh~z4R}OL zy1pehDY3hY|9hS4FQvZ9mKht88dM#(i=88(wqpiH^J`H1d7bG>t5H6Zd2H)w?%22&UBPzi_{tH3 z>YZ<~Tnc6LD$_SvzRILzGkC7FHLzE;a&@aW{!QL)v=5y6zvNtS-p+A^?b&pK%{^(S zBqzSzw__HR901in$C;kB{C2;st(m<7c5+tk2UhQ1)BQZh<`?n#w~O(`HFo@eGW`G6 z<~ow+hQ)KnxX-X`(Qn!{dbz*YoW?i}%8Z=DNBiHT@j1TbUQ5&bD?G2O5A}bs*G%Lc z?+N^GY~IsfJ*76eI#Bt)CS858c>@0jx{v<@J)UDQ`s%6G=sM#6hV*D#1<#FJbnUAp z?W?o-)+zs6yl=h#c2q~sf9tAyS>rfRzR-{F;i4bkk3!XtzRSKWdVfAWEc@FzFY^DH z$MRddEX+|-DS(Cy7RVk zU+H1K1Iu?GN|b$wW!{ZG{$`ycI%OSY9c3ML!+gbAQ=Bcw+!aA=^^%We%IAG-E56`$ z)lJT)Zi@TZjsLq?(XZ6hzCvK5V0Cu?qPJ1}inpQuuCV?ymhhUtDUC9zuX6pz5`V)% z>xZ+v<|f)amh&99OcIspU)9&cul@OEXbz{56+c#$Z zdcU17f|A2;usJGJ%1NfLgcA95yZP~%h8mw)82Mb?@R@lQp9O5m&X>*RU(H9~X76)4 zl-*${QQ5rtEXo&sr){8P!@4$p(m(e0kAsrapzNJx`q`E*yJgvHIcAjuo4Fgktq(xS zznLD!ko*@vZ>n01by%@-zqEQ!Ld7utCU2)7%743g(_*ui+@0f&m3xoXyU6rtyew}# z8?x;g?dNIg$#xbF?Agn6)9G&Z-9zOr+t75j6RK2giK-oR=F7Imx zl|Mk7 z+uGAlY4l6x9v{PHP;%YR8tt#jMszQ3BKP7ZxSrKxD|~}6I%j198+EI5(!JisiT5>Z z)So4eRe_DN)tRxy+t}~^hK=Nc(c>rvHgZVkiRK;H z*eQ);Oi*T2I}c)qr5@U8O2bZ|k80GGR6D=I4oe}l)0BptKyMz? zU2Vp>rHzbf#`{j=JV@K=er+_T%tigqeedGKW87sd^QwNn7wS#dWjoDHd2YRs|CQO^ zep9-(dp;VU@wd1ezgt|FYwTyG-}*6fE0mnK)y7PhT?@txecH8KJZ~%hZj8H>Wrx}` zmbo1Fv7~>K#oguG*s6zh6l+nja!%%05S?GjL5%MAKBnEEWRdCdHD(+~p7gU>3i4@j zNdFkiI*X%K59=t7itUdzsPl%~!!r$aZzcY`X*uqm9+!y|VTJx!DsJ=so`#Y;NLTsCp=6jP{lpk|EK3<1 z!*jaboO`<5RK8;{fqM*Nc}^APC%QXa_oXZW8A?k+vT5lLOmJ-d$DbI>vOxU8Q|QObaR&XN52i4u)e1@t~%C#U*Xio zwyt1H^83Nl=o4 z-a5xivtN|`-Fw{bysI-gG0%;>iAcZ6PxtE^@y34gX6H+0_q$Nr>Mql7eA@f@4V2ve zSFisbN^X0`>%W7NO@H^gKQ}S|V$M0pHL~VlinpQ|`~5aiOz9w|@;`jtpF_!?Ojo^{ z>J94uVmIF7UJ=wyGSXFUNi^b}d!^}0b9+;FMvogfbwa)I)ldI_a1}!#u#>lXpEo<_ zj`4P7CxsnJ#;A2gnhSKLNp!4A?&Hfx3H({{CH+dzxdP?aMr)0A2Zxz^mq3W~rOWZ#h zi)Rg#+yLd{w@^OPJ-(e^gVL{p%D)~;?tzL?k|G{S2C7U>@$J*gcAM@}+jhRb+VL#$ zC40Tyqo8C1l+8DCFGF$6?(_NrC^-`PDCk@Hjo*v0|NEKZcQkRE3s&~?R`>CsZfT@$ zzw5oyzfZq3sGFML{TvP@pE6y(t0Q$A|8F!O)U8$uNLR{timcc1AGo8Hg7LNU#OlEa|3_v5BNZTVdTK7W6x^5MBpdby=d zi-TBFlYE_hpk#&Vs#k5Pmka9Ut=!pG?~0&aGVS}KPW_?%#<{sGU&K41hx7ZSgI)X` z_;uLg^ARKCFYc3y*?HS!-`1O;Bsu8yYoSE(W$@$A2aW54au8#Bif`L1pyX?&w>vL| z_h-A`(0qSZdMxUHEk``qJnzX7i|)_Xrh1>_r+J@4P_Zgsat2iWkC;BpdTDwG|Kq%) zX)Y6{g|Vd-8_&Q8mQBd_<;F*I2c^Xl$M zmmR-P9Bn7AbNn?*uS?JBaPMMS{7cQDI0~(4P2+eOlxdxV<@nv&IV@|V`Wznv-Nr<8 zf2vA6>c_e<$+#Liq{r>C=)mqNi5GM?p4RyHjTfKZ?M{91&f_Z{XW2QvA8vt?Uz-+V{+K61nn`>fCRb&lE1 z`#ceP3*1AIjh4AhIjEc3-IrYkC2ur6?jwz(^nwnzoF$wShiP$H`OLTRmt56|)$Qs1 z?GGhKnjYIo9Ngg!UexJ-UuxIcvRl-4*{$*aZ}skX9=oSvW27JU^0r^Qx3_&iRNd&B zWS5b0e!F8&QdYdWhr8O}!=0XQ#2VXmFYtDzLdhOb`@>15%SURVx96bpBw`j_wenDN zkD{?`tQzZ3<&ygoPxLOv9!>9JWZJ|P$M?^&VD0&w#ebEx=MPXZJPj4=+IG2x z2~cyzlT3dbRI3Z77omA!^%^;??uO0veh+8?|Zv+7yeolN`f z@^&aW7pl*zrf-EDIozL3pShneFTX|eS2k8#{1%_ZZ^rC=5lXIr(KcV`_32Q4=bL^k zlut?B{3W?}EJ;KE?$M-?caJtbi|@SIdeCe?3g!E-{k`v3Lis+`^mR~vN3P3t4`>m; z?P_xmOXaePr_Fn6?##x1Q9H=n99Zmq9snaBvCS4n$MoggccpfMT z4eEY??j1bb>H2vOq1Wym6b|cf_p)4Lu{P_Be#$$_JIXumHH7*8Hz)kogf>s1pQkLe z-<;4lg-nOLk!99zd>@2*bdO>Q_b7N?IXt<`P3BzKyOO@+8AR&E9q!dE%T-U?_MXm2 z|0roWv!(ooPK=b%`P5;%nn5KgxJo5R9kvOZ@nG9h7{) zbd9OvDD|^J{i>Bu9O3KFhtYTp@6QJ7t>?qF*iw1NeWLV{zV3-oa<=JF`_|CED}#N< z?)j>2S#fH-tlaq-&dqOc>^t?Ny#4t{d;4#Osz0(nM$cXw^CIO{u`Q_^lLz=~(li{H z)8(clCcBCG3Aw(kpSRTmf1{ln3QN7;&qK*ippGN+Uh4IqK^;eqJjNfx&xg`K2PNMz zy<+-q$9j8;H><{Z=4H2NTGW#0`YSD5a{kiVZVJ9+F#icqnY+t|$nc3az;InKwl z3Q9f#W#_vSugYY0Z_4j*Yxb_O6O{~?dk73EneT*xiV*9q~S1$AU@{yNq?GOI>Yqhu1`0mlB zz;?~*?E4CD^Bk!9lA>%p$Ftm8U@NoS*Zn$_JZ-vcm95Ry5u5yvDE=qgY<>@#wD*^~ zD*pdZcfX@CR^u^Md8N0VdX=}mA5_~5reE{wM%;CL$Y=5(;@3BHxn~spf5vT%JA~2w z$u@rKX6IEayq_;Y**fw>@9%q1x+IN#F)KeQ{qA;}i~l!a{C?SrHa>Fy;%$5zO2(b! z^=qKoboy%=KJv27Z)j$)9s5={kC8%P+nwy|91SHGn;wr(#aWfDHoxDl26n2acsox) z$zHE*#8RieB)J$nE$>O}2yDdLENgYwK*@Pf?b~4KR*rW&nTv2AS@tQ0yQ758Qlx*`&dvlGf?y392}U&Zw2{&O5?7)zi*U!=gwm+j{85#OwRY!S}6G< zRQy*%9W!n>z3X-Un0^dYJ61s5H~kFM{Qe54c5H@f$4{Z=?~hx4>QrBE36!Vfq5PZy z<)>i!MNsk;sD70c=@UuWST)wwS2M@DN!Q0`GT%>2pGQAcWBcaskk|WoUJ51ehKlPe zrau9d)3ws)4?@KjzDJYCZ|XFkejHT$a!}&G>p6jax=;5@Mt)nSeR>1+qINP?D^~xH zR_~i{@b>-&C5N4E_Mv2z>F+ZA6Q+-RJ8pI37+NtuW&9*pc{@cYxyG5hRwu?Q- z&5dlA=I6Ldk41558Qj*~jym?1lAb)n`#T0oo*`Z3=O60*53^n%?-G{JVne#tGjHWS zlyqI|aQ)JhrtiEw%=gak;aI}FVCNT1`~v&yh+uNfc3vqkh>yK0E zXS)uG;@0zM#VxkR)vAYW4%g#$#|-nr^?t66Id4YaOAFWaT^`bTCZ3PpU4O3iB>#Vg zGRcK~yu&++&9F=uL%2R#-O=I+>xS$9tew%yhcSoigQI+3WBJHC__=6&ui?JH2f4Sw zviQ$_9|-k!&l{S?%JbdcVcY*R>*M+60l%|~#$LESip?S3(Vw((Chthj;J0T}x!>u( z{ZV50H??E$Ubi=WF@^no3VjscJK1SJ)p%WR<9{Ix{OZ_NIouyxYEZg3a!>inF?ron z?q1vNmdU>#`y>Bfs9N;LtL~5cNe{-{&27AIm$)egU zW;{mIpY=9RfRX~#dHF)qud@7|&Mm>dwo~5!^l>PR<^Q>L`+Z{6uK%6u8h5pk@z(gw zYc&{e^(*{1n{uTeXD30`lO!{Y)#w?-WRCTdT=(7nzRZrGe#y#TWA&r)yV{QZP+P&U5{wa-0d`kyRcWlH#y zRE#yKvhKw`J!Q;5U4Q3HFBnTuGwO=zHKSYNze|*Yl8iA2_1&C;=_O+Y>RZk=)7?wV zKO9tj({siGoJM-d^op?tXOQlWu=>UfoK14h^n$SjQ>0f+uNmEuUB_ZA=JM0nCaub>-VuqP$e>^9}guLKwYaoVEPkK`_q{3`TJRW zL+LMsl6OOmpl_Of6V!foFVuamXH4(i&V-v+2}tN+OK+bzHE`yFmF=@}?F5o*6&1*gCZP5-Rr{{l`WJ^2G)eh;Yq zbupX{Uu*hXEdO#iM0(Bir=a%Z?rS>SOt>$Uekhc@7tVrZ({F*=zqi0S@E@l4UF*vq z2j`MrF#TefLf;67;hm=c!t!VRu*2;_dKOCF2zP~R;XL?nreALPkHYz+&;5}vzZmK` zaWvc=zSZ>iSpGF|0qJ$qdnz5#@nbsNlk^vvejJos0QZ8|nf?IOapiY#ADFz(>$9Qc z6!-$T-t@0S9dEu5)9}}(KW6#!ukVPyYjz@(tcE&Hp9}T9vW=#H$MW~Np>Zy(a17JA zqz1K5xTC$EGG?I0e$MoQu>>{7E2h_sd`vPL%PAmp`M9+!1T{S?N?=} zb4JbdKUn_WH~Di&4yyiHQ0I=1K%FywVERp#zsJq~7;rLF`FBF?Z|k7W8JkSsZ26tH z_;bi&sPZp|+7Dk3bHlW=zk)i4Oup5Z-y3TGJRItr@mAB{ZTa7aI)^-F`ncQt zxnl_G9Pv`qUkT;^BB*o7Ev7#NwLfo%I%mwg-Ro(n@_DFp$d^pN7HU7g6Q?Tn2ZA519Ul<6L>&1P zD4ULmSP}5|exLK)%$dog3$1+l<9A-UdCzl}=XuU^)_d-`=K?uqvu|uTXWKd6wnHn!Nu76Zdi;-I(7SdS4*j;X>QbZ<1f*^P7A; zW5;{8btQV=D*YVCcFJpdak26_o++3T}pt7DAKy&`KqknUSTGn{TPkUS0&+O~Nlhiv*e z&XHYJnwf8($c~AuUy1C03C(c2c_RDl9J24oA)9`Vb7bF|B|9Rr-LDxN9}c8jA~eJ4 zt`gaI<&d4oA)9`Vb7Xr4XXZODvLhnvHj(|J&;#BpTKas!9emjNNBsfJzwwJ#^~obM|Sy;%zXPqc2HzpBC_unn&EWw zME2Q2XRoc-LKbz6Ae%R5UE@Cr)Uw}5m%5xNq; zgRc)zm*eV*@!J%bSzvEihM#^Ondz6kM`erX>z+4IdEYR4eho3s^l=_>gC(;~A!gS6uqoASNxV$FxV9KW&f^MQ7pA2wk9^EnW zndWLBW8Nb44jhGdAL#w3(Pb`>ekTK|O8`jMCgFDb-3)#a@m~vMy8D1k_q5PkfOM|G1vs<+AT`)k zr3yot?YSrd`}2&Zy7YNT^m80JkazxwzV=TI&$NFWdC9haNc(a&*?P7R~_>NFdEJ|`m3e@b7Sl=vk@r;-0L_F4&~%^6NN7)ZPNfYg<| z=xkk+Idr9;;~ZV{NB7k=m8EMO{Il&B62G|U_D|8Z{$r{CqSM!b)b*!8>PlX8W)6}5 z-dNFvrd}l_T-BNQ9$-v(J_IC>O+fN|5lHurglF^g3Qr$+&;^BOg!Csy&wYU8IRQwX zQ-E}}5?fKI?(xzgg%PBz#AF zBjBq(HToS6q&pEvKCMEBCEPCaJCM#kCy}({n3mVm<(c&`37uKCG2!p`x*Z8kw2I9FI_Y(&~|PMuqcvt4OxUXEWg{CrMCpdWIw>zqgY+^&4x z9=-&mYY>{@bcX`T`zRoFCNDbs42_11WG;oUsHDG3_{D{`<8QtgXL08nxsL(q-W1v% z4{I+eQr-d+F94+by3jVi5aQ{66!KFNo>yq%9}Hxe&38NE2gQHA#Cyt#mt*g_=TgHz zA$d;$eFF{OAdq&s97wlT{QFyLsTE%CdSgc~FfM6c7hXe)46iXjt@|+6k3)2y&bAT~ z9)95w0!Ah7;#n)TwJYrlUL(lJd`^4J4(ml{4t0Ga*)p|kJ#)*x+cu!;L? zAl*Rh?;}4mULKawzxys@J4?4g+L(ThqbyzzkIigXDd?ozyYSusr29zdkA=4Tl~&4f zn=xC@7jwv&FfLP$8)G}=xUq+jdGG+~zAE%tLYq2gor5R$tx?EeIxqOp`GHAETZ27^ zbYBHBk4uGK1!Njq_sHeYy##C2Gt=j{Xg|G%X5A+vp5vT8$uquhKUE4ppA!)nlYH8K zA^6oYO!V0(`u$C4hSOaDWIuHskoh1lI%AW;So18!m{Y=cxb+(29ng{ai%YyD(1Z7* z=(h?;cO8(tekAlg5^mchdPQHGXum9*(9dy>O{VPI*CrnL`J9MA?}EO4w}KySk`R5i ziGKSIGx{@}ZU~Sz`7)6CATK&w|Bci?tM1d!aZJZ+W0qci@M4|xi_Aqpy1Rs4FLWjR z;`se8uJezTbrI~l&hSGU9SpyMX7q(tTwBj1^|aazX~#J_p5e)~T}X87!M(pOYarc! zg#J`$>gdOw%=39!I%fD;I@nmDqSyPP zXBFPbrrr#vdk;uG{{^I;(b`j{t{PkdN5D^}?0O=kQ+Ma{lA@L*N=SE+_w6lS9 ztwP)Jw@dsO;`d1SR*7GPy`)U#mq8&{_JL2~UY+Ke|g}P~-dq&!E%onel@gAbj z@dU;pOOJ0j^M(930O?*7`X!-lIiV~$q#ftT$)AuZCn<8G`xrUvfOHQE{R^R8m~WTk zo%!;5^R7>MojD!8O!o^5^?k$|$fK^R0rxrw7=FJ5(%E{(sVC3$kTp`$j(#j}{$8J@ zrw=@75AyfmcebN{5dN%(5g?_;fJxCqRhjXm0Z11B@>eU6&y`mKnf4+edHF5-HfyMecq)t9yO!SBYDWm*AqxZf*{;C2}@99A5 zJrhV?lt)*#FTcq-1?MkK#`}#EmGezb&U@Tfn{o<54qXJuv@yXrFfRPw2ht5X$mlT* z$hhYMSyopF9R*U4eGfL_JCa`u`96u)1*E$S$b8-}{(lFOf5{<+pBqU2-xu00mk{{b z?TfVIobvg2|EzLB9$7AN;rBd{Zq%VhUOAA?ma~R(taTjHj&tNR;|w~V<4HVSd8PgZ`y_N_sCi{Tvyz3x6lFWcfrE%g2M?*^d4}_|uLNASK6uZqem% zAo)f`ryGDe4v=;29w5^`2_!F{$gp+amP2>?W$S)rRi>Si;MLnsgfB$(I?7XDm39K8 zUOr$_bSXH@=pF>pEdlaZ2uR%{K!@gww%@=&%1n+ZcuIQIb=_B4>X?l;-wWh#*Lbwb}J^g}}Dl098yrx1s{_n%^T9}Oh0?*i#= z7rNkZLyrV9{9vJ-{0BiljLXiy?Pu22^qxEgXRCh)#;tiE?=dC>27h|e^<*m}v= zcW|+yHoP3aL*VBF?YI>5V*T_v9>5;)qijEZJB~aZ7ZU%d74C#_-x8gJ@o6R>b<<70 zTY=2)`9j|YWXR8iE;_>G-wUK`08$s~MfVFJe{BU)MoRo`JN4wS6a8}8sTJ>eksmL| zPWABffp%Qz%)WN=iXU}zmjUvM87C7$AQ& z1IdFr(%E*{LOYbR&rQD%K|kuq%jt7x;|xz9Xvg({m(y=Wg|8Dvj2&fkc?d{8zXsAJ z#GmQuY+bOR)JGTkW$Tj2(j{f-lE|Tp=V+tLQ9$xK4oK%0f2OCa#1(_zCS3NJav6Sz z<2fc@orkM^qV$P4C)xIM4KBoUEw{qC$#I<66wF?~sOrsUdJho&9OvYBBl!CqPoVoO zxd(@Amh(S>bO(IJ&#NY`zJ-*g@z-t^DbdrOwyDwx(gD!h9{?_>_W zJ+q7+3xRZDAoKVwAoactNSO}{{W6exQx09Oat%RG#_>oT#?y8KO`aj3XSU%J1kx=B zGVD?yhWQ_#m8d98*JG-&5(G&*uEg800<$c8nj*k{uV>Ng%^jqnSr} z1bsmEk3k@F77;oIe~ zm;|l$Ycl8oa&Nj1m=ar@Jjd9q14x@)1fn^Enz3>mkYmF%p;rQ_^PNEE@n=A` z_;-Y^IM#%p0A!vQ1DWTq1G(?>N+9=p-UQ@+&pUzS_Yja8eF9`&Cf4GedEita_X&rD zUJGP+0!UlD1EekTj?35kfXjf~|LXyA|L;Vgwk44KJAky!<-l^#_W`-@cPo%K`mcmn z98rR^;A1!oevL|hU1vZr&u{9^_X&`BDm%f{lXf88^+5i*3&?u1N$7n}H063Cka?^JvYxCK`aTJN9mqVZ zd8VF>2C|;)4`e+#9LRby3rHK(0V(eaAoKDoAnVC9K-QDNCmH%EAj6jfX^ZawX^T66 z+%JAVkoDvVAnVBsK*oOqNd6;EHu;|hWIbsDvYvbgNE@w}aJ$bg`>U);lh|lcKj9VUE5Is#C+exn&z$Pg-=o@M@lTy;_*`(7 z;rkenJm{EL2Yn>OCBdI{+;No8%XBzxau)qB#^cTqQsvDR5#=w}{D zr$pA`fRTMUkn+f%?mZy+7PcCiVIKV6QQoS+*Rfej_+1z@{5J#1gO2)%|p`c-)4Bs1yVkp zYU`gz`L*f#6O%Nf&Ne)z0u2Y$!yWMOSv;bWrtloYqYCKo@a=#{tHmQCX+9JlXoLT>_Q%S(zpb@q<)m&_s0(`opf38Z@zNO`XcogeBe&jUGhzMaS`x-MN` z9D4?Z-z`A8{{ks*{JDmn4P^c*;TP%3SJ&ezuW_DBXZhvhJ67Q=KQiscJP4OgCvh_T z5XBwN$G4j@d1v@ZI@?c|F$Qqz7Dd`0I_V{Dh9C7+(XB9gO6w)woXd!7d~4&7&~Ro|uC77HogS^L#U3or24jpW!F+ zZ9f4=LDV1jRi?bXK)NG^w&OP-eoFk^SDScafaKw{J9AzQzXgf&xA2~t$PKwh4^pF> z%hl-m(O7#QrAFf%Pj?7&{ET<)(rZf*(JgWg_K;m;WV8b5?h!iIH$Z6*-}U*b4wv4e zAhxyr%=1yK?VxYw8e)01c|NMk^oD#D!WF^X(>y!KS3%nk{9~X`Uz4vk&X72`H?sYD z_pMhK>UyGX%vTTMvg6x+WmP4rD!h-H&^!j;$*xdi@!g?PoZ(dvH~SgyaBP8c^4@H0 zar7<57Uu$Ke{-&7sVc2YpKF>8Z&WzPfkyw7vJX%`B0Pu*$k@*N=ElXr=o z_{}+dOSKYbd5y)HUqkAOQ$@-2{Iynic)-K`W0U4xAl*IdbMYA5het?w_`oA2X_o)Q z@ECP>E*^dLSR*`w;1QHGvwmuLl=TP?y_TMCdvT=kOIQbx?rTD`tYY}xgUc>s+t2g? zz31qW3Z!MVl`{QV5B zTA}&-d0bOSGp`?*@C?6A#hOQNy1`iA*orgeU>BRetE@nk%{2F{j$IND<>PFVOuN{A zJNnLi`3h_TgqK;6Go72+PEOqGX52S@ow>iaIAq>CdRT?zrI3rVanntno~K%+L#g=2^+x2q0^j7>2Yu$?I`gcF z_uBNQV;;CRL(D%ebNwfR{5$Jb2-YqLKk84y`)iYz(|~m60?FqJp>LFM@}av5NLjZE zZR?rr)f3-3we+Mvovr8kEIkw8m#t?H{2V=dM30w5ufGGyujDDC_n$T2c(r0WMl;LOQQ6;#a#y8fhha~uY_5Ngf zMWzQb)ze1iVL-aD(3IJO-_PSpQf4vg63^ebxD(vriZJ$&{a&%&3+*#p}xt-WEE^$*p@^*I`9$p}6 zKakQxK=uVuAlq|~U;-EsJ$??PoBCU$=Yv4{OnBb3{iA?PJNkEKz5H+>Y4;z@y7@#P z>8pU0bq|o~p9fNxt}SN$^fDm(nwZc}0vTTNl99iod?zH|Dr9Wt74!pXyAY7PqeAxp zsY?P#-BLiNbf0U`3-m)S%j^=7|97C)=VhaB4UjzQfaK8zB#+P^O}?)Nl3w|$c}{RW zkn}%*j9>9*lYTmoI!;QO{MQ1R$hXz|Su>{ILF9oL0ai>c!SmF| z@l5E!oX>1I${A+lt6zRJgZkMk=W8KWwT@U8Li1BOnQ0`C9?>HSWIj~4(a!^9z4igiMnK1l zu-gJUatvH)$;d9(Y&w-PIt=`~(P1Kxa%KZ*hdLnTd>6;knxWJ(oyFi zbfSv@85X0CT&Kggwa4Sz+GDV1WE7rj4BBA6s~y{czCrLM&o#pT9-%i0{U(qyCjP_7 zKLW`3#{=nqHjw$)F7yD*bLoZv8PA!Y@poMGPKn-}k1(wlNM1y}&VY5<@mPB(d%rj| zC|IQL^)~My501^FBJ)kr;cr5J3MBs}|1`Ru52TiF0qMWbheqecK++MRsk5ItjV)AT zVb>A(9!w$5%w`=(S^A_9pK*QvGJKkWr0IH)o-QPLj0&9;S}l{gqo5zC;{(eovF70# zrpl5-6NBR=q2k~mf05L|@^$HU>*RlT(YxK~*#%_2e*|QC{0d0-jQGC-q|cy#n|^f+ zkn|cL``e8|$ARQi`H|_5X8`G(c1L?eC4W7F2_R*rfNTfuNn!QhMoqb zjO&5a=Pse?*CTo)fJ~DDGLCzNv9DLq52Swx$a+>e#iPnxV^ndXC~kJ!8v90fpy$r& zTT=8ItF4Fgbb!=pI*_vO1=7y{1TtquE<>*c(%yd-x-73i*Ru$a>7E0U&!2#F?*N&1 zx+wDFIL3)fK9fMoQY(#JJV2)Q0a=HGf)OCc)|g-%NIpp*&$3gisKlDXSXpy0_TRV%_TO3gWQS*u z|CDWy|D-(wjIB=t(scouuRj8rr#FDKb3wi-)8RnUULeDd0y6&DKyp|Hr2Vf2(xU5x zei}%-ZUu5LND9dPFNYNv|6_qnR|h1YGlArL36SM^p63_H4db1NucALIufGC*ZS6x5d54v z!c>e;850^%M|hrd^C*1lY-I15&K!f1dr&vB%bL9GvL+pbjdYt5Tiq?T8#mCDWfhS5 zKNiULJ74H73I95fcDWWvyQ~A!E{_12$ESq;y@V%$jQoO_9Q*8 z*YNe)SkVu6e>~1E1M;xTfV8*Bl)(u=x}`wo=UE_ic?HOPz6oR*Y!|wGkO|)($oR(q z$)O&|yaa_lN5Yo_>F$Odn9u)+oyNfiq)!9V(dHrf9Ruci*WH`L7BTQ=+9yQLry{qw z*vMsAoc#3jcz#c`1oN$8RoG?bTMZV^DAF)~LelP2Vrc2lyv}^1mp0!uN$;)M30=vI z4k=J0alP_QIgbp_3vEBUoZ>9E5qPd>=}SL4+MeY^Jmieb^$c}^sb9cMk3Rq?n&E*gIFJ z*PM0zrk~@e1Fw&>^5c{I#N9^kJgi&OtpYM145yn1Wcp^IEAcyuICtXG=f5#GIBPD$ zk89{ze%bBEb1U>N$1`f{eFOT@QJzx{_nw(q4pHdAwoe@ymo5Z9hmPR61b@E-q#HQg zl*33M{h6L_Es!#v7TT;Qm@~fm+^0e>&j^$LC?H+4&}BP$W)(qN%1uH}MAAGbJZ6qG zJni!ApM-}`S*8`*;_^F~IcQcUg4?xCw6G;C5 z6#tKbOhdkO^MI6nj?m_LUs?9PFMSV~lzc}J*E7oSxqY;e*8?QqT>Hk|x5@Jkkr5|W znD`zb^*jSew?zCa@jHs&!^U795#;&vfUrzDU%rhv57bbK>X=hcH}_3MVGa@`+BguhSt z1%-b^Xd-#hIY^!{_(z5RBS5-80V#hwka15OXZ#z0OtS*WG37#`UB!BD9-hfyPd&bM zwJ)BP?}KOMC3sfuoR8Ea`o%?`l%V@UlYbvDj&BW-|FV6JysLrKV=a(%>O~;K|0v;a z1F7G>9uxl{Aj2z0nr~!reTnT{j|*MqdnrN4F?-O;@ZA-+D%xPayAu3SdOTNOGJN(0 z(vfGhpLDCObUl*pIV)Wp>6ktVWM0%oGG76j`^9On)l}RsdX;^@*h9W~F2%j(+4FXX z4((_3yaPxV7y7FcjNPsU(r!Ni(oTN_QWrWOG-*O_xWx8ISrY3KmM?@A!uO+xn>yPZ9}g5(>?;_JCH%{N|U`2GP%_mYXTZ}jH0}Iq&amAa=8l+8Aml$=)*h83p?o(^&6*}1HwH`?K zU!nWx<>=?kQEWXOKPQfZ;!l0z(1$Kby>y??eT`|ILY7WWy@^gVy8RMJ_dJkw^Djcb zF5%?w`KIBo1pR^`U>W+SGOTmxRXbUyT9ZSbBiBLqVMcx}kaDj8(j|bbuWt%n>^1fF zDInc{gdR4@&~{x*K`!;}5&fdT5aQAAW+3JKG)FqmUGl8%VzDFBSDSQ)0GZAQq~jUl z!@JVoHRUNnyf5<$4=;G6B<;w_Ce4LY46n^Vx}y&_^gJNl4}|`y&;zEL@a*|R1Twr5 z)&QhC$B8?p5bs(KQKi?U_ZanvP9f+Nm3a3^x_<~=2_Eig1?oUtdcOthUKnd%*dvzV zk9ZNpxg1yd5_xWj^-S)mv;Acp2-zC}3-?RIEtaKb#1HET}{cbp?Tl_lE)-VKNp5!sDL8rj2+GI?e=-9#XHoF#Ob zb>>3?a#)5Tgay}|@o_1T?mD4+$Axh?TfeI!g(tMZN}ex(=V&y6hFygys423HSC;E9f3Kl8?O_JU@#r>_*K1-RBrI-7QlEyXhcT(~bC zi~GE>)#a&CNwXg@=UzEIH^kX?z5?|lT3AdHl2|MHjE(dt+?#>j%`14P6N(ofDOjLhGWo<$5fAs>vn}Q zvCk$<{Ukls`e&OmJ{L%LkC5jaZ>~6JqxN8lMS|DAc(B$F1)ZxK3i&BiW zCB17FQHw`Z(rgwU|FU>^dwC264}8-U`-kyO*AT~&jTR5jaYm2RfOK~XO+EZO;E}X= zBqUAI@rK7jAk#ZG=kE}C<(NAZ{WM*6$rDVvvJ(xTDqt_4Md-shHzC^dm)-nLeo^Q` z*F&Ak@ciGr=b^`0ucePOm++os^f(DfcP5bi&Nqac|w$hF&E(q;R@j@D?Eee6#d>q$hlXE z%r8*i#TC|k(C=IH4#zL&KElCaMQT_*_L$+m!P#f#j6Ly&0`B~{ZsModm}l5wefT3>-=DMn@;oVf{Lp7h^_{ag<5(|R zY0UeGm!n=^hW8OK?R_87gQlIq+vzWu*5onNn@7&epqIa^KwX4uOSj2mCM|hHT;|aZ z>(fU$vYfVueVE6GOVy0#5_L4@nI%|DEa@5;XaDA2ZRW;ap!t5)FsyZ#sgez5rHJ!| zZNEv6(Nb=H_j1!e?!UtHm-hk5Z?n*zm43|}VfOh( zhm(QS;Ub~00#e>XK+1ap$ThKl3!VRU6YiQ+tR|r!8;(A0IO@XiYO@!IX+6}@THgv< zdL=J0=|2Y26<#X*_}zPyts8lA*OkUAS;xW{2kP-W0C6UKt3VyH+|((XraT|z>GQlZ z&;DI)$&DjD_p^#dtr)yk|ZZb630{qj!L?F7;S^9lJW{ z6Tat*oXdgac^#1Ud|kpRH$gd;owitf-Ip2t_6O3f6xvmUZw)TWPx8ADPXDbgFHqBP z)vthkMqnI$0p~N|-me({UfAcu?Oy4#Ty~^b46Chpj)y6&t0_he3$)Ct%AZ4p7WIY^MwqFs} zCWfeyb?Gx3STAE1ZznI=m=YP|ZZt9v0+RnUAnWH0Alv&!AoVc)KkSY(1u8M$E#p{* z_1_f2Yra>YHmx#s%BGpl4?XEZK=O}L?=P2P&)RV8Su4YyHMeqKlRlS$`fuKW{wc^J z-v@3odJnqU=-mpW-j@mekkF3^{fy9u-D2V&38b3?B)^5?e}nk16aSxye^UJ45r3xX zk-Q}&Zz&-C-B%)yo?^TMITX(-hG1{+pcLK?h!2o=5K}wIpHohrwMMVkfOONpZ}h$i z$b9}%=zj_QkAtI5}Z z+e~=j?IwN^kh-|c-8^w4t>bj zaQwrDehEk$)&IiKR{@O%SeJoJ{_X*?tt6a4r)aSHc8M+zB`1d|x;_LNq-1m>bn!$)_z2m{mYh``I{bYd}u^DkM zG-IeulTV6yD8oA+8_c&1la}t$r;V&zfpo76&2;YXARX52Mq*vfd2V0yT)Hn-&zQ93 zK)Obunbya&mQ1geE-LYEm2~e3t>3@H^98Jlq)L-|p6st2hO@+w_X5n_yw4V>3vg|d zeA+Z+1}T&0LxcxojieN7y}kQ!;;b_bmJZ2;(S6)+jqa0x3=N{C0`o zBQ(Qfl$&EccY4CI)$@kG7f3f(Xr_-dy`JBt*S3RJ+K|M(QPMssbjCfkbsw(l3)a#` z)DOg*5p&gQw?5M<2|1Lft}%7n17yAP0nMChSif_s`19$!^w-BFUNCw5+3!srUjtI! ze}ta;2SdkztoKDPntHz-$iD2rErzZGGW>QR)6)g100qeXT)JOZdFw1tErC(Cg z9rlvZ;{l-Ig0dtZeJ{}WyVfgzm(F8S(s*7rJiZ4c56Qb(E5W^lUZ0WYM(U3R>Qr3U zUW|4)Bz^t}{qDpSqd)SMP21^mm0dq;8bNb!Yt~DAuNJ5}T<%MZjBGlFuuZt^XH>SI zv!0{x1*haI|DOv~1FmM_XVblL^}RKpUk_9<#Qiz0sKmEv+AT)A=V&9fFQjemO&S|7 z0@9r)G}FhKKIynke=&O82&DUk&`hhon>!zI zD}74hSH5P_pA2MLI!{08w^`{!68}L-|Ax>R?OoQj$kW#kPxtTBwoUz?(c!?YMyGi| zrlkw^lYY9DJ|yw)l=ROC?bw%mBIKi=H>B^cgBB0Z>qh2RfONVZJ!bB46G%(fLmqm6 zMdleUt1UiG|C17a!{0Fc4h6E`I7Mjtxxf1lcowhMb~4X0roZEumRERn=JS7Su-AJd zqT7uAPXXx$!=Ldd0qGoDWR#QDFVjXo#5M1<&cr*d({sMlx(#{V0LH!fe9Oq4`L>b! z-FFRL37Mhy3e*j_>@#&T{HWO93RE?&;AQ9=oW9EP%Q`7oz;_n6O4P0X{H~kcU ztM@S%B|RMLfSZa{Y4b4bH7LVg1GkRb8?Mi_D#_sC8ig}OH<*2(l%Wkf%~vlLwSGQREuheFPf z41bqihb&WWj92arrE#W<{1bZ(aBX8czJrS}+fSdD86K`GkpBv-J6CKNnH-)d(`kD? zEKq;ORdof^;yG=21ne_R+5WEKIA^S0zrzxAmE*n{>yG8ffB7{dLM9KUU7FwK`)lU6 z*+Z@CTUTDNa(JN{4jU9>{#1Z<)SgcY)DyT`DI58)>52-huOjdKRyLmt`4F!8;Q6|? z4Rl}`#Q$5MUc}WSJZw4_54M${w5tfv-Xl@|V!B^*+6WHV(*4;@K)MHjF5vS*zbxU- z*d^(_X1v9|0+}n~9Hfw`Bd8zHn{|Y`#IkeGBE<&2(|5%Nn=VSZO^H z_c$QknL_vKXZ0U?ZrWw`z^Rwa+%yUPsewk`*doJYK9I8embvr1XMifi7|-uEn=%@J z_1!$>9#p7~z~#Tnl(S9iy|KM(#d#`$cpu=pSmN4r1@?Rl?J7y>_eewe`b-Pf4el?~ z^?uVZ6)Y}PALHtg^ful990+{tah`HD+O2Neg(`{j`ai*yf3?xsrd^D~=hOW>%c70c zb*At30PQ-KfPJ01!<E_^BS;Uo%a!u zS4_L|R}NIkTh0BF>o!wI4hFIu*a!MBM`^}o&!=ra#tX81bA2E7PwBFag9qcj38ahQ zOd!(z)mazENUQ@4$Fl(ES(&yE?)QRY3)S7YO21?BYtwpP9@5FaJag~BeR)%`KLR?Q ziEFl`v+3Ucl4T4Tx~}mz>It9Is_}(tJg$o+Z8q)Sw@`&~*>m#qNNN&`k9pM zJ%}DwsD6O!3>_a#Ved>o%mJ-9tS9RF%<;pWOxHJ`*VK=5fOO{z&2%27%bFidx6(!> z?&Feni_oHX?>m?5liWBvdI~PPt=WFr{eK1exS_5hS5c}kZccYjk584HKW;5_xnCIQ zDw;CX6@(4e;%c}~`j!kD`9fTUxGF2I)27V$l&@mb(C6cNSoqj9?cswx=z_G1UN4X} zJlPMi?JsG&_O`$2#=dnxx(*=Q-K9c*Tf!^GW6j!ir7B4A$&$&d7gej0?eB%lROP12 zRYmv;)R}8k9BB?a0`D_jkNk43zIC;xZ-rlwe&Ek=o3_)~?TB$xI1_xt(V1mq`?-eX zsUg+HUT3TeeFc3guB{@=rk(e{oVf0qLRE>&eS^`_44x$C&Ikl=q_t^#o|5sKV?j0Uy=V`#E%#j1JzQJKLu^FakLz9Gn@JlRWu$|RY&w^Xg2xuB zvvJklXl!KDx#IUA?t{2iN_?Ak+WKBcW1HT#5jh@nL0sy4MrWI@IIB>d6&|e?;C;w_ zToro09fKXr{qR8C52IhJ9uca*nCx~PrSN1uS>VstXX^K$)2JMbGeW~hs{H0zDq4>^ ziL0J+*hjxGT@`IQLi^2~U#QweKI7Q5ozA7p&N{!^q4n5`{ulc2ekQwbw(Ev2f6NUM z!9q2z5%XUy7v-Jh=V~j$K3DL=JZwL#cM-y@7s`8cE54cXIht<*d?KJSBZa!i&#>Yo*_Pad@m`py;Hiwo5$xVC8C z;9=3aZe4`(OVq^D$=+qn?Xr-uFe$#h8lA zeT%dSi+0*$CDVnuUXQlZU8n}(@=1J~cJbN8^Ek&jQRuBas8}7ewOEbbgmW>mCNvo9 zIYn4wiX!c=afKwkO*^)84JlMZrWA8rPIK3lv|0GcQ--{R^EQg$Dnf`K9^F zSjlfwgo{)e`+L;;$f`p19bE3UVgrkIY~UL1Qp0CT{aLgF#Mn@eIr+(`zs{VT^OFeY zCH=h9;(aqcH;G<-xK@Abx2C>nW0Nn>J^>V;!*G>}hnqf$icNBH#7!=XrI#*n~ZP*f;6D z1miuf`tQ?*XxH#pef)9WkQI-1iNP)v2jZ+BSGh{>axwRD4Y11w*g*2`Pa!SSoO!9S z&HX^qWl;J8^|@v95f-*NRYKKpaj5!+AqbErQ~AIvn7Z==t}wQ)9OAY-hrwjcRq`ME5azH16` z-hZJw&*OkUJ$m?<8iS{ejjx)hWXVx@GQw! zB~!SEKFfb(u^P$uAz#3{IG+9LcSsP=PTOyuocX=(gHdj%Gof|(zAvs#lnJ}rw9`-M z=LqN<-KbCQ>e9G=t}OFg{|^h*Ww<`p=~1WPpXrBkx8ev-d2Vdp!-?ElsBXel`$OnJ zTTC(Z6Y%rX4|*}&rtLJ^-p;xZ#|^AckVnsLsPDLL);w6>2WI(U-NlOI^5iRzQ$ClL zs!L(x3+szj8P?#-s`c)-Jw8+J9=d!!_*DVy)55fOrN<_YspIqpKq@> zd>;jBzHIAyK4<2M72`<{Mc;yFSa>$U{;U>yFs`Q`IU!4q|8B$gZ$P?XKg}(N{CxfJ zTVwG{O8Rf~7=FJ3GOd~Gu@5wQ(=XT$zqrM(=VvDU$r}v6>wvlV?Z^%h$f>9vgnc5F z>2EEB%soHX6}Lg2Js$b)H8LIt(!D3Nt^-&<%)mOKEu?-i$Rx&@#{-9`16K}EUv9;` zuNixk@tm&$dsc8>K!PhRTxX8G)wixC?l*cY+i3Lo8IU~x3v@xRng_%dGLMxy+Pel+ zCjPfSZsIS5oL}JD0-fm0^Tk1UzKCbxXpa>rn~Lg@@j;{c9e8|ex66EM*Gb=vx^)$< zzau@dtQ_YNERwz+a}g)ra|lb}@~#m%oTuUgkgkCP)WDhM8yEV08q6K}jgYZ*d}D1y z$Vq?1qlGGf>vSjmxKcF^^P6$#f4N_O9QxRC)p#d8IWkc(66=}p*K3>b$KLfg`Jm;i zuRd0&j=}G5fe&#$=vlz~9loV`SpO-@co%7q%&8q+Mn6%gj>nZi8lvMqe#rce-N925 zGabvOC(GX(fxh<1Fs*&;tFDE_GlOtZ_KXwfBJMt}~ zhwrYw`Ez1(UuzWM8*wFZ75x}{32`;xqHQC;<7tp;vT|OZDIL~8!^&TcX?a1Zg z*8qOmj&(Z%|2uXfi|K+-_LVn2hrDQxcoTBO^S{Yl;$9_(UbNZtpA@SguGP5gy4nMJ z3$9&tsb6R6&H9qUI!?Ar#xvvj5YPLD(b0|@*^n8J^~L}DzVTRJ80OT29n}}ga^m$@ zUk(x*dhYISGD#)EcP^Si$JpPHk5dp==ow2>V0*gtNAf3E&< zWsZK&FT6BE{JUdg+6L<$!?)Wu4pb{2Nc(;7iDI=4epL^q{T_qgng?)}jFmR@WU<Lt9lxJC;XCDyr-YRy(HsVav%H);z4fgp)@~V z5#G;y2s&G7&w}5!`v$6QJ?VI_mXxT5o`GuPhP0oj6yJ#3Fi@?w(pJH5JJLoi8MEQ1 zAY+S_b|w63k#?Kq*Dwq+;1{*z){Q_~$nDSFKAdkr;B`mAt%BPHitDftpC6--IKUJ-mu@NU8H37#()6ikWSPX$Yh4gU#(Qv_!Vo*@_#yj=2ezTnw{ zYb5+u!5+c5;Io2T1wRrjm3)pBJV@|J!4m~r1(yp(1uqsp+KBk)W*vn4Si;{G)Smcv zl7u_-0jbYCyizbC z7#2KR@C?B^!P$aG3VH?i7aS|-7AzF}Xo%4_CHRKmD}v7pZWeq*aJ}GK!PSE23w8;% z3Z5lcFE~Z;Ai=SM!vu>2KOJoJ`%v&*!Pf-02tFbBu;2#4I|SDXUMqN!;7Y+of?pA= z5-b%|f+^`gUJ%?Mc(vfA(w{i}kze{#hn`}7M9d6GMNIBgq*MI4MF%d@EocDi<(N~@ zEqcmeO3(>kj=2QgdcpCSN6`5NzbCj=!fOR}B>anD9z&NWa{el`_Qbz8Fn^)DT~HJF z=aYB`2^I;aFju1U2z|WZ>4Gmy__KmKGX6y{7o!Ub`UF=$YWxd@e*2e(-XyqI@O;4q zf=3IE7yLAC(!DAe7rb6@xnP6fbipx#O7Jz&XOrNqg8PepIurP}L1@q8M()vq4T6^o zJ}CIE;0WyDr#n$_rQr2~F9?qKm5Dc9@C?C-;2nYqLAThuPVnt4eeV$ed$YLrJ41gUc=Zd0uKK;f#{|##gP}hZ zjJ{~-gSHslBzVS4hWAwF1uqpGFLu&}ihon2zi{XmJ~ZjB7Cc>WgkbESCcIYg-46^M6Pzjd(Lap; zgMw=WYXv`k--OQ;4E^2E@1_iXPw?%(8G4;ymEc`}HU7~-4aW$C2; zIVKc|aZ&&|H@7tPCN`lnW;3)<)r6LXTRN8ahH9}0+E=GT(%ultb99=lYF0FLXUPmK zL~vjs`R=1rceRAtdy_X%?rbgfKph(H1dHBagzEH+;MLq0b+t5}V~0CY0t=z!jM`en zoTOtmwYM~O^v0whqeZpRvL#aq9n8e)D>^*fxpYy69?Yd?Fj(u^)YUY(x|jQLG(q45 zBtJyeENcsQHueVT93F>|D#_onwy9HkLjxx;C4}Q|HpNd=HOu=gSQflGpqEd!0u~#! zpTx=#c6^pFoIp|2-QCpKkx_|I!B~U7EF99-rk=CCG1Xy>AIk^ilC7?q-|+q zxT!Ur)03D`=U})FZ*A;uYE35&=y1(>ybjN-WvsjD`rF>Nh%F*;YPfk~b9=iw30CiD z?`$%<2I^b8=Ai6l+X$douR+<^hosLN$ z;aFI1m*PXlOvvDKGE3jwys5f6NYymAgd3$m(~9iO#He9uTN(c8oKkC(SzRwi_tnMc zbBw2Es+x?ls%vRl*45p%yyZle`_4+*-=~J`UV8Mm>3rl!N+Y2~q{}`lj+2ceRn6I* zZKhw!wxSdw&VR{})!5w9wXmx#+|rfKsV)<=Gh1GEJV&JR^|4%b)EzgIlXKW^_c$y- zpUND}&upZ1XpMdIwuAPHc5mvCZuNPpsdH(ltEIcUS{Qu9hX}&}PrCnV^3K!d;DR;cnmT1vL{^Os+P;y`H{Bot@p7Fl6Hl^hCH8Ov2E` z-k9Ukk5o-lX9s%QWliA#)CfZ)`lI%M?!VD7F(#xmwxjtqhS7~ehwf#IOt=p171JGV z4+Xlz&1kltP0y~D0K1vCc84W3ZkRD=CKT8kc9`s2IK?O)Slr&Yq}ykVsSVKB0eepN zu~#f})tJ%ii)0Em(!fdRXLRA3585htSCC1pk>d8La(x?(`9ZPf#Yie!mN>{FQDQ8rR*}iI@ zFCE5u*1};o(A3%7;uG1%zSC7rSIg4Q|=a6pFFKOv$!9B)jVPLd0 z3gptTg5#4mYI93>Q&(GvoktrwCd|T?c01LO)tdSY;HbB2v6&|?asf-7$C`vW-7X~z zU;;d2cHnrVyHZA^T~CM!J`<{=QCm2g>{?3B%D%x_S<5-QmH=(CX2Rl7XSc7`YD|IS z^}UIndG1nHeYJs;sI4iZUZdwKCiNXv)7BkW844`!>_WHaTN$!Ssja)6H=bsCPL9!C zicNK|(lJ{)nz~kn!Y$1^;foT17CVbgzL%JqySxR{zs`=FY_%25fU$?&7)L+Iy!i8! zsmuCmZI8B&z=K_2IdD{?A{p6EgMcXRQ4E^}^b= zZLg_c6nmYTrC088^7FUX!YsXVkCLCiUSG}9EB6@r`Rf(P)T^Hn^7GdV&+~RVK7RgM z=_hDT#_HZut>+MO$-A`r($W3GeV(gT=LXjR z(a%h!cS#ud;Vk+5nw{4TKJbQYQ#;1w*97WXP$e5Xnp(IN9cbxdt=kK=6*8QPJ82T@ zOoc6L=Mq?l`)LpsYcPHgw!`D0J+z&B@071zMLx)dXE64|+u4?Kz5VDFjMcTQBiy#M z1!m`7nRM~@?ju;q9?7W+d$_EwMVrTX=?BZReUsR;yhk~Kz)6iuTbctcE1OzEVXpJ1 zHL?=#!5Y7oHQU%{57=a>g%01VTYX~)I{=z{dy+l0*Kt^}XEQ}F#m-*umziSZWbPCA zAIQ14sAfego(t`$NaoL(i8eNKHpjc=|BHHpZi+4URJ4uL_F^5RO~G3C)>Rluum@ys z71=2;N#F#tEl}MJy#v#BWWlL3eK;)vHNU@h*jww3Dc;@XR$}7gN$K8gy=G;fJ2U#U z;8a0P%hFJI)m}9vw?3%WLhgv`<=Z9?takKVDy!qD1)JvzYp}Pbvx}pPY5Jdmku*nY zbv9-Ve8Rg2+^Cic>lTd(aC>_D&vHD!Lw~G?pi|qSr!^zXnz>~Z#jYgac9+clt08a# zdSz6#`GK6m^h0;`&w+UA-rdr*oCf^d6=Xkf07mdr105|Z!+~z>(1IG+4B8%mL6%}N znqy8II6+S{N{aNe_$7N%R8sJ;wmhddMuA520^!!K&J}^h*uk_6wF?t*Gj&cInH{;l zD~J@;a1YrYX<}~{Fq=BC6E@w-+ZOMB^U%W!rw$i!WXzEPXF3qlJz8&#$A*PnY=rFS zRJA?s?yjDW3Th@w)0F<_Sqa&#v$b}aJba#_%~lz8u#ueZcXz3=rz@czN|1%$ncDI> z=OBC9w@b?F;eQ6&SfD$EO$FFFwitUBbh~fg(Y>shyJz=hxP|rVIaCNWXhmb_^Y6x_ z6JcNWhyN#~u#=9ScZHc_`>q$0x?Ydcfpq=+d`F3y+}UVPOLj?h-GPqVJNI6CtofxL z&AHyeEi=6%&Mw_VXSUS_JwJU&_qhE{5818mWBP@_aot$w!Dhf+?2(=BKpn=>G0g3t z*34+vdv@|^;Mj2uS5dlKG4^3=zB5GZ;hl+QuI*3&Ef+0Y8fvmxW82cAWs7$w$9l$9 zH4r;}S2}Zuy>uHW14JCF0eA^1UGjUw0_e3%On>p2N}1h`Qy{xE=bgma)ah!bJzkqx z#cr6}8L&Uix7Q5q7Mi^*!EW2!I$^~%J)_xe$((L+cj*LOv#GL^``usV3Evc>J4EA}koY%IT| zZs^YTf^M>zcBGy&8>V|~KG~mpuC?;GqZ(!^7;a+qdr%K!je~Ef^jhgN^wKh<^S)Sb z6@HF)N!Xk0)VN)0Z>XZn$!qA|;dLx|4cj}s^se{edxsZakyT^&4liAON9-M5^QhOzy~9iI zyD#N<({j!p-Q7#OlD+>?&Z!o4uj<%?j*@dI_T)u{HO|A8y)@!0h2ZNQ6}D`#HQa&YC{j)K1>^nw)?3(N1dTMP@Z?k2v;S&3XtkXuK?& zHcm}>leYM-^ceD2IndZY$rp^=O?%wWhH;hm5dQD?6+d_Yf zFTJh7DPGj!-G6yj8~au6zgQY-)DNfZcdc;xNV;b_RUZWtSm@O&O>Z$xh>zQLkN~C6 z!&J@rrg#)*T6!w^M19oa!8Y=`9k00&G{`q$DLZn z<{=j5Zg9oo%tGD*jO@2&>;^Nb8_gN@drzLa9Buazox91&=_u3&-5a)bEN^UYYYsGa zEm@{Fex<9A9xX1UtQ|yh*!_dK+d;hE$}gh^#o%<7iHA>|q&J{_?3#x&8XA|h%)P`ucS(P>??Z1 zg%l??c8BM1mx@yFhP2B46<*q zQj7B!;)Dk1KM!iOh1y$AUUW7dLrW6bMpUe>Np zli>as#P~6NZ?= z`DyHGYV|jUTW6>z$Z5{Xw(u-$WbEbN>Xgo@=%$^rQP)Y{B^q=)O6N1&ET&S|I58+l z)eMlBldD+`)G=vVR~wwMDe>z&JKI}v(9h)RQ#%?%STYRbTQ&oDNQk4-Ndrz<*3z}g z-_oVcg-vj?IQsHO!<>~$eItKiTgRN0Elui#Idvz^Inil>Znf|vR3EmCIUUQE;*2iR{8`sxwyMs+ z8}Ia^uJoUw=K1S%^Idpqb->{>9QD-Hn`4)?H7nKG-XcMBO886xkLp(h(DYE>xVH=^ zgq${mXB{=1ZvOMGWZtO@X8DisYTobXFKt=M6C!vj`fJx_ep$VIs)iqhEzcJ4^?M7A$a3^zp5tCiCWit@SQ&0ZN3W!b#yd0nG=0ZGt^>s(nhJ5^8!oH(Yy*> z0f{vbl5}NKbMpf2T$oX6c0srk%i?HX&mb4euv@PSeQ5xhZ*E$F8axN##`mYjmz|rs zNB<6?in|MVK2KvizEP0BSnohq>el?lV%Td?!fl<40*i4hky1?sIMuF$Mf-;Vf#uyR z9P1xOyXwN+0v%QuW2-;#w~m5yw-`gGJeNH5v2;qE#^1UQT$qm|dD@hE0%@AtmY@Lt zh+iwsPt^`B>gs2&f;rooW?|ICzKZ}3=tB>Ja%>4NMVF}57E7lg z#tiCMSj74LYxo=M{hl$*Pc@e@JcznI0Yeg)1=c#hewp29CbdmqKnvE5L}GKGV;M^JHI)0(?j_ie%wFK_UfZ~gmEm_ji6=?13JV$+vzC6Fu;>ez|yO$+2$g68v z!a@0jmQ|dt9z=Q;dqsGtX{2Viw4*BrIYZTw=I|}C8%gU42d8H`imKKe^yE)st)LGk zs|y<2m$m3Q@si$jX}=TbN8Z|NhMGaz$aZ{YFPPy!&Y3IB%%9(3rUK2qTYXZYNBJZ ztxndn#NLVWjBZX$>)A5y(&GgOlsvS%R&==d_D)`)YpEWgKEf|dW3dA$(AdP8yPBIH z(9a?9L3H%cp~e**%h6l+bx;1}^g8-pd{&8a0q5fLDZDo0O!;IrzRz-Ui3%>qw=;i{ zuYAk$IlQanHMsEG2aF;tcu~GeJeIG#H^Xl&eqWfcVz(d+{vP~JT?O21FofU1I}is~ z48Ie&+_+Lt8jSx4d=M7D5`MU%xDp>jF_%jPp<&MldHUiv#r*h^RuWfaj7xuuEIGiX zVz`3%I9dGrF6GCSTI+&NNOy}%C2n-7C@{n;fUsK;_7wbnjWqZjeH(mmDPC{7RPqhP z`w%?0gBPyo1biFhs5})rGEYTt#gD*mT)xNhl>e)^@V%cT^z`BKz6CwExG!_(luy>=_Yiu98275R5uK)MU?%wU*?cD|a z{{FmpzxJ~8Onc^;y0f!Ie6eUK>EK%UIPy;-zo8-8H!?&4-`DOLKzgB&F=G_e-+OYu z*bs%#Rc?rU&eQ7phj_O$7({SCW{A!{bnz2u{Sp0~ZHV5N3GYrr^j&6%Xo)4dhg%|l zge7{)EYZQWU@Y*vCTfY^QQYU+$35|~C1TL~27X?$MBx}qbV64t_kP1o=X;C2+=s5u z@grHmeJHT`)}LjI?p1721Ks=L=S|`m$nOnp(NSoN2y_qT+i80tyC1rg_wVHUt$dHM zcN@~UE#Y$Q*o83pEwJg{cI=OG?8MG`v9Z2&D0`DKU5C~HJ8H*bDd*@7gh@H~byAj7fimvKt>y{jo}%nGHADwx+P)X%F_v-|K)F#~ z5z4ENvg%vLbu@V`M^8}riY3~ilY0^Vj{J@eK12uTxSMNd4tiS^_T%rjxW6{PH|2Yg z=v3^6{?KQPbatW7DEjN(4&9@VK6Fv|6}tEg`#9bdQQD2pF0Rmi2KD(aQ$(QV0rb4k zagWM2fxlyKxQ?DUWAC)>q;aQup}lM(@4oz5O%t!rvX# zxvmG%F}n7`ts%|%)Ft`1I2`>^KO)oz>BplB9rlzMA_jffeg1c(1N{hTd*~rxY7l^A#BiFBHuOj4kb~|n+Jif2nL;a~)hAefp54(z8fF0e9Jbi_b`M|#V zwnwkC@zX*ZG7B4o?h_rN&6L*>#LKsI+ixdbd<(bxNNB>Iq4Pu11>GyC*FTY8^4VU5 zJUWl@J>i<2Xg6ptB0JNTajkg;8>224a4o!)zK3?a6S~PWQxp-!&bxtuuIPqde#g#6 z*PWz`tLPw~CvhJN_}v9H)#&Xc^i6sq)FGx9qW5|HH4w(L_~Tme0{Lv@K7SW9A;aHY zGwCn+yO(Q(zYAl?@OKT@j%noY7NUELwr3#M&1id~*y5|SN2EJ{G55)4ReNQ8t>FDPK^u!#k{S)%thhBcEHX!;Ta$AwUErIlOui*YY+&dFpzQErPP$zaIjXTh$Od%|0Xq~mVeMLD?_Oje% znalF-B~8(}TuD=O0at!U=OM%If|E(-G34iH%AIQmbf1EbpbrX8B|lpb?)S6}kiV?Jls`J|ToXN`?*jRI2kfefJbxir$ zvTn4Kt}fcqF7nw+9t!7?53YT`0_7N?f9|}UJU}}opYx)nCm zPMEYs9n_JIo6yI*#Q!b!i5(Z-L7cR?eR4d_@5p`R3!;#}oN}VS?%359OKEqHspZ@8 z=ueEm9v}jJjMr+oMt{>qJJ+6_$p2lW2c7pG2Zxh>($p#4D8qtnXutR!!9D+2%9DG& z{4L4|lQt?QW%w<)M&v!pJ|DexFutkb?-=2UV~F#8ba_1S&L=F|rk)wZJCDE7L5wyk zI-UFv=Sq8Cb0{*s!Yjb9 zfI`A8jPSQ?6Az#-K{g*h`P*V!+hN0NlP`!s7wwn)7I`<{A8*G_3%954pO1b!0e^+G z{gofABIdwEh{AM;K|9nycMo=b3FUDqdb|ePTS7g;);lla_r;Xi)r7_UcEZgk+#2%K zNqQnjktV`#=i2!`bp+byQ2w-|?T4aw=%DQ^*qFYuo+~=+l)5C}odvXcvd$idKB%7^ zr}KM9>dBMzv*bgNk6x}dT=Neko?+y19rC^r&zop%vd zH+rg}F2x`U?NGRkGI$wVp$w7d7m$9+v3+y;9@>CNC21IkpI2!EFGZgE zkbf0=6McOumDZuPj#9ilC!T>QZ|Td3_T*p)6}? zpYjX2%D(hN(uR#i?m(xd=tuUk)Y*cgvHb=7%{8Cm%O1+NhO+I$mTIu?t_A3WI@yce zM7JUjTQf%G?{50vUfT1T72G4AowOO9`(d|d5iV)$s3iTz6CQ|aep64{=khnd3rS-i z^`(Y3p@+7j;80|sQ?@e@K{o}^EysS7NCWLl{#4|TCGY%>koI=je$?ScxCJ$YNn6uP z7~L`~8Sa7nO&ERXp@+2fp{L$cDZh_Q@fmq*XKc{Uy}qMyKZfw2knjt+3a$mmQ7;dq zJ{M75@6iTuEqoV!M)><3ejmVCoZp>g$gM{@Hp1@+bQ7UHdz`U6L|*0kI(^BtmYK0#m1ojRDq#>iu|7~Mex8G%e>B68@x2fcSpAg@P}x7m!}up<^` z87HIDPYDCR`BF#s(l(7J+!%Rjqpl)Th`%WQc(5)y|D?Z!Ze;Sg7n#L<+za{L$?tC5 z+M!VDl=%C$z_zzUH${YnURauINs>-$q~YHuZq==!VE}Y!&k5+6U!dP|9!q?&f#u%zIfO}(M9C72`iF@Q;^7s(xj6wx*BiD^w2XYD;B zgZRZgihJx^z(P*PQtr|aJk>Z{es)L-puuOt~YW;E{c06e@D6BiF@~5 z__-hVF+du7NJl5>$me&yOfT^k5^o>r=p!99E3lf||^D5A; z)T~CINgXc0uDZDPLD#`tp%7w_Pa7J8_Dj*@AlLz2(pGgqFLXm8adlN-YX>3QNZmda zzp|b!B&-I~c@kIdi_^KzBp)YpZ6Z%+@|(7#<9OoZer!Hh?sp;A#ozhJb@6vTay`;6 zF63{*>p77t;YCkFo@>`BT!}As7T_#U>We!f=iLPmj$a#!`&ZjO>9x-gWV>Rk+A7dZrD<@53 z$Pd>V(pdoQq%{JC*l;0b8joRqx|*=uo^={SYIFG3!f zj*F3B#Pt$%Njwqa>xQnI`AwYBTS@N?+#}8o!YSn1y_B#CuaB^zh3Eik=rcM>`Hd~s zMA6M?>`-3EaBpwQcQE%Mb|q>3i#BFU^i7)_r7tR^FY4I`+uNHk784KcKs)VrK6c;H zO!?2ljeeo$D9UXP`mdr)xG%UL<##^6BXe=%cOkz!`Q43t7xD$j_i{hR{Tk#8_}$0- zUU{Fj*k1aGPU7pKuEx+;KI56*A%Hz}Y>FGy&`x(jg#NPzn~Y$$1zdX;k_Oo?lJ_Xr z&XJ^r`p|bCSK7bGE2IhAEs$*?zdQLI8^(Po{|eD2g6B=v$(+cOB5l?{@ly zLRq%_-NoNAuI*BWw8o(K6XHOoXEA-;<>+!S>7-BV<{3t}?AxI0a>D51+uRzrq8<97TbN0C)%$geblKAD5PD? zhk|+7nyepO`=I7%@(Yn;C=Vz&7TY|IyvhDXUirJ1Yvg!zM?L7CkIada<8BA%H(1V6$0)w!Ysro&nABzy{M*5r!e&<2a(QTQ7+t3@7; zgid%Beum8_aUZ6`DR2vX3>!_(6(e9KoCmkV>ma7&iXET=X2bb#7rY67Kz?1W*c}dq zc32D#ftX5|usa+Ao$xTc3%|hn({jb$Pz6W9x$p>l4r@)%6+_@4m;ooiHSidG4;$3y ziXxZ~VdT(K7%3Ugo)JOUrVKd|BKT(K*ZLmjlk1<(bL zLm%YM$rW2e1uTFi@C1AY*4$iC0J}p4#Nc#T3Xj4&@E2@758H>sp&dHmN%#!3qj85( zPzNW$66l7v;9JN$CRc0&MNkbV!(zA#UWV^r)nf?@c7{@z z49CFba1Xo=-@>ZLlPA~%%3&Iu0GGltcnscxpCP}U@L)7dgOlJgSO!l+FZ=|noscWG zgb38Y$*=^TfnQ+L6RFd1D%=Ghz*Z*_Kg@+I;5qma>gMN)v*BLoh3yvPiZW=0Zul7T zPRa^-j$d`@!LGB3uK{!uPPjX`~GrU?JQF|AXIP z*VA)(j!Aui8{v8Q67tRVicntoA?JmJ4U;*3?Z-IF!^#@{b z89WZTiwGBLVFBC%ufSih{$=C^s^AQ`6`qGLAZIacB^(4t!`<*Xta3T!1bagj%!Nhp z2z&y@6}e)27!GscI#>=`CuISb!xymom6R9U1Yg3wSD_Dh1Gc`Jx(l7~0DKOsT|-@g zbKn(NcL{od#n1~|T}wX-H$xu`xsLV_9t3S^t{4Vqz)R2vt6xu9!(4b9*192A6vGVY zfJfm|FmI&IheKgLTnq2R`Zo~=%z#VbY1s1ST(LjY!pU$AJPx0Nc?;pdp)em_g)MKT zO@t{hAC|(0uvr)VG+Y8tzzWcpArHkc8P0+`;7#}gcDRkO;XJq-K7y@pr(c0H;UV|| zwz&gcKs{UpPr`Sw@tw2*Fbgh$Zuk_|xGPr_LOq-d&%>{<_1)AHxCmZ^Rqi2ea3q`u z55UK;`n~80#zQNd2M@s8@E7cUALAT24_3ey_ft1u4lII?VBiC^T~G&C!h5jMgOook zhL>Qyhp-RmfaS2t!;}Tghqs}i8$H8KU_OH0;T(7yR)3U!78b$X@IP30d9D}=+9s}oC)19 z@M-!GxC8WOXiH!&Tn#V4fM;{X1h@=70`ocAM>rfVf>&U`^VByu2kwAg82AEhHcWuo za0h%3g)cG=gR9_W_!&0qq5Xyh@C>Z|5^WKTfjMwFbi)ex8#a2Gb_OOu6PykAzy~nk z6~-tq9_GLzcnYjn2@@v5$?zC_2OGUc9|lw5RJZ|Ng3m$wAN>{V31eXvEQF=-G<*wN zyiVD{R5%VUg8ShW_yY>xpbo$hFbfvJZSV|y4%(aa*RUVd!aTSZ-iO?`Xy2d;=D}s~ zAiNF#z!q;4HcW<-;d*!-w0EeZFcwk*AH!NJxCe*8v2ZEe4X?nrkoN&;fMGBR7Q<`s z7wqvN<1)Aao`;`cmygf`oCvqTQ}6+pA7hU&5~jg?xE(%#RX@oUJHlu<5pII#;VW3< zQ{snt@DgnG8R>+};WhXk27XSz2PeTIxEBV0fllFV81ND;phQP^i z9dyI{Fz{>g2ZzI4cn1c2gP!4Lcm@W1i(cRuSPldGXfL4=u7PJ^)$fpj6W~Gk6ZZL@ zaNth(9}N6~`V7azE${+-3j=;+E&wB;0d9o%p!6rkSMVWh_%n42-h<75p}l}-;cLkI z6`ey79164GDtH-wfsKBnje}Y^8WzJ-@D;50J8d`2fD7Or_z*VzgEkgUfGc4+d#8fyAu7wxj3ouv76T87oxE{WO zHCD|N7v}gV*4DSbNPpu?HLkEwB{cgFj&F{5&xN z>fj`}3Lb?Opbel5;Yhd;9)izcjkO354uLb_Vdw=hFpqt)lna~y@58{g$q(EJ%i$wf zW1T#)GeqG8xC7n=Yu!At6V$_na1ZpsX6xmN;V=*Ggg)4GebNoha1J~SAHeDx5H=hJ z?XVOcht)UC6P0i*EP~tMIrtoMH^L^M4BB83+zW5Rx*MZ+m<{K{L+~!Fx=Ehc0Vcp~ zI2*2oN1zw}gv~e26Gd=191S<((-9Jm#F z;0wsxB2Ns0D8!%xmcc9V3v9Y&o+yEta5=mV`CH|Qz2FEq8WzEF=!Jn>=ZSscFjxRj z!go-x4RsY}z(TkKUV)!r;I`Bmm;h~X1w03YZ$mMWeg1;4_M`{juWI1R3YCtwAL{jpOx z0BYeZco;qb`vB?;jDmXTfG6PxSnELIf;zYm?t@QZlL+$A2%YdSdic&99RrD!%OfhY%n5E><`s&3S0w^zzSHcjQqneXo5@OMObwtHUWpjx$qpUIST#4 zv2Z=S4;zlo6GNc^I^j*Q%BdeP1D3*Pu)`SaA8vv_VANRJTzC=Ih|)H}x$ruye^8z{ z6guHk*nS-KA1;FB@EZ)N$P<&`TzC$0D{0GNGF%G(gKr^se4f|^#=|La13V31Lf!;y z7be0iSO(w0c2#*|3M_`VVbzJG0S<*TpbI_(>tO0B90te0weUFn0y`Z-T3|7}2q40sHFgF#22M_39!LUA=|g`40b*sKOWun?YxoLb_6 zX1EbPh3zL{tFQO*7Ok|mFa_qrQg{K(HuMS?z%#J>EczNa8am+-_!L&1 zO<6%X#Nd3m173sQVaqwB0p`IHcpUm5e=hYKs-YcjfT!V4*mxf040Ui0+zlT<&e4Pi z2S63H!;SDJ=*Q4L!J%+6+zubY>c?UeFdfc;2jB}>`#9o&Cb%441mk$ZfP>*wSOUwT z7aH2Jakw3N;Coo_1kwVB!*Q?_UIFby>LZMU`EVn=1FM{bEx<4P#ba)tkf^AP@ z%mt^zP4EPK4g*fdMqnCT3D3hfu;m$y;a~~;345MNeSpVdud^6S!Y@#~5S_wh@HUj6 zjSazS9n=9h8E%6*gT-!Q2s6Sx_~PtdVsEjJ*q8G~_7?|;14Tp>iJ_ucl!#I>Obizz zIALR?7$ruFaxq4X6;W}J7$+)3r5G++(P;rcx>_hG-BoMWbjEF>w^%?`sjQqK#K%W{WwTbu*85t&b7MisQubqFtOIP827J z`C@@MS)3wH6{m^Q#TnvEX08jx*`h<7!}%}giSs!p=0b6ixL8~wE)|Q!Wn!_oTwEbK z#g*bJakaQcED_g=>%>xVJ+I{4C~guri(AC4qDw3jw~5=C1K%m`5_gMx#J%D^ald## zJSZL#4~uT`hi7mta@#S`L5@sxO4JR_bJ&xz;73*tr5BVH0Ovr+j~@fvRozb@Vo zZ;H2=O~1p7D({K+MXy*PJ`f*@kHp8~6Y;6|jI#>95MPR~_@dS~;#<)tz7yYzAHKShPI}buMN=F z(gtd4YwKw1YU^q1Ya3`AY8z=AYny1BYMW^V+UD98+Lqc@+Sb}O+P2zu+Vz z+RoZ8+OArmHb@(+?WPUUcGvdM_SE*$_SW{%_SN>&_SZNcQHy9r+EA@nE73}|VcKwQ zgjS}F)JAEewQ_BYHdc#j2WjK93awHbuT9XZw29im+9BGZ+F{z^+7Vi{R-@HwleEd& z6s=C1s!h|TYxUZZ+6=8ho2fNwO1 zwME)x+G6c;?Fy|^yHdMKyIQ+OTcTa7U8gP8uGenRZq#nlZq{zmZq>T9W!i1p?b;pM zo!VX6-P%3cz1n@+{n`WCgW5yd!&KA zwJ)?UwXd|VwQsaL^grEjfoqi?Hkr*E(Cpzo;fr0=ZnqVK8~>Vx#b`fmCVeRq8ieNTNaeQ$jq zeP4Y)eSiG`{XjjU7wJRwV!cE!)raZB^$~iRK2jg0kJiieG5T0Nsvo3}(<}5!eY`$F zuhJ*#2kVFEhw6vvhwDe^)q0Iyt54D=>r?bPeX2f9pRU*IN9r^527RX9s5j{`{V2Uz zZ_!)zHhq>pTc4xP)#vF)>&NKF>c{EF>+Sjp`ic5U`h0zXezJaweyV<&e!6~!ex`nw zzED3~@6gZD&(+V<&(|-|FVrv6FV-*7FVz?6m+6c3%k?YtPW?*#D*bBx8hwd=t$v-p zRKH%oLBCPINxxaYMZZ<=(wFJC>9^~5=y&RO>38e*==bXP>G$go=nv`-=@0AO`Xl{=VL;uh2ixKh!_cKh{6dKh;0eKi9v|ztq3dzt+Fezt#Kn@AU8WAM_vfpY)&g zU-Vz~-}K-0KlDHKzx2QLe`xwOLpKb=G%Uk5a*S1sRgKk*TqDm|-B`m|)5tdl7;6~= zjkS$+jCGCmjP;ETj17&AjE#*=j7^Qri~?hGV+&(TV=H58V;f^zV>@GeV+UhLV<%&0 zV;5srqtF;+3^sN%h8VjWdl-8fdl`Eh`xyHg`x*Ni2N(w$5u?Z$Y7`qKMyWB(7;cO( z%8Zf5C}XryZj3R;8d2jQW1LZ8R2t)r2}YGM(Ky&R#5mMA%sAXQ!l*WCj9O!oG1-`6 z)EQHaX~uM;-Z;{jVKf*sjYgx%h#5y2%|?sSYP1=%jM>H`e<}d&l%4fFBmTx zJ;qDM%f>6ltHx`_|BTm-H;gxpw~V)qcZ_$9_l)u4Ariu4k@qZeVU`Ze(t3Zeng~Ze|vk zo10shTbf&$TbtXM+nU>%+nYO>JDNM0JDaobX-Q2_6)7;D4+uX<8 z*WAzC-#oxP(2STx=1{ZPEHO*XVdijigjr^eG)I}E&2n>$Io6Dt2btr{3bWE2Z%#0) z%!%f~<{{>x=3(aH<`HJKS!33klg!EH6tm8pYECn!oAu_A<_xpJoM|?iO=iqI%4{}U z%vQ6_oMp~7=a_TNdFIjPG3K%6apv)6yLp0nqIr@z-&|mxY@TACYMy4EZk}PDX`W>+ zG|x6W%yZ0h&GXFj%?r#6&5O*7%}dNn%|+&A=3?`5^9r-mywbeNyxP3RTw-2pUS}>f zuQzWnZ!~W*Z#Hi+Z#BEjW#(<>?dBcko#tKU-R3>!z2<%9{pJJagXTl#!)CYni210w z+lv&Ckrw%`eO^&9BU_&2P+a%|7!x^Lz6L^GEY1^JnuH z^H=jX^LO(P^H1|H^KbJXQ&^g%TZUy?mStNx)+*Mj)@oL+m1nJPtzoTc4hSo;b#?~g*rq*Uwfwj4{g|(%%m9@3CjkT?{owdESgSDfzleM$8 zi?yp&XbrLkTf139tlh0WtUay0ti7#$tbMKhto^M6tOKoxRb&mdimei>)EZ_Dw?a9c&$99cmqB9c~?ARa-Sytu@J-Y)!H1 ztf|&CYr0i$9cj(58myUCqt#@^tfQ=EtHo-y+N@dDY-^4+*P3S?Z5?ABYaM4DZ?#(| zSSMO1S@W$0*2&f>)~VKM*6G$6)|u8>)m}=D>lN!&>ox0t*6Y?A)|=K_*4x%Q*1Ohw*85hkwZi(q`q28w`q=u!`qcW&`rP`$ z`qKK!`r7)&`qt{RzO%l!ez1PDezJbHezAVFezShJ{;>YE{<8kI{;`Cu*}84mrfu1_ zonxh>D;ns&ZDz+TH9Xs>OrW3OwkXRmK>U~gz|WN&P5VsC11W*69- z+gsRM+FRLM+uPXN+S}RN+dJ4h+B?}h+q>Ai+J*KYd$7HmJ;dJK-oxJ0-pk(G-pAh8 z-p}6OKEOWEj@U)^P`lVJu}ke?_HcWIU1pE8N7R+P=nKVqa@tXD_v{ zw{Ng-v~RL+wr{a-wY%(P_HFj<_8s<}_FeYf_C5B!_I>vK_5=2V_Cxl=cDMbA{iwa% ze$0N{e!_mze#(B@e#U;*e$Ia0e!+gx?y+C8U$$SdU$tMe|7X8$zhS>=zh%E|zhl2^ zzh}R1_u4D$59|-^kL-`_PwY?a&+O0bFYGVvuk5ewZ|raFKKncSd;16bNBbxHXZsiX zSNk{ncl!_fPx~+XZ~Grxi zIXmR+n6p#P&N;i}?3z=UGbm?p&TctFa(2(zBWKT?y>j->*(Yb;oc(h4&p9CHz??`< zQO?ku;+&G4(j2~I9_0+#+DY{d^{sQ&k&qk%dEizR>Rjca^25+25h>vurKJwHcMezV zkC;4{PWsFRDR^Ft+k00ew%Cg+L!P2 zxZqTlgg~vP+v*4WKfcMr}#;+?i7ji#vLje)MBVeQYod#1obSPgJmeyl2YH( zie*<~YUlwi;vA;Npsx%jotiQwoT{jh5JOZIl**>7gh>vqogz;Gji0)gw!&u;bc|ty z{GtI(NIxVU!Q$!l4O7OpwekV6w9%%KaWa@a)u9r>_L8Y!-Cjk>*@n-~rkEU9am>`!JeA!!5-+^U5SQPxeVjUn=ofGL) zn)P*e370XPvzamV$pTLCy468yCrluryJS}<<`H$ZvGOL0yQw)mX+D85O&kV3W4t_3 zKW##@i>Jif?*LN--JqoX_*5D&$q`A8QfZD(l3kp@${P6!DQBQp%5yoxs{u!xS2ov9 zo>7-ZV+r^EC@ibAldgnViWUO-%^>Fn)H1E4wzbx;Fl9)NQp$1V2;$n-$ zPw@*nA&lWv+Ng6FZuYh*n{cp=>Zi1m)+op9kLIHx{uBmu5hmbd!E*^W`M4{m=yK$8 zvl{^)1u2rB{u!U_u4=p2O(#2MOYzxfU(6(35x8Cx5}6`MNcrRNSKQPrQ?JWw8`Ira4T6(1 zWj)>)%gL7V>VDS54M9rzbM6;OBu`pVSP-GU!%e|Sx2ok#wVnFs4^z6R2vbty`0+m2 zfHh~52r3dysc^lDnLcJ$B7F+tmD|y7inS&3mn0cX5vvb;XF9F&9p`YxdtQCnmmls( z2&bee=o~LZ_j4bSh&$F%B^$q~6zz9$S2$FY;LS{xm!Lz4m*nO^d6+ob&3$TE6DNi` z)lI8o_LfHP926dO4a~Z{6&|0?0v?hK7&rOtDreN}MCcZu^I05!7vN5+JiSScxM_@g znI;?SVom{9UorPd1Ut9prnG`iP!)V`LVgs-AHD0sFs`oE{q~XHIS@ldI;lkV(~7w9 zIA)tJ3$AJUoJUj*DN^T=N0?)~rjaTo6u^@s(xe zUatM^w^T{Mv08o<*s-&cvT?4Sl6)i_WL^?Q%Kd}_QvD>{QoL1{RhEt`svMg>!eoiK zer0);j*%5AJh3V6AwCl+OGP>%q<1^PkgVvOFiG-7HLBXG#_$ooj&BQ7}vSZjP!62EU>d;k!B&VcR zf>c-EDnWA0DGLFP?~qx3z(Gx{lEVs=s@v%L6z0qVBaskq%td{(^AtbvX?Ys2&c{g8 zrHR0m4fy6PZeG-c-ZzC#i8wexR?U1+#vP7_<;ihUiAweupL-_f$D1&vgcq2Y`I3-s zQZ~p7$uVnxJlSScgVN5Z24$WI4GPRnd{M@S|H;F=L2(zRBR(ZLw-Y06C&SL&q_7&w`%Z5m?AgmA0h&fiYG*aCdwalua2#4GIo&d?t`)Jfp%N?CToccE2L= zG0y`J^t(G9SeVpqVWD^*Ddm%;KIf!B5<)}L4x$*Z$tUP)pz00-vkE&itgK@3acove zZ%&qG15406)>-Tf$A?c%Y7P{gBNyGO{X-Y4;^63f>%a^vKv1ht; z`DPMn%P959w;NibIY?=-l85DC@%udWOFTH|2n#XO1SCv8F^veHZDjV-pG13uecvP@ zEKpCZAEg!-_3CtD`Vbm&$Xr6nIUR&sW7U8^_#88hken|#BZv$Fi9v4~A>Y_PjYRSj zyL4h|Ae}Wnr$I}Mw=;&8I`mE>9v@7{t4^v?-KR0xB)rEjX<~97(YViH(#iN9xvZ2( z=t#n8$(3FBX8=yXiHeU3(mf?nqDkYBbi?>CSvlzT4~SFqghYI7oX#~qrcEc1G-3`F zOAL|InSNk|ojFe5Dr0D5!6n5|5#MMw)qNuEb>l4AOk`*2?N>5Z2PNjZLY0vZ3*rYPmF10B!hROozD`9_n0Mp zx=V+$TP0J0S7%XcwWHU)(sp4(i>k-?hq!yG_9xMJ`=8;*Wt}c;FQ+jG)aE3Sz55;S z-u;hv@9gmo4H-<1P}9k{OVV)8=bAJ?lP#~~)oGfY*Bp3|s7B|}*5=B(76t~9LTA6v z(C`LVN_*i19s>+5ITA=-k<>o8kw`CTqDbSRVQ52b z;|!mQO5>`F#p+0HlD|Ylq*OF$uo_zw^;1@ARaH@U&Zo4Q&2Nbssb-BrraP@y@Ff~WbDoRUUG(rs=i^mnyj)z*H9HW=Xm@~*!6qUKkmsS`e=u=+$(BeX2!6o{o zGHDV{zgJulV@q~j<22R`5(3quq=d5f;xyILnenB#%BrHC9i*>}%1>xjR323|EUsWhoN|yTc8sL#C^$ktDEt2}k)K!$$U2)l z)M&7{!7r5RD7B=DD`OCTspK#y)^Zfw;u%n~^#n>^Rb|gds;yLy36XASkPTa+Dyp%f zQxkdFh*v$+w=pB!7Nly3T+c^TZBciJGVZM`zWsw3H?+e4+u;6>LX+)N+5cf!|1zlm zk__p$|Ix;qcG;V(d`YKz|8GUzukij$?)=GICsnGsy(*9dgvPYe+;;Xj| zl}>`s>SRw{RI2*xQuR8aY?x~6X`q6gqniFWJwQ|AEQYw1O(jijyoj5=L2%Y_g5^-8 zR=9~HeGmcV_ah8v0-Sc>6dHmv;__1QuR>4{8R8iU2_kzwvZkURL8K&u3IEFJ!X1eD zl<_Zu$eN7oS;?M`{)7=s$oPe+?sD6`;rPjsVlwLpd9m?uFbq{8P3!TctB z2+6S*a)UE5Yw;ye#w-)5I+K#RhPqZJ7&9k@sU=NmI$4t*C)75yxi;@tXBvOX3QFpy zPEDTSk8#(7d0Rpr)iG4g3mHz-H?m?ftbR^h{XvsaYLo?)&6`g@u6Rryis3s)sM?z% zN6MYD;oUiOq@F>Sv@zrJ)iG(Q@|o3tct*b45VhD_(iZcTi!2jaSEP1JXU1CRrt6m7 z=O7_6zP2nNBe6jCtX$oXKYn?x?ofhRUW$$g2o)5LzTxMpd-U z%^Y0fZ(>*+Rt|IOTipYHB8j)jq=7n1A-pnE*W6g!AfM7o|IwmK-cs}yx}EhIWHrskO}rzRDi>_Zbz-Z3c|;2|_Jtd6FL z`>e=mZ5{dQs)Prg4@V&B)w|R^C5ecJmnU~Tx(SnQLMeg-?c3x;#> z!lX&fb+hUj`b|+SY)DfZmZTBRLkkr^OH)HzYn`g2{q&viJh_!;u72aJP|qQf7KCLq zJZe>|b4ia`;&};a zoRmd|x;v&O?NT;^QlxF_)VgN*yf%%^B{m_Ym-d;Q60fdu6;WIptDVdNvgrd?&sqpM zTrv=FT$I%kHU7>Js+T?4Muf-)!{skW8EU&nRKB$x*p?wz@kV($lE)~Ht7}zVw;J0@ zS+%mQ7W*=asjVOB#gZZ|DpDKFq;i;1FaQq@r2+*Ll1KmCTRpE*sdXQEm&6q$Co-87 znL#k9McHfkqo}S@gI1ONlsJN3If>RQ0j3&WOB3H%Qc)xy-Hn&`8A7-Zm}R)myrjH# zj`N7o*{)7`gl(RYYL8ox>#@FZB|Vm;*Hq}*ms)`%rAht*xzFs;dpp|qHZc#rMoo|n zh3|w&^rHrEUQZ+A#H&-glP6JX6jSP2YC^0c-dQKcn=&q+V4!)bE^VCUKH5^FZ#D6h z5jRcFDCe9c(GNi{KzGn8w<9EHMV6|U6B@Cy#wm5~oF~4cKT+&5y~;uZ36D30;m*#i zg4CAIsmq+Ncyc+DEkmO$U&anNr?km(?Aa)H1VsXRlp}zQ-9bRwE1lFXRH`7Nh7fM0 zlm6l-B>6X%NkehAWRm}3_027<yq1C;V2nPKXJ_I1sU8#X70|w%ITksO&&i|;HQ=67I#R@&@%t-Eb``jl z)N4_lfZ~I&fIcUdm6fuV!*MA^o>@8K=_)A&l@M%DC(FjCt)3~!!jyP||7O8fO`cFx zh~Mn3n$L6cTB5(V3T+YHF{X++*>m=o6TW2&F?OmlW;KCGJM2l{XR21Fe__P?+01?d z8AypZ(UY!BD2Yzh^);HKr~hM?`w>KPj>EmIWQO|_NJ@U)F?i+zW^xqzcu|_TV=Da$ z#y{|JpT+;zU}a4We~!8mX_JBci-e>W&%e`CYB>KliVB8HCeuA~&aA4CVA3ngIS@G# zzT`(co%$p|8iH>I==Qc=0Fji%j|vt?8AE&L`NpStejmZrkgPs}dx7K(mxZD1r;y8Z zB-NYvJasfLv!o28+%clNIn7_l{&BZ=o~?3^A6%n)K((~yP9Wkga;W4VsBrFfZG)VJ zmj!k(yV-Ios=M+SS6+GTD=_oOPUzRR;YIu)B))6foAgD!Fehp$JeeFqHJ{IxoO4~mhjcQjP@kG zRMfGHP90O8RIe(E)T?;(1kt)?&eLXo+L(4XvcI*8vb%383#=+Zs>$-r8{N`!7m7G# zbS7)6N+_@%MBd|zVQFOJi$WP>lU9aYW%#~5L{FA@mo%6*_Ygw$oG!jjG8>^1(ngYlj=A-F#Yn19BjC8tJ(M1spaxvVfr-_s=B|ckiCvP!o*uga^IA9ZRcMH z=|s`rzyf1}|DyzE3o~m90|IW7?AM&sywqE~lMX1WKfcul80v_(w;_F@c^zW7WFV^v zQMRD9)FrmBkDV$fA}wW&^4Uw$Ktrb1S?Y-I6j15vcM!oOsKKFULUrMRjA>%9|DTf* z9!iGP$c^HGwT9qYgxW|Ra8Fr_kgEYrY({auY(O`rR`bL9G4_R&dL5bLE71C<^(Xs3 zpU?OU2rFNL{VDzQ%1ZGau5JG^g5P}l={-aIt+mb0jL^|;$nv81%7EOY!vzfs+ zy~{FEPBX;_EU~7QVdK$IxvR!2r}To!!!@5L=OB@?Sict%-IGU}o2Il)Zk3~;_(0E_ zM9D%)8Lm4GxVPf^-vW`gsg{i8V_CKvsIJV}OHkn~{PWUmx+ka3J_H0ZE~q;z%hHCk zOUls=QswF9Z}Aw@4PqUEGTCCw*FzFjG4bk1Sy@Y|+}+}Hl#h0tCF(L~L>209OuA4V zGcT)bD)NOX_p&*AA>yZ5CC-v{rsVEk3+e85ETLUBCg5!ANGBMthyh79L00g2r73Of zYgfe@zJE!oTm~E_?%TcOi8=dH{C48Yh3lBI@DeH#Txp4m$X8C&4O!5G|II+wZTcsi z81g2*hnn59^P*^WIcYqql?@Y(myOy*nl1I=()~?-l5f)GzAM^~gvBL!8A5LQO5XV; zSHje;FZGN;?e)#Fd#kK*7WvP57O=18;@O`^LmWiwf^ z+{^0ucbY)?hN6?4mZAps)A?$j^B!s$_hZv*!|u0CuCEWdUmWsEpxj!o%)h0W;{^Qe zh8Ih5GbamVPh?5`G(HIBYg^pJmo%wOu-+Cp)f&n6l7>ocwoICE%Z|`Xk^AV@Yq`CV zs(fs$o>#lUIGY_qrII@|HHal<&r4a%DY|q)r*BGIhBw#7{BP2F>Ke`4rtVW@cgC+C zF_*QBZsO6O+X=-NDZS-OwV)_vX7h9~Rf%%_kVIo8ZUAW#oLMf7HpaLcrGv?h^4fG* zc}CkB*|nIO#0p-PuWevob3M}}FPUCv%g0VskykW1-w*JH0Iq5nV6(G6HB5QXQMsxb za#$Q+KylV&%38*A@Isij7+uu|)_DC!l=o9CZ}A(`(JN!XIaa{bhhpcSLBMO zv$amelBTG#%cd!=mbSXFsJOXazM$%SP))_-sz;9Y_?WfS_voUA(9wR>F_s89bXQNZz<(8qagr$N~QOXu5{GDYtO;TB@W1Dyc>0f!Pu8N0{{JKa= zDlk%Kxj$rkesz^+W1>nW(w8WWG=jm<MCZ}#?-o;PaqgUa&zqq zKDCV0WL0*VP6wURVdB|Yc*rCp2R723FMl@IHqZ6m?DDSOD;Lh{Kd%rMynyy|hT5*Q zJ4*;_794AA;poMt@=08LHZG%yH-zP!GB#}V*dlq-m+F+=Ly!lTGb4yQvI8mLIL38! z-jWPBRgZMt+{LwkW0}t_Im~J~2PrQblOZ{tW3pG3930aor*=kNacv_XyvCkV*CE3n z!a7^$%kk>yH_0SqzMPj7)Y(=lo9^&TT6=%|NfS>a7bxkKi6H3Fi(yP{D}&tr=G^n; zG=D3f?`-}ugda?P*i2h0EF^-UM=yq|=K5Coo?wO*jLf28!OCZRi7qLzl2tw_q~})k zuzb>Fp8r%frJnrb^$Dtua&AycO;zJBHxN~ut1^kyPiFP9uAx@Feq2&IT7J-u7xYw@ zT3+A4BqOckO!ikNcKIi!0J5o(-DcnIYwkN;mCao#SmH=$=r`&KZqLHI_*qSUc3g$>4t)jnq@9HbBt$@ zfrEEeCP1%yc9!h02cBL3i(q{fDosMGt5!0lDM6-5YIwj+j5K*4AC= znNqr(7^@fiLe_o4Ht#t7##l~rOc`Bx6LP;}U*B*Z`Z5~W%rWBU$o2|MkDdxE6WiCjOM>ML#4waM2gqirqI zGvp&av+?B8rramlDp!A$*4FG0^dibn^3Fu+zWnmh zL}$|D7fG(@Nn+^^HkCoJ_?uyL$t_AwJxdwiTHnASD#})QO33PRn*N=qZeio%aUq@pnMvbIe#E}6*;`=nuHHg} z^5lE!FZEI86N;zU35F+It+kD$-TRSrQiM!+a%gIeU~1x^8b<>&n`pLHRs&f)xz#aM zsy}+l=F1ISty--_!lgzS-l_4gyXLj>z8#gVY}B$Z>}RT_X!v1^p=r*n&#YPQm-n=J z&g`3~n3E9E(xa6lC)QMt>g=@T0C?k-QO z30g648Ps_Pxw~zkdk~CrkDvGy?DEt}F$lEHtjly5N%*?1tJT@8FNX}Q)q$|%>in)r zy`m%0=AMBdA6+Bhtl-EkYti5#x2nVTo^Qur%AoCby7IV-oF0dGSF4jwilvvpqx5uM z21iEl*pL5mB&;?&$K;7ysUKzVb!27LV+IdaRpDPmQ$0q_X;r5BnL^nR{69{ej3SXT z)jRN*HlcP^YK-;5)Sg^wMH4t8Sq*WVe%jU4n5HVMsA=~9PX+U@qf4k^rGxnQ1@*6@ za#LC{Molo1CK0?Rtq$#$Q;CXj0hwIoTrs(}G08h!k8-M=Dwn8y6Bmp?jm#@>DPw~~ zVlWnWshgZ`#Y^p~NgZO394V&}@pG1(0jXa$xm8IgFHdVoyK6@d7GsGRkR&DB88tzvsB4%iHzqiB$USl}+{HULO)h`95vs)E|oMdCe)@S>4|UQO^x z`U=M{k#5KpDw93JcyNfOiP3%gHSO4TV!Y0UNv5lHuB@s{tfow3@hZ?JBz9MYXQFC| zOmX>Gj(t#J@K@Ye0)BnD%Irs;<`c3C=PzotkY3v|gEwM1o<}`GWoaPYt7Ed(cm>OQ z9raV)lmFwU<~04Syn#tEIBN{@q*$+U_NBbcGo^Gp!2GFU`F@%^N6;>p+;XY*f0Y9iu|M5a{4g)$@~F5`>b_mgzU-C15jnnRX)-GPQ$ zlUIfjtgY&P>Uy85$^;DhMQ;!AO{dTJv{<2}rTSBQ+dn z;ajD}+3ArGkt^deM=C*yko+H_^D?Bi45>i;u4(+YB=4L_JdamFxSL!O2~lt1rKH1s zUn?Qz)V)+Wf0ERkDMcjH^Z$yr7Wo0WjJB3$)0?|$Raw{25Yo`7wG+QvQY+)SH_zk{ z)K)g_xnC6unO|3rah{K+7`A+7;S@02AVN2l^CpDzWvt-G{$yozUZ4$o8Y?ScWmED- zK4FFbAyUXYaMMXrvZr|C=J}FOxaPg$go3H8mlAm@ArQ?38ga*-9eZ-&6e?n%Z8NgcwX^J z|KQdgY%vb@hLD-Yz-rah9U{wTY0g*h9BW8=O(JfrjJc>~Lz|q}RT7W2CuClDQe&J6 z8J3=0f$+sAuclOGd^w?NrCv^`%KmafRmz5>ShWjtIYuwy(f(yx~jGD>^lDyvYEZpV{oKCy|E(&*32303`h zIiV`^%L!GPUrwkBeQDNxdQ(-FW`mj>{;F4=sxrQuP{qv6*A=Q^4DEz8gXB(IR$A97 zd2S!vAdqC@u8g#;76$HOa0HEgU3m+?%(mC62cLRI)fELR~}UrwmX z`f@^5@|eb_Z)dluv+LAXTCyQ?6TrS$_ci3?o;LX%E{ckiIaLWuPw^KK6Eoxq3^|*c zi=ylWP)8RJou~%E?v6>h1y`LU7WQdfM?&;d*W@m$NXjB-9dQ2N8TjEPnLatfv>~-Jw z4Gq%Wu~b`~BIyq>F4Z!yhIEus;De4Etel;VxdU6`(hhWRKa&Q z+;M!7Oc?&BOd;U%TKCa&N+e8d-A$IRnA$|-_^N1|q`uSYrq6x*(u;sr&5gh}R+l>n z-9IDh!#VPSn70R#rFS)`Uy03{BqUqtK6huWHl3fuLfrqOLSeKe*)jD5XPjZ!WjQGm3y9p>WYdtt(fcs!xy{~`Sd(HFN-C`;>`Zz!r9XjD$$Q*c>d&DZR4C8FZ0M}clnfjeZ4c` zcPg^{TZ(5%@T9tF^7~`najJo35$|ppTm94`t@A>G>xK49R(e{P(QF2E65|~Om$)DI ztKLQU|JXYpIJu_#@1Olcvys*yDs7_%sphA_-W5#$15N2 z&))gobI&>V+;h+U?>eh~sgpiIT6k90aQQf0ay^IjXZ5(sF_T7BPGq{&hO7r`LES}5 z+u+Ut`X=kLoNc>sXz(tPsYRNftLqwDv$qE8-h12TAQ>zWZA);D9&r`k{!MC*p}9%* zJaalZr|@)=4LvNVQ(PvIGiq9A>c`VZpIAPc*C*(m%oy3f$}LUOU&}^)V)?|tXfDoi zQI1Jdm^5nAapNY8o#^-LAxdq7V>+^3TeB0+yakdq$;@i$t|jQPd~Jh6pcKmbRi6H@ zW}hcrerAAOE0blA-DY+L>26H_GROXtZ7(4|n$NS0nOj>QN`H|jJyg&UyeWapC|ONK zj}vS^X6=~tXhClPlK!lEeXi1N?2@{DyjFi5J!>Jdx5~K_I}>I5_=YS`-y4$sYt|{@ zRD?OhV08s%5MqBoK}zmCeyL^F)|Mvo!U(wv$KZvlmoAB9cD1!~#v-R+IemcMO*+NN zickMo9qMT^`H?vZRr6`h?16z>j;cfXvAKzzxgCk+#o7|%!gjSZRcXX*P@}BdNo(b8 zU#T`P+gYkcWU_ABsrb}MB0UH9OPF)0`UP9o4dlLxQFM@!p!`e?=-;eeQ`T>(6RP@t zakm80dokXRWjgq*MD$)qE}`iJ{Q19G&CvcUJB;@FiPKN#>SnrOu^-e2w=_-ZwX9n? zeHFT0)X9A^liNF{J4IK?ZEAJ;Daz@*VNwP$W5n?TM@79>#ZJz8Q`PVoQ>DdCD@>M;9Yb5EzNb49a^=Vf9<b2NGq$KQPa`HfGhodQuiQT3z@hUr%&OfPVT3k8I^5UH%Z56j{Qc;dw_jsEiW_5%<8aJ=u5MDzpWF`ib!t}`9XRg zmp-1(T1pGoL1)n4v}Jdd>0GpgQbU#Wa3pJf9%JF*9x|erVLK|98mMp9&y=TX$YEvY zL^!T%*O=^8U9VrF)m?w$7L~5OD$}2Eu5SB|5%df@a)jVAWB11gG9xu#@aVu=4RuZa ztj#rj@2u{(hv>s6MpLtRS2+nz4vd;Q!RlRQ%g{+=|Cp|=^zU=!fT-}UTSo2mPH~b* z`p4?#mg-vlZHAdWO|QT#wxl!rt(pD$&* zx2MM2AZ2Jc&J4zEKiQsIBZZt1x8%~2K7Q9j45<)_tkw@r*6XLLQn|7=GuEA)U6CbL z={`Zi+vZ5#IeM^$|FW&>{y-9zv}MG;WwvtKeOh{`VKv2$g4Oh z=1ln@DVufX8y`6pGDWJm2a%>;Y{5-UR#N81#hkXJcTTCUGkwazEJ%MXg3GM>1wFhF zuf8Ufx|t=lud?oWBm3^LIU^poIUL4P-G{oRJ(_+dd&8DK$gDx@YzuiF%^8}k-o!CT zjxN*t-|T%yrkY2)MCGjyxq{o;IJ3d6qhLF;zS$u8({K9CKRDHILa=_%=?x+IFTEvvXkG%5B=5=>n`ao38ep8CaL8 z!jMb9J_RN@laq<|W@UKsa?AtD1=pq3UpWQ3tCbiSdT&c@^ z_?QMnl=VLQbYXHkD#|`}7-8uzoSIYn)ON`R$8I)h;u;UN$tfYwI9nQM{MP zAwBzgV2NXz^m&X_0kf_*WVXABO?5n}W<9B6zja=Yqn+;WwB^KM)@m69>a1Dgey0@V zOdK!2laVB~2AdeRNZ?QT8UzVhQT+f8o{ zmtePybQ_+Ro9$cI`YaslY5V3fD>rMV?Pwd03)N5Ibk{7bCmV9}+oM_SdDf>#xih<` zTSQidb7t<*!m|?4R|Opx{jEL~Vy^&u793aHx04g;8*-j_N%s`GF=gc|ub=pKG9_Ie z&#IW}nOf7@T(B=G5b3rN=ck#8%-epeYii}vO!k==8TZHyzN4()%nR(;4!GdJ!vWk0 z)UIDP$nG$GS|+m-FhOmN+2?I+0i4Tiuc@vLb;u4ieGUECQTodOvKT9X6Wsa(0ZtM1 zbL*>TFdpNsjhvev*h{1bbm>F4>X}U~(`%YYSe~e5so7^A&EwLt=!T9Na=t}>(LCo6 z{#ffp@DimLn_YZav1Vjd9jZB_2Z^+WYUVUobLiRJB3W{(%C`2_>gn^U+v<7Oh5fb_ z>((F1$=pzSNmA_WU7hvjClZmtOQE}d*iJIffR)ReoHB1-(x;;SJBzZ72kZsQTMv39 z@QoDCtTAp`(l6Q7%_4O(nw+qg^H|n37ixfO3Y|a8 zWN!AA>+0qhr2A!bGegc&^aT+LBA2+Vg`|cIY>Ph+etjdsSc4mpuRXOhVT*^|ksm@`c}nTnwa@XwZx zJ;Zj>IX8+EpY?N{a%C&onf4%iu2M0zv+DHiV(G$h`-Igb(mAT_XieRZl)fK|D}|if znNrD(N9^f%-F9Y|Q#&W;M6c7Z+EiLzten?PH&*AH&hl=vAtUK6$ZZx`30ZNe`@D4h z1*TZ950`VSWWZJ|`D@7F)bO9r3+C_0tWRyQ^~$eQWA;Ve%qcng;oKWHQVrSqDX$kw z{XBywklcT4nK6SqZ1V$BXO(7{0EeulLK-=vkZDM?fN@lWtY79y-+*IQnJw0KaZHCx zA7V|jwpBAnbNw87RS`dC?-WgK>(ka$ADbx~ed@wn=1hL7rDp%`)Ru{;PaQ_GG%gXD zttT@yAjIKfL23~#oC)p02GJ%@1!nCGt9j2$*3Fu)|pAj?wfDA!ZmitE1iOTC-cM&pOS^3jM*bAF=Rh4kPA zMD9YY6K9!o*6D$#87`%(DK{|Z8ccq;@bZB+7e^>lgUGQYdjX~_O4-I1PHeeC#&qfe-=klU{HK1$}dee^H;{5@Ha1xe?S z6DKDD?dNxTbVaw38@^_=*6X{mvp17ePW8<%gw?n5ineNfG$O^)$053BmOwcUl;*($ zo~hrsfs=lOf7}aIP_DsrDInV2QfI zW#7`1g>v0Nx43j8uom9aG_032n^P0{yI_3|p|NdpyF7MdTLtzKc9Tn&d3&0{)a+lg zoQ&HUSIVk$X=2s2=KW=CPp~6XT z`;WFUb9!r^A?HE*7ftfrowv{e4_c+&Ng3s*PUgJjFmF|p7qt>Mly=RcS z0UO&=fyd69kiHO<8(ondN^0qiExX6Hc5OVD$d1djkW~82l)VV&In(zA>6|ep;vmY_ z-?$Y)2WF?AUEoX+bm;aLAvII>B6?VaIh>VsDTGU>s&8jXS2_!qB(j&CT|Ad`GNsmI zMVCzI`?}Lh(~*UiRgsfw_jOs3-5&H3dfFbc8-xsBT}mNaM!FCZSFj9Ok=>Udy8x1U zPxGI(CFJ$t*=sSU2-beBr^T?Mx4$Uq`LY+(leW#mqyU-MMVblrekSK$nXDq(=FeVu zZnfkjl4*ce3VQ2wZ5BB*JLEvm|8;XvU@MnHep@Hz_h&ZOA^z-bJzb#gw)lc+=2lRl ztv@fJ?C!wIP`8~zek!?nD7fk7)Q`hD>TZk9&p>(;w~Em1w%3z9biYY*!{E*mf%2 zSA3>yRxB+qUBk{DYW9vLJy&*FoM+A6Gp5%@dV$#$nY{+n(b)^inI%)4bbR+E%Guf5 z=geN1OR2Jp)m`hbt)3nWDw(*jCec+qbmPd_pe9eB7 zd$dDpSt0K8zltO=XOr38Ra-SvDT}VVn zbK~6YP1R+dOtO5fRNrf&PrmA&NI!9Hn)0kO98P-VoSCq0Aaab9DltFPc=HYlvYv0$ z4cT^{V3zwF`aGF=z>zj+JITBQBYOt(&Zv%XacBFi62XrorwU^O60O^ohQ=yrod|PwTFSDth zNC`r_+&7cUzGAJb8<p1bh0J2ROGIvI;erz{Tg)1}<=u0phup5gdb^N3HK#uhEyqQv+syKA zp3|WnJcnJ=s_!MS-ne3oC-d*|VSkdjwcmcFN&J14E7S2y!ZRwcphiFa5?M|-Fv z^^}h}2Ry^}_6pZ(w~k`;zO=stKsK!Tbr$rCS9+2JFICoWqE7cm zxrb2t<$(I>_q>Bh>-0b>h3pN`AsN|gbdyHvc(A+NxRi`;p{GmeHn-B)TozNPAaazL za{-$763}YRt7k(Jzy_8%Enr>L)GvlN@8QpnNuN5%4LhTLp6lYI1hTbCa&6Xa?`}Cu zhjL>`_NI}!8sbtJ%xc|$AJX%>-!GK)d)A{n){swURno{j=ADRyuBC(f zfb(-9LFt-s3({B8WI;2y;FR}d4MR^7N!PYZ%!x8wk~A264L&qKBl?k(0>8`fHr*CU zgX6Qj3Xzy}i*t*y<%7?rIi`_W_ax#JWIcCcayueFk@T8jeutkWpXoJ2NPYrRqv?|L zWc)38k+=e*ei^W&8dP3r-r(OJtsjWXuPO5AJSdRN6rAT9d6G0$7MCSS9jB|%J!ZR0 zK)THH&6l9Sn#(F_R$Sg{ONX}b0J7sMOLwYGjv@LHI9Y*h4KlLJOCr6Uy8JFJH@%&< z6*wZyteiqo?yIMpxxUdA)ZTIi50>TCh2DnmsdOAV*z~?tQgHZP7L(q&N{qw0 zr5$I=h-PJx*oU4G3u%*;NO z#!VqP$E%rS^Iy1~bIP?)Xm%d>L_<#tlOLL!)b`}7H9y#vT;Xq%v^u;3!})Z$bEGUt zpDk~-K8qzMxwGFjp(|g$Yh=9_fy7Vbl>t_@hNM%@eIJ^l+zvNS&B?l=JCaD6U>AbNH4ET zw&jST+a5m2$}B&n-*IC9A@7;g?9C#RcwS)U?2)W~9{147U8;1KGbi-N%p`H(B?JzIJZ$2ign0D`Z{gTa^e#1o}F%IaLAKfS?yVN@*~}IQYh9T z6M64cviByuwkhX*O7>s#-Y<~;kwJ6)nf2+nHnOLtn@gWq&S`-TXJ)OQmr=t>Lx%dt z36B|I-mN7&AO6a`dPwi~GOr%8y@kly-^}4LW2b{kYlJnc``h7kGEQ-+9d27S?*dAn zLC$q{FO2I{^2YSM9fx%vu-sDFraw;a z8eqK$+HG}MZ-mtu>i(6{tZ_XGWnWw9?b4Zcmx^|Ko3Yu)tP)=sHHd>$9$sJwD zc|>VM);ACI6J%t#@VjQK^idIE=~kRKXvt32x&Xoqj&BvsY4fSX9b@yh?H)#FDkVLG z?Yf?64*K(wZkNp~QuS`X`ex0j6EAeV+&(Y+k^;|b(`Z~yPp8wc9*9mi(e28+Ci=ru0|tyb)cQhU>RuD8o8_w3^cKmX9-vFQ4sR!7ouwL8<|TT0 zJ&e9YZMu$b-=CLG(BWk(Y^~NO2+~7f>-|F}ZRW_lnJfJ|Bi%Y}^+{CL%d*meI(2=D zhtlRorQY|F6_k48QeKIxC+M?Lq>~CE$GLYcj7i1VUaym#8TJAC%qHpBVzRPg58=H^ z&78`vvAtW)svn){^j5^<<8F0pl|J?1)%>Fj{jF8E9lU<+QQk$?V@K(eBW-6ihVolt zh1GiaP2L((Tc3Trs8?&wZ`Pv_rdO5M9?Bjz{ldenw~P$Ve!EEaYe}plINLi(tnWKn zJzZA1=~I!m;LI|mVP$607pCXb@amULgtR}~teITt;^{Xx%11D|n=9Rd^$jk0El!{L zvCc5Hg_?L>x_R?tiq=Lg-y(GwSPhYn@X4KFgSnW^kV8)EIIJw%nz<;~#!xQA%PD#E zLBCDX`oM>t*ZOQ>UjC#HFf+IFX6LNeB-*d8kCQ`w>pF$g=?ZD?sV3jv!cyeO>$E9YBk(S;YfefjUML0Df6wRR7(H8pQXtH_Pr&PHJ$-KTqvoaSoX->utVbJZ;Q ztddJ)=If_D4z|+nXx2~MO0VVk*snBV6T`rUabfByTKjXqc>(E>Q|8Ivj%LQP`ka7E z5vnpL8q#O-SzgxLl%%tj)6gC5)irJMR>%TdkNiXBRz4X!jo~$qB-+;A+SV~$4t?~Svh~NytQ4)mja=I)aF1wxi^sRGBgwvI5GdNF$I9!Cb6~_7Yl=S%korsR1 zSIIp*?k+0byW|8q-u+RPX;&^+qiuD=_Ai5n{H-N5c-Q%Mxx*nlH*yW$aZO}49|>_> z6`AcsLY&t{W{Z#*r{HSg zXY3Qrb8Sqb6nv^vK0>Iiya834S(#~a9YlWr|Nnjc8t^{)op2G!^!rCI%~Xm9b4)(H zh7W~GO>-HCy}bU(DXxBQZM}7_zi?bzh*w_9*U0O5GN7&AU&r~r#-`NyPHV|d=~qn| zSFXn`=9oL@dKzawy*?^1 zPBOqZ#Fg4opkA{-w=QFl)LhQ#r@riNkL>EQ*4x~_TU=!5v5Kwwckdjg;(M9t z4bQr_2dgVFFD*5-yy#Uc-puZ}evTQS=j65C{No&#evpOHZI%E$0Nedl`9$@9BD*CdJZpRd?z=L7f%i55VuGB3+F2pXU|_~XDj_hhB3oK4z@Db=R4N#9>acy zUc-TgzSQpy+jZgfF{b&<$~HE4YXv)%Gc*5j9W||W*0xifd272%xu*`xz7!~PWTyC) zbVF)H!F)4^%Oa)jWx3&AR{ewwH=6W<=I?-^-*927zRcfNEi2X4$9+9#GM!qg^LjqE zrfzgi8<#dy-D4))aXXpN(bN=ZVL(xDtC!R~-*eB;SZ?UbU53+olcc25`6p+ZsV(Px zr{Xe+`W^Gja#P*1+wZm`EURV<<;%SEUkAqbw94y!y^r269bmYhp;J2YH3Yu4U9aC^ zYyFYlxj4nQvM=Jx2B{g^o46d3+R-`1S65Hq@%6gu`gCPy?sTw9=Ukt)+{#&R?efyI za=9>n=jXCMGTWQA=Cb=wIV`4{Wt%-_ly7d`ki!qJ89Ic{N3UR~a%9rW*_bBP^DUaT zMt!r$8CC*$^K;Oy&v)crwlo!x7q8Fl=kIT)%&KP3>rb7vOA z?C7Vkrbueloc3;PZ}VrCnQA3HoRqWbii+sDoXVYE*{Sqh*ROSBb|yonNv4bazpoMs zwD&2;4A7e(XMtw4@W0dRYukCLbh?%Dt>Myx-P0LMXCggydgnw6v0+wxWVpq+Vb(Zs zM0yyg!be+iNkesv^>=E#yrbjmC4MWN0^3h|Lus5rcUNCCtKgUaY`54+n$GRd>R%eS zKe@u`wY2p(YfkxUY-zLhiniUTQ=D!W<3i&bYwJ0WJ!WpZd{?+qzf{nls>c+a=09ct z9}#M(o>jw#gt?~6k%#s0ygf;-Ke2LJjII7+Mb8_ z(i?Q*H0$@I;TFTH8u#UlmXD=Q%)B;7D%f#7Sg%L0cQ>kPhI_iY{sPQoiZAPW>C7I) z%ZFY~g(nNex3-X>69aCeh94ZnQ0x%yx_(hx_wqPn{XcOINDSsXQh>`S~-iWXenETg;$y z{_@A?C)Ljiwa?RIbZM>}4CIV@O*`pndQ+@bq=!JM`p_o*H+Nu z{Bb6n>*U=g*z@!_=K3bn!8&nDk569JbhNk70dXX0>yI1Tno_$% z$9^~SY3-qhxyw=T?RfshM6kw1%C6(|jk{mN#@emS>-D#E#83 z+_!`C4c@W&4&A}!9lE2-8?uA>9JYh=4d1c(Mr>ETWX}4yEzNB_!KGl{f-ZN=aCkVK zizbCS^;BP#w=0vKmecl@Iw{w~->n?rYq+z8%j`yB>DBpUrgM-i{f-^QDcg3|4GC^ht8s zySlBVqm|nf>bUp}GCi^$%2dMQAFeqsH~%oqIWFfARTk@5j@s-)PxrX2Luei5n4a^YXW@9KGRYj? zPfPT9@aXdv{pRnO`8)M{Jm>c=^S9G_<2bLbKK1ctxn|4_8@BdoKP+`DAC0lPWkxkm zP|d8j_3^rZPRna*naP+(@3V5l9n&X&a)Sq%a?hL7a+puwV!H$Lbz2*$dQO;n?K0~j zY5q={zts!Ydh%>BG=KZd-(K@~!2Inqe}~N9e)D(4{2efV$IRbB^LLl|J7oS&n!m&5 zZ_huibugm=HGk+({-vRS?()=AVf2$YGa?Rf!^LNbr z?KOXQnZJGJ@1*(LZ~ji@KVbg0wjSs0w@25fw|{h0XxNm>j$`}f{!D#fTg{Q}3|cV* z0qaotzq>2V8<0q*owl1E=J(s*wK?RtNBRABho%m>O0WK&)u+y1p#jS$JmC3#6%b=0%`Si^9u78-dr|JymCl9k`?4mivz z?pgl*Q`|O=Z@NNzmY>}E{6=z@zlwLDLY?z(b&qBYRQP0I*4a9@{_MZn-n$(y@!FKs zqs8g%?;BYoS@oaU0PPtHrp6_cO7%E0^|#0T-Ef6<{oC_XrFPDAYby6|^&4}&+;FMk z*S(*pV0+ks`-hUttbD5Lov!N-=fZyK&H<~i{##7Et?b)l!#7*=JC#Gm{BdaFQsl4 z(KnAWPr}q=zgykwqp?|kySSRy$aKt-J00xXa;N)zj?cTC(ij@c87a5xnOpC)(#yK9 zWANbgjU7(q<7q|doXw`V^RV%$zZy0#pA>TtX&lRmTzpF&yd;~^K zogn!L^&n0^ifd)ZWgMOAL9^F?IScT;Y30LjxX3VS7)wom*NRh{-1F_aeyzpZo0;;y zXQdNaZ`}{J%Fq-;1Oj&lvQl(_ulmAkA`M;T71|X-PKxc0igmY2&u-+;L zUqJJZ>#Y)S@7=f;^=D@XRE78V#iJ&C6uyT# z@kx02p6ml91~wwkpfVMLOHn_36b{*o=lAe__-sF=mf#bxWpDC!P?-wDMf)hV>EJRI zfe)g{A;f!?`T#A(t9_N)X+Q1<$NS*1`}3?OJ^+uR6ZZR<7j8uJ@k#g##&#?5A?P`X zXTt_lE_kv}srI2|DhMA!!C_^p3+^(6W#L2cdgS>Z>JvVPq~4P7prJ~|?;y|cBeb6Q zBviwc8t>;RO*j$t9bTqci!a58;2y)38ie=4^U+*<1m24zeb1rPH`41AK8reuNx&h8 zv0S_#-h%vxmZ=zg3MKJE-w37F;f1H8)p%ix@xrsw3Z{qQEl8FdgO8(uhm~pm z;DtlcHsbwouOnDrO!vY=Py#Q!6s^SzBWM*~IQmHHVMLkc-|*X*F8uCDr8eU|a25&> zBfJ-lzzgGOAYM55DB2$051&RG@m+AAqpddYg&j!B5{3sH!}>j(dVuRt44;6v`^nF* zs5kh;v9vd)cfspMThpU(${5yVEo}q7ib{`QUU=wOrRwm)J5V`ZxDpM+$Ke^rDYXzE zf)^Z5`#+L;fV+%mz2b#OoXEU*;bb%nAB2}9y)NJz#wTIb1nTr*V&Fa#sR!b{@Jcl7 z0k$vL8Bi+9^ccM2=j3@L)8U(wh`}e}nkfk11;0Yl#sViP_2^XU^C+gn0dLp=OANM7;Y8tMV9#7E)tXbnCA=S^qZz=z?zwX_3# z9QLiF?cu#}X#;KS80LlNpP|$;yswG%f;|2*&GYg7@WKUX5IzDop^^9`+;0}!1zz}W zi&B+%VG_;7tB_LrqeXb(K(que44_WD@cP+ovv^?)t-}l7X=NSZg&&|Tc-5vV7l~ABUf#a=fs44$H<1=c78j@YK2F882)`5quaPJdZYp z7nYnwo#Tc5(OSF@Zatem0k7s0a}Iepmb}4d&sC}cpMW=o>3{Gsc;NZWgZIHo_pyj(u6M~ zA6_`(SFBUK@bN{|30~NR7UL7}#b49*#KYSb)0XftxYs4jKZbS%KR{u;x>TvZq6EGR zZb9>p>8-i%Wt4YpnG&9geE1;z1Cs4J4#!+Ud6^!7%g{Q!_e!Pix{7)~ju?2t)uf3R z-iQ|Bh0D=0yzuvEg~Y=fmQp|XDEvL@M}CCkenb5*T^K~0@WNK(g>%q)rpK;j{a(km zR6!enP0PqXUfBD3)*aph-@cjc9iN1eW>#*iiY81@Euf+Pr~^RvVX@%;1j3{pMXDpi2B0&;1tw=7cTxI+Yw&43@ygT;KTAa zURd@p>j^L19j(Iq;8L^}AB7crt;0$~3>g_s0vr_c;A6-V5u{NWAb0RDl;hj;7&- z@1YQ0_!SD{J%6E}K@q(0G_({iY(gvWVYnQv#tUCUYw*GiYpH*{@DrrQmudbB@4>4l zl=>2R@t!A@8jgH;;Y(-)UU>LZv=h8=Dr(0IPeTjw!t>A)d;~s;;`lE38Cr`M);>*t zgcr_2oA6ya4&|!W)qvAA`T{qD_d0e@9#K>hI(csR^VH zcY2oc;yv&wREdu~r_}6qv=i}g-t+7uBp!Z&R^x>mUtk^Mg@1pMJ`!3)oM ziF{5h(_HW}`NT)yW2ge(1-BsGf5LrVVYy5fjz@Fx0eBvgu}v8M0WD*?+CbYu%f-LS za?lFA7oLVz;v;bKYt$`12xp`I0m=feK?CqncrO}+kHht77(M|%K_l_%b<#)WcrP4+ z#^e343RU8RaMByJY4Pwb)QOM7v2RiT_yBBwhdTT@d4QeoQU8-!M{w9?(ySy+cvX_} zP9bkFzJ>O165A$xe=B*YB0v99>O|E4WR?XNAURHq!1s{sYm#vI7goLb;XD422wrWYeW9iJ0Bk`~d>1_MKa@wN!#hw+ro+R&qz}aV z;l(J9kHSyUTDs;e+rql$3ZL@E?k{;r;LhRC)^WaF605 z<-vPlIg)lIoQ(!DT^L3E@WM4nVuT-~@k|$bON!J;Ne7lAoerFhLXr-QqM)P$pGOPv z3AjgTky6HX6toNE_!#Wkjk4eq@Y+528y|&d_bpN@Poo~- z$$J*5_G;3E8&C|NghRhy#Bl)2h0(ppGd>32??*m^#K5zDSfn=N!*Guuu`X)J5A^ge zQtR+OcoLHFKoDMvMoee9@KqGVCt!4M))!v52I)2cKSpbrF6{r~BDD(dg@+(XQ+P7k z%yeNj+JFzjvyp6HVHh`FSh7!%?z>`e$-dNoEz5;nNcuFPcR$)B(}lOAN_-3+xIgP1 z?}JaEa2@RdHVq(e_z>*#6Sfh&2l|nuDLnkAtjBul6Sg3k9)i~(DN7VSXM6%4JkW~q z!7=|+q_#3|5MGERJ_7GEz6*YcA~R@92NtQ_(Ner{2#Vr`XQ7q&2>cYSk@%k#sRPhD zybrdb4R~P^ZNUq@gNjtInZ&^5s2@HCUqplO!YybRUihPfid2Qf!*fs-ULDMKfa>sG z_$$_+>Nkrz zf!{rr?G!H@jT-R66VQBo5T1h;6sez$r|seW@X!g=gLpVGKp)sjx!`lCp^at1$v-Fm_#nJ>a*^`3lRg|$N&0v{ zTrh=t>mbjtQg2q=OH@*=WFA_M7lJG!ieId>U;J zFPw`)c;Q+U#&^Nfs#!1i5d1?8`}%q06W&wHvc$vnXeD0wDq4*fzJt2(!u#u}bG&fp zdfEeC_*2yDtTN3*@%`|A`1={u6+RAkZe$sF58UH)+Su8Y5pF}J^O=4IZ2$%EF?irC zwsE`<-iKD<`dwl zuja7bp_TXq{P{fECA=TjqXb@fJKBub#H$O+)MofE)EBSLE>h>AVfYBV z56ONl4tJVw?IS#JpL4AJf*0N?p7=PdIoFB_!7I=j&YugPKyhM(&!QMU0gKMFVuT~m zMy3l#p>_Bm`~%YGPvF|~S$9nDg8N=zEz1WlM->a`C*dPV_E~Y*cLDo)rhDOVv;i;d zKx^^BIcOC=4BtST@JYDGLfX=!WtvCf2P`a8!UohAAA(>0lI7ynh3s?CW_%D{hGd@` zfo~a~guQ-ch5{^I$?}uR|`4|4p_%1kYkx3K2ga#0wfJr3vEc_BJWxDX- zUsHCxa13h43r|3G_yFvG5q%oo3(rB4=P2U)51SN@47t@x|+zTl?d=PEK$6?1} z>f~3{A$;!=%2G=m!WEa&wwa!QCtPNwAApx2J+6SeN2pU`ys#ZDlXT!sm(%|)FVno~ z3fei-g%6_T_&6MPCCl1_F%PUpl4cM_kgk7NdKKF*@g6wlYPP#yQ+60ZgD#?-!{e@D z+=UOo!AohYct89S`7UPO-xR6+(IUJLjz$rD7+!{!;)Q=eQM~XGv=XnbWnG{(ct31L zPvOJxX|zsat|Koffe*lQ(I$KZ-ing=7<>(F#V6s;%P9L|@&->uz3?IUBAxce;UfA@Oho^5Ok(f&7h+z#EVsFI<5t@WL0+G`#RF6v7MNLt%Up9&;mgf)Bt| zXc;~Z4~f!e;{EVcv<)xpK%4Nw8__zvuoJDp3-3oO@o`xETgrF|`Gz!dpT*s3(;bH1bS9bE}0Hz z-Nk%E_~u1>I>fm|9mg$EWyKL?_*i`1dOa?eO<+Rf%AS( z|A-I6`|jsAyn2A~=O5Td<2~?I)az=>4o`oOJmH1&kryxAffo)yRd^q~ z8@1!(uxT~*jE}(k&@i;5$hA z=OmoE-s+!&@cfrpkHiSieVMk07ha7z@lja$3fqFDvw`gZ4Z4N&U!_i7V=RYHzRvMi zg0kR!@CMY5kHMYaAP(<=b!ag@1Rq38@p1Uon=DIW-lC164H5&dMjP=_*o8LZ6L924 z#i5{c$aMG`s=`O!XFEngyzqV0fESkhgY|_M_CXQ67fwb|d=T!v znR>wc;HzjAJ_+yofcArr!&x8F58y*^X_9q%8}$Qs{+Qzwya(Ql7UE;@c@)PdVA&_6 zgZIIrPpMOhhfi-|AK6I^T=Y-U#Yf<4D2Y$PzMrv;-Oh61r>GpSKIb?Tt-yD|_t7T2 z@UX4Six(b+dfmbLf>$9gJ_w0dxF&58IXrVD?Cs_?>VQ3YOj4;q1w z!)gCvJH-d#KT*|PyBeWGCfnTCQcQgGf+87GrL-0qcSS`hS;iV{skH9fS#cBgS z0Oyqyt6smO9^eMliBG^4I~8+Mm30bRdljo?cvV)c=Af1L5D$0nU98sNy>KO3kB`Hm zU5eEvyl`-zVzmt~JOTB)m$Ja!cP&-}@m~1s?!~H7{2s+Bf|lY{-(qz;isEB%BkIHp ztM)8btMS77P!~Q9zq^02O5nY4?*YZC&wbQCoF>AD;7U}7kHfc76FvzS{G?bd#7AJC z1B%sCcrP4=*5Uo|E3^Uc`Dw8V52W5!5)YRgjQ>4t3{F0TnENRs{1cMnh%WenOkYr@ zIn-CIyi6C4LLPho9yQpC_rp7o91FzYSE!O0HH11uGR_RZm1s57Oj4 z^~HORELJ|$A1^!|4ZsT*pb>cCVl*Bfh0mdB_yjz3B@nFJ@8Jn4j+fR`e_e%FIJc+2a&Wn*dE z_%2v-9A(6N;8Ca(AAk!{3?G3HqE+}f`~b!As-jr^6s^Vk;3=pJAA}d7_4o*U5GC*l z*lQfyBi;iKLrHuTu0&h$!k-*Z`+11_wcvQv7cZQO2I7M-f=1wdC$L=Pm+9~}RDqAd zFHiul#cV%yA5Ee@@jiGq zO5h{#6|@PTghx)MKJfu~9omYI!JR9q&()+4XP{pA5PS%E@Le)(3frl~z$1_s?}xL{ zKztb9g?#up`~Z!>tCNb=fyj^d!BbELJ_s*D0el2Lh^p}ZDz;4&#E0PZr~w~?Z=n!A z3HLvl`p5fVEecCKycsQ$c=!&ANIX2?6zX5%;S3a&cz7%7lz8|Vis98Siq%-O3Lk)% zqP6%a{2SVePrw1wsJB0oCcFss!bjjIs6SqvTC6Tc)9_LF+G+Fy_yjzs+Uh^Ua4FK~ z6X2wvH9ZJtBRRh$Tt1z)&VFBbI~s|P!C|%ZOL#wg5y{vf0cX~c|8lNtz`Ky#6B~#B zK}(78)EBFLXApz;!8K^mBdlw`&WsF4QR8( z!%nnC;^8}Jo5aHdT8dRE`z9Zpf%@P>@K)4U_F3>9)E}RO2ZUI!5)WsfK@tydMZ@r_ zjdG!p_#pfWEtKi)tUDz8!63ZX_&D6H!Ga`BKTGd=-_&NJ!5tBsGs&x}`RQ7)v@hxZ#Fhu=Tjqz_w+55Y%_ zkHcN(TQMFu-S`lE)c7vA-#J!{4_;t=1ioo}67F#>+ZuHkfES`Jd<0&L*5ie1Py#P3 zI*;uUFDyZQ9%J3X@u)vO0_(%9Q+xdNCF+K*{7twF5A$?f>YqlkP z0B%J-yt=4Z-GVCcF<5mm`N0Qauf_Cxcn@5KBKSCLxP)y0AA_neNq8{*GxcF+eUwjn4i3U7grg__q)FWQF3RU8T8&DlS87)@LH!(jx3_EY7Z1^~Q z9?5uBxaVzb4}U4s+zVfd_d*|%^T5KR(KMzDn^6T`_)9bbFT5BH#7E#8D2!K~w58jr zw_55ME4aF=_i2fPOBUS&GG25pe(aIgDVUostDgEq@_c)&{fCcF>ckJJ;a3wYS?DKFj+N8iu7zz5*H zsK3O(K@YIrB?hiQgJimJ6>UJK!xd^bI@>F_?(>uILLq3f6z?}x8H&vt=N!rNb@T=*EA_!9jLJ_vvC3d{W)d4tDqU|fd} zz}cu1FWih);e|WD$~waf%TYq&;cS$Yc(@sIC-rguLOsa5z%y%9I~YLA~%n_#o2PJ>h|$vJRN;gD0REUU<IW~p3Wf1;xDn}X z6Ylm;+9A`0L(yuyAI?Szd>H;2ZN_^)c+*$(S1+)>;5HP-dsK;)6Yl<9;_+Vi0P?-eyl~R@N>md*2tP-ic(rSZItp#X2jJDH|0}d5c>Hc9 zY8pNOcio+3;l1!wl*EVN{b=9@(ue!*S)v;7KKLnGi&x(-QP-kv_$W*uITs}SpS?+@WPV4OOzik^r1?LfdSNj55jZNT)Z%W!g%3-&=S1o$0h0*v>YFRulK z14!$2>L2d;(-Pe#z3^W2C{r4WfY!|5=<99in=8Je@fK*XbWB)SfVaQ zr3u;zOrQ~X&(BKK0_4X>;081vpM+lxV%_1@L9AaS$9(~K30lJRDEt5|lbC}`R0~>( z55rPli7J1C^x@#a_%~^9ux1E#jt{|Ghf>D3SnqHnT8a-0W8UEmJ=fXySQ3w#(ZN89i*xCxbRB>(VVs1IIv#Nj2XFJ4%F1nUhd=mA=cfr%k$pbzFpFoRcIy_(u>rUd~6==Ckhm*&asP*_Tyb*20 z$KYSkW_%J}avb%5kHV+XheaPg?08@IF|Jp2A1qyJ(%n$n-YKix0sM(H6XFXPZN%pVB|T4^dyd z@Dnr$FWjkvIJ^hWMV0t4{2L156YvYvgjZ*lsG+DGAA~od`S=+81TB=9Ic!fNd=w6v zOa0*ea5h?j55s%VDtsLNY#wEl7FotHsh0U;@NEP_#k`) zm2P3Z!$aoNmhgUfBkGTj!ClXx&%}G-d1x3u0zX22ygIi;Rip9v5PSwz;S=zX^GZ}5 z-VZNBA$$~mh34ZuVe*L<;luECv;?1o6VIm~!w2E-&DOMeuTR4 zY61B{8}K3c4BCWGz+)D&uJHl*JEZhbz!xd>oein)QqKz{#i+AB4A{mG~I^CyL|MMf4l! zDSQB4iPqzz@NKjapM=9NW=w_m!wbMGNt9_;`f!;=5q;CeRvu5)QwLZ2>Rb{c7q8 zFZ?muh8G@!`fg=ea5fr%55s$q4aF1JAk9aTKil*V! zZR74zIbLZ5bbhGw+~n<3sSUI~o7r{jh8WV*tDdzJy}<1ibAo_PO{N zoO3s05_}kr`yK5QAAmoI(RT4(_yyXGSNE_#MO*Pnc-g)5QQOD^JmEgpBR&YPL~~_2 zT!+H=1nl>F`cJ$Up7a>&>r3L{^UqTMr5r=S-#*8@WxUG*K96L~kbpa{W4q=)PY*oQ z_y9cNdDbcM0eBsfF+k`=_LWF{5;m_l)8U84Ct>qTW;*=P_#|w8*-VEY8lQyCubAoZ zL*tXMd4rh_KQulGn_o54;fKb1Ut=GIq%6Xq@xr^2ls5(wNb;{R$9 z_-KN4h$KD^fAR+Ha2L)4!>iCjd=&2VCjDC<>J4_F`S>t=8*RlW;gq*%SKsZeg7D8M ziSL4+A<3uONP850j~MtW>cl5t<=dpsy|_Vm5sF27Yu=0BN{q1oJ8VaI;UQ=p-UpMY z&#t8ZZizY;$+7}4YP|4=o2+{9!r@4+;|R}{>C7u!jr{m7_z{wHl5p4eEboD>sE(L0 zd=o7b|9*-34cds0!bko=J$T5&<`OjvsoiKx@PZF0FFpcK`;dLa?vxi^jbvF-xc5iw z>zVF_OOVt_6!uM8-V2u)ABBBCHq+q}SiFAATl(7Ml#e1Cf zbl*SOZcrbV8-{P9Y52fr9CLk6T6iz~Inv{BSiY5QM`GZv|0+?zzO)nAinie+@C`J6 zPwEri{{`zCABWGPx%dRU|KGH|?=u}_>v{j~mS)#5- zfqulp1nR^K@A=Bga~y6#l4q|fRh3A55SA5{D$ftdGi*YG@Dca~T7yr*A;qP-uKe(H z<0G)Nq*T|N2i}0>oLdxrgmn9X-!HYi57r`CZU`<$5)*^F?Nq9^uq+?EANBts^#DIY zy8nSwb}m)rOb^16@06-`yayhGB*qU{p=B~JJiJ$_+KBhV%aFuGU{hJC^8JWB!129F z2Oofgb}3bzcpvQ3r&Mjgd*D;Z)1P{P2YuI+1ujF9W)$xCJv=c!xDd_9N8!%9mZ~Lq z51fhA-YgeBf~3yl@L9BiYflMyxu;ZB5fg=dcPmw0crW}bYWgwTCM@5ba^VB;eiYe< zbl_$r^(j1a57PJc*8B~A8!^H=(Pn%Mey4A#8bOQ)jzh9u0&u>FbcAZpQniv8;r=L! z7Y;`eydTa(GH)2JM;n-)fFr(7UF=H?j3cQlVZXho>-{JfJQvCIFx<5t?TzUk*nzg< zV{p$Ol&XRIv)=f>Nhb(b8Xt#!z1DOuY&Sj( z*BPIH!}c}l!zIQ?;TGf7ex#3d`f#Q3aoBf%lRj)WJ`C3xpMb*#nDpTiu)A0`BUwyayhF6dX9pQs;HIjLSyC2HDc;Nu#!~5Vps16^8_a8>R|BCS{Jo|9AU#5rQe~w`K zAlmJb)B}<{d*S6s?>FIYBk4;IVmiDWb>gFN4btb*;m2s$!M!ziKZ<-RsydN$=vM!?VE#s4L z^(f{g%{YAUSlTGQ3+^%6iuc0rm(zdx$TQrGD!D&72}d7KUhx6AWg_ioD0LMmRbL?Q zq4=Mds?X4RymwNmIt@u4f^ZI6Bz+pZ21W2u_yAgp4^1vrS5(p;%5?a^6!ty%I4nDf zejD$BC!hpA2=73f@iF)j+J;wCOV#nnGlG1=HdKxe!<*4~d<YSz)=c=%S3x)TrIsbT#dfrpPyr!4p`_*gA%T0GoPN8Tg`URlq6{Yc6M ze>a0RkB`F}W|pdv_!zvtf%PIDUeU<1BpzOHI&E(x`GoCfP!@a`)-_R|_z=7pt-(iO z=PZ_ukHNp9VMozs;HGBEj!(i}T1wS&ycZ4(u`Ij~o`CuuP2IwV*|aBo7~X}X?Zx1$ z#wXzHR`OO`ro!-QB=33>zJxlNSC~Xg@WQQVAzro7-jKu#Ytd6o7oLt*<3q5x-AdmB z4?q$xJOh>Dg$q!Uc;Uq;fseqOk;DuCiF|nBHsr;t4(bL;x%}|&Ncw~XtUA;3L3jz0 z7&WI<4Mwt!3$H{Cc;W4+5-)rhmE+^^L$m~+gfr)|zVIQKK-=)b z9(R_R4qrktU7gK11c?vB_l!@%gXddg9v_@$d=UQH_z3Jmk{{vbbLn$gZW7*fp0%AO z;H0oAJ6we1os+^x&F~tFAg^wpM*bH zWKH+N8YJ})f{QP*>LCJ;z1XUUF#H5bSr}CFoT60^!g@4}^o6Zx06qfmMN-B%eA9T( zCG?r7l9<i6kZjuP{CeA2mJ+_rH{Fgm@o34oQ3f-h*U+6o)S(J-&srF0=al5WEh_ zJy%iqIg%J&_@aJ@#Czf0Nbi5)1|;zb_!*M%p1QnL9dQNgnCX7_9NH)`u;ogtPC{@c z(&dFCm(W+26AwF(Ob^2cjgP~v#(S)OM#S55jAa)Kv_|jTde}4a_V22dcs+VZZyWcrQHCct1QJ%_k-T zqv9!x@L{xy>B5&#Cq4nkJz$k90K1UH3nPD^&yjTCzmaaY50dwlz7&HsqwpD|>j8djyyr2-{AeNRB;Z4T zp&!A=;YUc~ld%6<%X{H?;{&iBNq;5$y-cs*J)AI(n()GB&}zK!;3sISc%ct1!3%Fg z>+vyo$di)_lj!PZG#?*>&!Plg*!DDS9xpr> zt-^=l3n+J1-&m!eL56uyRH_#~Y43H6B&!r@zJ zGXdHsya@IGIok_-{WIDJJ_!%lN<7{NTfSf$pG2DQZ^%2D<-$Y1WIEmtpZbdJzmn;2 z*OHx79PfoE?7Wj2c@oQo{d?`Cf>opmuP)n3Z8@1V;YGc7;(c-C0Uoi-PHOxwNE240 zMffm$3`Ou=@RR@3-u;Kkc@+fTztLp7ZG(&$aFL*6iLl7h4iI5#7CJzHtxaWspbH5& zZIdz~X*;xOnUEAm2{5$*28ggV5hlqdnIxNJlnPrKWYmg_4KixP!K9BP23%->sYV<~ z`tW|v<`nP|-|PKxJ?|gy6|U>c_q%uRIdkURKW4JsY%!se1@n`iLtSV1^=Rk<|0bHc z#`j@bxA<#l=^j7f@$-`zo#GtApF>OhA%x>t=dZ-=$xqBro`mo-?ZIzC*JFbVXzSoF zVBRY8a_}xD_KRK>zydU8`DEKT^zwweeuY02T=-`dW=?bTRYJRd+ z2frHO8ZYpZ7tWvixw|yqfyHmKKW}}KadeHJ@Z|Z)q)zj~A?y4bn!k(iIXroaxnZmA zHGbmH%uf~+e4m8hioWf^RUFsBzl&2kcsg!x@yniS4j!B5_aUrpjSoF-ep0r*$@l&2 z{JFm$+T!0&oBwszoY()nW2$TX4K#If+5BV|TDr-vdAj-N5Zufr-`sSz1EuWO<1pkpZa2R(!o!|4xQm&!;}slxXL&>cp;AKG>_)YRhM`llDAwk=WpqS zI{2oS%uj}Og+Gm~ZgUUIbnp{iYR)=%1&TU&EjH`mw_&TU@MPG2)g^QOYdvLq@V{Y) zPG08RUS^GSp5KSAZt+uI?%G`Eyy9;ntt+o^og%M;zl(7l{Nt~*R=UOi6I*m@%=6=@ zuJIGE_S)B56Mg{8benJe73Y=i@g>*zXS&NTUTzI_p5KB6H(5j8hK0J$U&A8Z<14SV zhC0W0VTrEsmypw4e%7zLUUY`vi=wXcQ(onHb(&8heX}t+pZ8jHf&Um?-R9-5c3f{U zH(rl$-j?{wsM_A+YhU9!(gmJGT{rn&G<5KNXzJjFuQdl9{2S=%;CG|1gKHQp8J}OU z!nL4tycTI4y!dslHy!*eEZ2E{JJ#z8e+V0N@E1_g!6&gz_xPQ^Zr-}Zzc}tZ(HY*3 znXsLI@AcmMbnxPp)=menT4m0<#ML*N^IIJY{xlZr;Lp6tb*h6OKwh``Ex+L&rBj9Z z$IxsjHeD*Y zM`1_UpFjLo=c=yrH}QZ@Zk?aJ0&Sh=-$q9VfAcoyfDS%~WWyzM&TjDBI>!%SM7R07 z7}e?9treE(JkP(wd8<>rkj86@5ZPOz85QWi+`!=y3jd(++EgDr}!=`ew)|(JM)tlVucR=brf{)JMT6(9sKv$ zrh_-W*Eyhr--#Jr-}8hnR+c>kxZ z;cq$zfIVr?ol(2>2JA5@%m%F*1^Ap5nbbNVwp}pKRpZo{wpj-T1Y}LsL#|ztZ@P5>Fa2NOL;BVlV z4!-E5b5iH{X%Ef!yB5ujulyg@@Ljg^Ymm~xAI6Xl{wOlK&JQ5Gj|V@3yzRkP{-bL~ z=Xo5fb?_ZnuY)(CqU-!esOjJ*cHK*L@K2+uGhD+=*q^_N!FPM@|789c)fv7P%XEqV z3M+Jv*L>OI!*)K0cIbch9*4pASWo^67U~|~cq&|<_Tm4)YMp##e)2Qeq0?N#l&bbsV4ru5S??O{I z`O7$}d;BM-y%yc(H-F>&m?i!Q!uL;tuluIY9(P?b=UeoUF7bb0?)y~V@|he3+k@}K zavl6(jOseSycgETocVgxZ4dreY|+7Iut6t}IM* zzgffZSpG50=oII$-2TCzLRJU=C5CmI{~Z+_e8U;%m=3-ht8|S&jeB+Q+JAQ(b?|0v z)fMhxRtMkwZP%d=Zr}mk;&szw5DQoe#Qx z&iXxSZo0{zMNtPo?|aTI9lR7uTqp5@cB^gMjb#M=Dojh*9uNNNh^Wf&g z&;PN3WZqWe@by@(OZ-8s&~<+1yaB(L(wzB?^9TH1O7~&D58?YrZT^XYfuw4Cnr}u+ zSNQjFM%OPINWO-1y2o!C9PoQ4jl+kL)h+I0nND3ikX(tp4!#$wbnyMypxb-~TXgVi zFBwR->fqO*t_yq)O&$F91p~=39XyGyZt@o%Z;f=9AH}@)`5q}>^AiKfLLIyk!@9&v zpJ2|q&aX@jBstyUJAZN@De4;MpXhmYf&UII-Q_EP>ioJD_z|46Jy|&5`ym6#(EBf$ z^Goz%9lR1*UE+Vkm`)=PQIqdV~f#eB4ZB2BJKZUvu{tTvc@WLT; z)4^}YaUJ}-IHl|SM>wN{{|UbFHRscMp-!GMkURy8b?{4((ZSbZna=YLgnM0`{|zg` zV}E8KxdP#SnB&z5?;XJ(K;1sUe~6lH@rRx|kQ~)b&Mz`IUEsr5@PSL_{Pd>{BxxP| zLM+iaej}FY;QO&c2Y(Lhb(eeCtdpM|NPZSubnqI~bZ`X?-Q@hwS%+{Bv0 z4ql3?4!#yUbb&vDDINSEj_Tlt(AGWv@e%XX!5_!04*oMF+dLP~e~xQG2fz7>fn-Dn zSFl{ycqdlr;771p2Y(Nnb?{)u@zOcI3k_Z4ucNDby!g3}-S0XU{3VB0UFXl>s1BaR13LH{=;$Qt zd`4fl_=+n%*N6Q#GI$aN9sJL~Y+ZElk1riaw&~!X!=%pe*Kn`y@xsybuPgW^XxkoK zLQ4mK4&mNjda-jKr)&@I; zZgUq!9ei2NoOJLru|;P%hiy9ex3NP9zXwfS;~BJca65dhgJ1O$_gfu&1A036tw<(( zub4Mtp>Fc0uviE8F`|RNi)A`_scQ%;bnwv2oQFF2nW%*ATtH0+|Hd-sfDXPHM|JAu z1Ih2;n6B~n&29n!f>v-wf3eS%f zI`|c@bAQvpuOD}g>EKP+p@SQk(y2ELB+tW9o#PwufG+Wea7-s}GzO0A8ZUg4W2f7N zf#hk|xhL!3B9iTw%z3k3sB66WdY>^u^FtWb!I$6Q*y-SxVwEoNz-rfsPVoy-*1=yv zRR^C&T?c;;(_#NL9($wX5}IF)jt;&ZvpV=?Z}#~~H;X=Bt@WOr*E<@I`}Ho!an@Cn>}8q z_$6rQ3jYC`y2X!RI_!VTK=OvtK++2P@E_rr4nB#F4*oh$>mHB1)oa%|UXQ`w_gFrP zln(wD7U|$ekEO3xOb7oK3cALhLrDk!+S|NF9eh3Ny2J<3)GfZW?6v6N zHfD72z(&`O4t^TW=sdp-gC8|#{s2-s_>*sUz3Jflutc}`Z?Q~w`6o7cpVes|!wQ|} zcVd;U@h7obxA?1AuM2m&r@h0m3;S^9HytmX<2T@#4&ILAI=F#Z-R1=qYp#P|u*KIO z^E-rj8Af!8pZPB5lFo1uD|B!j1s!|>WgYw#)O3&k<=vhyG(Yn_o>vDie6Q!#!Oz7= z-JE$NMs@Hnyw7v#;Fn>&&htC4S=abJY|$-#1mW*z1;6(F?k76R1b=YCYtg~`uwFO$>Gzy}uHgN@=Nhm*_|urut*~vo>qxix%{$z;b?|$B-+8#} zk~x1)FVbxu{-`l^hO5Zx8n67Acb@0cqRX6z|?9jm<+v}Xv!S|u1gFlPoy3HH+nX|6&yY^dKog5fQehLdF{cIaw zjfFb+^%&N{Z^Ec<@w$WI^;l=#g-PAyKgE=8^Ote2?(vB!YZ#jEJY;=zjmHjq-aXch zFF)cq>KuO_t96(Esp+-r9&i5xW9laV!5=yny2a~0?$6qLy)Mq)YyLXNzxYS40iEHy zLi;S*~Vh1pE}mIXL!w@S#y6zF7h6P`Ly_wKli-0FX9yl ze~u2`8awq_@9$V;`+9yq=Dx4UFZ&B?@e*sre}$&!YV#~Ae&5Cr^mLmaMqek#jEytTb?$K%=X8$8G4FYP z7M;sjpewu`3w52Ru}HW01QzQqe-9%%`MmM5M5j56QJv#)EYk%pW4W&I1Xk!ePowsH z<8ucSy32jkb@ErnM?A);IHq-h%V_Be@4$?12BWmZn(!>9b&m(X;Qi*g?%{kD zn!jXB-iVPG7>EBHOJ3-){9|p$CF>aR2*P7Cd_9iaUgB!pUgJjWCO;Uv&8K7c_!s}$ z=bT@5ta%J!%sdxkm$(|c#*Nrb{(S5@{+=UZZz`0m&>ZpIF7 z#}58h>>fYiZ{zs(H?dDC#t4G5#v+z&9eSe~GI& zWqXYqIIWvJgG$~y@GPcvk1zd_d&R5GnXkeko#T~QtP8vqBf8Gh=;#)oKv#FUk6E4k z!$9&>^mLk+qOWtj9?5I0Cs#43YkUwX-Qo^LUTaJ~izPZa;k9E_r+F!s=^U@ba$Vr9 zSfOj&z$)G32eDdr`8z1CFy={PqNLNDMOo)~92H#%+fdaNon``P;Ma}$Kg<~mb(*tSq;tFyi*yIk7Khga2Z>4g(t98*ZDKprd#}F?9e^F zwCl5_PVorl?zcRK<8N?%@j48zbUttu8C~NB%DTxjxL3FNTga?3K0o1~%vGm&7&)Ec zYcQtsTtr@%xQcOI;|2=4$ulVGHqT<=8;#F{U-sH{iidGVXLt^#IjqphDaRJ8bec!8TIcwUSg#9Q#s*#C z9Z0ToT=*ykb&F3TrMr9%Lpu42?-d}eGrSyIbe@aYs!Lo&PuKVl(bp|LiR5}?@;QX# zn|#&hUM#!8n7kZed!9GpwCxq%5x3WQI(Cas#_sZu{mc2srug;9uJL+#W87ZhgP66w z$)Ahc+hH5}Z+0wraMnDFjs*{6wa)Mu*6TbMaZ;DKic`AA2XR_A`9Yk~UGAf_)*61z zTB5Aeyc89k=OSixiL2=88aI%Ci!pfyLrDI`b?>bdLwW>73Uo9>!Lk;W6}eo{LCsH9l7{sB7FnN;i21 zL%Pkg;cMOF!EaeZo#J71bcV;!)p;&rR+qSn@@>ZF1}eJAGid8J&!VGyJlM0=8;sAx z$mtA^1$3T^=;#vPjjpcqG%~kabMBy|yWB@vCy#hdIHuE_Md}X6l*a?Qz-1J4g(q;Y zuJbfb>lSyge&Z#^fRjy2N*(@(yG26so$( zpF>Tz`7|bUk1zhVYhR~$1Pz_xYtYnrUW;j6;;m@u8Xv@rZt~~Q)@}Y8I=aUf|A+HR zr}$Zz)fv79J)P&9(AO27K&s+A;b{!%7N0;`clj)ab*j&JKxcRi$8?_8;kYjG-8iZ1 zJdN^i8IwDx=q{f{RVUxE&Zy}Ok73zw8zxi0Zt2=8At{$%VHe<^mCzY{z8uJ0#f z?sE=b9Xro!W0&~7$ZoN=+=$zod@OdGXJhyHva{CqUFONZj^evr7hFbot_puFcAf8w z-Qtt6yWEeRob&I65gwc7Z0sDbie2Dx>ORG z=eZcW#P5$?<3{Wze=c^LXJhyH;{SPm%oLBr&hXW-^ZX8+t2)Pcd)!{<`(n5FWb7_~ zCwB5Z=OE_Rgs+U9<5jT>yfJo#Ct}xwW4HKAvAf)log^0}i;#P-dmWErOy_tN^18sA zF|KR;2`qe{bC^3Q>Mox{NhgmpCdxX^qp0W{uR>Lqf{}W^F?k9@y2;0o)@?qGVcp{e zKXy@)(P@4KPU}1uaYmQ;!&p{xt?@LL>lSwcy2}GUev!X_?|k54%<2q}p{MhF2UdK* z@!}l;UFV~i)Ga=VDc$9BxL2p<855}w8k5H`r1M{h z&-uo{piXlZDV^hSY}N%XPve|!aR>9Z8K3)DppyaPW1&uS7CUr~$I;OR zE@S9-jn5NE>pD-Ps9W4YLwETsW_9YKi;@>$>xYfWH{zr&aTTX@jX#0hM~uTAjOi}- zk=Mzf=f}8C^HR*4FlN|>1-ihSuuxZc0*iE=r?FVKxPuYh> zSgs5Fer&zRoVkH*y2&%xq1!x*N!{bYOPnV<#lyH)XLt-pb)JiOK$p0RW4gu-9M?^r z!AafbS)9^69=z0X*C`&x8J*!VjBGbP7qLW_xQbC-` zM=@`QHQ`lQpbNYi3w4EeV3BU}3>v!4r_t0sUhsIwL#KEIEuG=zn9&8^jMDELlXsx3 z>wFXy-Qts|>Mr+D)5%YGeoW{zkD{(~yb29n;LT|2TG)o{N6ncZL|3wFX?-Qtrd>n`_E(aBF)8&q|gv#9ADk7Gg?xQx24gl%Z( zI!~jiTigj>>n``v(#b+=iy58fQM7fASD~W|ycu0x;T;I?xpn>0Ove^9U~V1wK^(Ka&8Oq` z9zS)+``STc@+iXoIUbK);JdM9$~<{0Zg28T>^7gp*dfR3Db^NqYs=Z#Ilex2iSNUi z!;U+jjN7|>E_U)W7bTY=jFaJwIDN$H;*Z7cb^c837XM@HE}xB^JoTdF=P)-PUK%^c zD`OXUQ|tki z{#dWmoW%y6<8f@(1>S@$y29JBRo8hM+jNUhV2AGU;Lo|=e!{iDFT%1<8k1Kc9E$?q z8N0$eV%Pb;*e!l2c9+k_PSVy1bH|jgjGg0gEclc;a~YkZ=FAgV@@aGCX>8Cf?%=rY zavvvk^7GaNr*xWMfzvwAMTF-qaW!_08?l@GkFmRaHg@N3XCr>v%j{UJY^HLnwIUdJJUEoa^{fsr?2`tlfz8_(3E$+nbavvjq zVoerX6D-kb&SJC9@i@X`3tWy};fdIFp2m_tx8~fzyw6&5{vPT&^$QmzSKyS+@bxJD zh5IB|v3$n;hd+VEe`y@=@}34I{!zna=Y%EY~HjVuh~p z5v%fzCKmp^F?lIY=^T&av@Y-_oY57& z=sCvMDSkFm9qY#L$B?e`{Wzyv+`+srd0sw?1vj>n3tYyOuJ8l~ z|H1ftKbGk>&!VDxeCZX|PNzAGGdjoP7&&1~E@OkP@OEt0O`bt=(wIDptnTq(#<9>T zz7jQ^<8hqS1^ysX51AW3h$-FX*}(rW4i7%pJzuAI7=4}LF|7Yb=NlK%&?T;7sOva} zZP=ijJc9>xo4<`?I(eS^8&>_3*UqoPYMtkGSg%W5#RgsDgV?N_JcBK|%@1R%?(yLB zont!1!`PuSd<|B7+4#H;t8|I0SgmV(5XW?rAH;Fp=2@K7J-&2_*Z#^(k$=qAqubem_<);%74 zp)nseJ`W?SGkguU={y&)LzlRUp005N;r`s@nb>WfMfSAuc`)lW>J*P)i_Y=N@bx#G z+q?-&bcMHLRM&YL%XEu7SgyO=#|oWX>6l=ZPV-e*t#dq%^}4{Du|e0kffe7hCj1~) z={C<|weInyzwEI(#Ulu>F~eiA^SnNGiMM0xx6C*PfjCC|l%Oa1wR z--@2D@E>6P0{inLnAORP?T^)uw?DrXU0vaO5T3Wr_r-4UmoW2f$B4g!w)V^U{a#!5 z;s0=q_)0YTjuF2eOK1px*SgE+kUr-a6_z`eT5XK_>~FEM94pwqk*$8?TY;@5hL4@d+%^UG8I4CoeNT zmgzJv#d4kFajeh<-h@@U!rQT0*LfQ2b&F46gYNQKY}Uy#>w_&i%}cRW=XfQy=>nIr zLsxh^CUu?f$CPey2lwhOpT$v~yxjcpfKGE3$8?TY;(x=lgM5xA+9k z=q~qhPA9K0KKxc*zkAXAu|Vf|91C@UH(`;k@OCWLb)LqEZt)4s&buV(@>%qB@=D{Q zuhYB~$$aDUN(|}(Z$e5}csqu4o$p6lxA+8xb(hZ~qmwb?BdgQA6gi#al^D|n-h{la z@OF&rI^U0iZt)2eb(hbgq?4YUFZAJ)h#}OS>5Hc=;`Ddiq?XOYp#a^oYb)4UWpo#T}l(*@pyysq$ejO#kzkAiOV zX>7aLpK*Bb+Vl6-6c5MF@GEir3GVZJQ|uCNMYs>wcq(?2XJWVcbnG5K@mH-+%AEN{ zv2*--%)JNk#@H2}h+Pkk-Qs`3;wPFjKmJwcJH^8Y<79Yw>^!fHUE)t+@~5mVKNP#m z&&pe?g&xb-#?JFvgfUBeSL_;pGIopq9>pg)M*L{(8md{^umH)1#W3$fcg8@tDkf1UgM zB0rDK&p}UTcno2ld0rd4#2*X&H0L>g8dJK(ov_{hUH(q&{+va4t{lG+6Sfz)9Jg0^N9;P^ z7rVus*j;`UD~64~()=+ue;$pUM8NM0wMx1Z_-qliZmmiIt{Dw8e+#2#VvGZJvUE=rR)D_0$ zM%>=y6S2G8kDU}8ckIYG?tBfx_<1hIF7bO~*Z7ZPxA;WtE+^NWe{AqmW2bpEc8*uZ zE^s+^g}2A9^L?>fd@^>I&&5uzH$LXpftSY4@p$Y4Z;D;vdt%pvW4HKZ>@J^;o!nr2 z%#F`WW9PUKJNSLEYkV+vliRU_ACBGQ1*^}Gnd0Ht86JzB=XJ45d{^umPsMKXvDj^X zIChUOT@%OWXUER)HL>%&Hg<`(#;);H>?S`LyUh>B?(wBJ#_>55J9sR1o;Ss=@I>r7 ze;TP5IJdbIw|Du{H^(t~ICh4w#f<&)T*Tt6>yGb6UDx;^8oI?DBv-m8^W%%|^E%C0 zoYXnK3He|4S&JudOxJlDE0!9EJJ_bX+z(&tWUcECi$;yfSrl}R$1$l3T*f(F;R(!p zk@0yN>vfAe*r2=I$4Q;M#rQa-)11Zf7aO0)u|gNPjBrj?czf(RPseU?Cw7^e`!Zt+)R_xQ={r7e>QfTza2Zd z$vR+eo}7)H1u0xvoSF@CTC;k`1;r-z7Ip!I7a-n zxV^`Nx14`$iicxo_*Jpo~%>kN;fqVrrtUzfOw@@w2H_#i5}$zMWMce#(6PHs16Oz1Sf6m^~Fb!g}kSJBk9 zunm>h8k1*G)oq?dP4{@g9mdotz5;cf;W0FHo{Pw?Fg{n2(=~2jOgH&Kbak5_#;oq~ zrEl|jTc>y!eVyUu@QcurJQp#jOI$@t*Z4jZe$6^_2SwfGK1w<%TN9LZnwO%Yb3Bf! zE^rw&UEzsR>SUwwF|E^_MN8-S2xfGXFMhjoPp9}QY#z4{k7J82 za2Z>5g(t90*LfN{bc;Kf)LrglN++A#n{lr$@#iq3+x$HYzQI15%@Zk|=B43lo#Sz& zb%Dzm))k&WM%Q`4onD(x@meg`CH^oLuCxzNW07ug2SwfGa|ri`%p;G{7~#JpNpMTE=rz) zxiR@gv2(mKc7Zp?uJApv>wJIg7N3sYDAx;6QWvAf)loow;%#}W3)@HMgXT#Q}fyJOe*lSseWJo#bF z=pH}yUG67EbK@J)&?UYbVf&=bFP|$fU zVp5m5iqpEr4Q#&2_&kFxy3Mob=pGNg$Nf#G_}Lh}+4ww$WjfDAOz09em}uf)8~=FFS0Kv#G>7V0L?psU+F8_+!-e6RCUr+65Bo#8Pg zcbYR7F{n#iMM~HB6ByDh?jWtZJn%ka=@dU38{T0|9>Zpx=XKbkOI*cPUE>C}=_b!$ zhi>yMCUuVo-|ziJr}zc9SLb*fM|FYUjR$m%8#tz${6!qsUGC$g_5<9>GjK|0cnm`o z^W-AZy2Mos>lz=#gl_T->blLdXy_gfe!!nEe#<=h*{JCpk7Gs`xQyk$?RfD7!hNI8 z)1hr|aR+_f<+G^1)0{u({)3uMa~2ai$K$B$0+%to#rQmd^}5c}=)TMN+`*!E8=w0q z>f}S#01chyEM|3%$1(aI<8v9Cb%pn$rJFp1!KyXkZzH9XZN>@c9FL=~3tYy+t;XRA zEYfwJ4qxjQcW_*HxsR|`$?uvc%I`Bz&Z44oJdVCDa2dyI#^(tnA2dEsV@$WWgPQJg z9}_zHu<_r?x>5L@rNc+MyEX5HmS5%y0$>ideAI~JUa9bAY# z=hzjl#}01A4(`SdPCgdL=XC7gT^aA-a6NW#D|T=`Pjjw*uk~f z!OhrRPIkw+aXNM(ICgM7c5o|pa5r|3QzqGyQSy9*?HB%cVFfO%z=ajKumTrW;KB-ASb+;G zaA5^5tiXj8xUd2jR^Y-4Tv&k%D{x^2F08*4%Xc`i<%GP3v#I?M0W}e(TM@eA^AhH8)*% z+jDMOd&8}_+;+>28=iB+EjRu0b+_Gg`5n)_EPc~;H?O^M&21ZgZOyH>t-a;u7hU$; z%QKg~`0)v) zW_AA7wRfytzvj(rZu|Z}{NaE6^0J3+Fp=eJ?pU)vy*~W^i!QtFwySTxaKoD0ytW&!TYuY{%U(Qs#rMbl&kz3o_&>DAe|h%NEB@15N3Zz)i$1>wtJjp) z+`M|t%{M&uT1Fq+vf(9ht$*-5kFEaI9*TJxgI-nRDU=RfbV^v&1Zi4xthg+F$75@M<>i^npPzZ*^RB$yktyAJOL(ET-1?GRZz!(au;zvh zx8G`j>uy^8f+hax?bokgd&4zrHm`@y89tIZ zl0A|;GIk_?Wc*0sNbyMNNcl+RNcBkV$i$KQk;akck?A9?BQrH2UzQ_t3O^|5-sK3*@>i}g~yT(8ut^;&(RUavRm&H8k`RiCN1 z>z#VHK3nhA`}Jh!;Lg;}p`GcS!#guOvpaJ;$9Cp-7Iv0)mUmWmR(IBRPVB7jZ0v0A zoZi{mIkU69v$M0ib9QHM=isi^)XY?Ssx#G{nw{!R^{0|UgNIUwh7P3`GU$b{ntP`AwD!#GY47Rm>F$}`)7#VElk6Sbo7y|H zH@$awZ)R_HZ*K3{-u&M2y@kESy`8?QRX@}?)I2nOsC8)OQ2S8l zQ1{U6q28hXA^gA_^WM+4JcV~BZcVV(PS(+?QmiCnQRQ6Q&_$7wP3je&@m~HeL znf=-Qx&34N^ZUp57xowTPwcPnZ|raGpWZ*azqh}7pmt#5K<7aB!0dtEf&PJ^sr1zF zRAwqWm75xy%1@1(O)VVs%%HyqoFri_{9?qpH;>u9y}jf63j4yy=a0egq0FJ|q1>Ud zL-|AFhYE*^KXgU=BGZ^Gl#Q>bBD(c=MRq`E*vf%E*&l(t{ko& zt{t8@TtD16+&t_&%nv4;A7?Vwytk{rE7?7`JGFagcY62m?#%A&?%eLN-TB?)y9>LE zyGy&vyDPh^yKB2AcGq_|b~krV?{4jWY~^Nm_jdPp|M$oI{JK`0AGOJe$@*ktvN<_D z*_xc0Y)_{54DZS8$?nPR8QYWJGrp&=r?}^_Bk=$994PHA@2%{u?yc>e*jwM**xTGY zy|=ZuyKi=1Z(o03(im){8bghAW4MuNWE;80SR>yUZxkBEMyXM5R2tPrtufK4HyVv* zW4h65%rx4KPUHM}&~GIB2luD;5A9FyAKw4Jo)xA2<^7fY)%~>}IzL+bXZE-EclLMZ z&XoTC>AoNyzBgU z=f_DM7&?$XkUubfpm3mgpmd;opmLx(cP`csG!8TmOdn_+m^sk?p))f%ICwC1aOhzA z;PAoB!R*1@!Lft+gX0Ga2a5+w2g?U52df8b2PY2J4>k@q4^AI!9h^DXKG-=}a$jit z(3O;Y|7`P%Jd=CFd9-VASIP|2=9t-)-Id!lwkyA@wrgTneOF^wbJz5))~=ad?OmN+ z-CaNWeEZR7+mAliQa|$U60YC>dY<(r`;*C@!9A%xLwkO3zSV!^-6g*-T#LnhrG4dn zm3`ruwD!&HYsVw=zvKM*QRm0`<2rmGb0B*lcVO&49pV4GN3;F?`+WM)V|SLMHkd4N z$jU$BT_*kg_mdwS%dYo{q(12P4=2eF-vz>T`-AxxrixRgc<-%EO-$9N8dJ@=yRcvC zI(NQT{@eFP1Gfj0ZB+Yj-}Cfi4)q1eELkJ(<>TJBo8G50JIB2r*W&wcczn(kasGOkaSfz? z=x$qx_tyV>wx_*MgnMRtvNPG8oSl5^Ob+MqnD?oM_s5R+!(s1$r60Q6&F&rAmv`=V z_odz2#`c%?=MJ2|(!=q2?5NDnz4s4!*B|x{Q}Oalmh?%Zb~?|~QocVPuCtiXj8xUd2jR^Y-4Tv&k%D{x^2{(rs#{|DYu BpO63m diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/netId.dat deleted file mode 100644 index 473bf324494ed5fa18ef79a7873fa0f5d06b4ca2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 188 zcmWm1NfNJ*#CZQpc)zROqGut5Ik5#jvN#&hCuc~(h$UlI mB&?aRA*EzW#f2@g8&`JRsd=!c6?ckTS+p!JED9*7xHl9OQ2}wu|9$VBNpeH8@O!@RdA|Si{qiU?bLX7%p7-2y z*O{cl#xAfmv)OEE_&*r5*_PtSPl@<9{y){YeMFaKN7!Dsf1JBC#r<*a$muh#$eA_! zvI}QVx+G`Hq)RWotTJcv1v#^;F3p*7X^!jc5jmG!HuZvDSy?UfOw;A1Hrv#jvTfHq z{rV(ZQL63kbenCD?RZ;eYHB-M%M_cf0|Z;yYy}#Q*;FdwKk__P`pwI98!q_SgJW}> zt=qlL1TUMd!Y;~%F3-%9&~>Oph}p_hY=5+rr~jjWXQc?24_CKWo_gT(QHSNui_C##TA%{A#bx`F?Yz1|_P8&XwI@9@21@6yIdS=ru8WNHbJ1hCjI4<`xc%t|PD-)qek~vlSzqJe zOaDV$r0?dz=gP}zXl%ja&w1@xRRnW2BABq(ecHU@g}(-a!^YO9cZNkaj{5W?Luai+ zXPM?%<<*6CMi$F`={LiocTMH!TIOtnA1HeL@*qA9zx`>m8QR~%gYWlJOd8=lowURziPAG^cH5?d|3Y3L8LjZgDhpQ3exuev||yI*)n zOPyE5G0Nec0ZhkRI0=Q*99>+9uRp8fJ`A;5SGZW8UIvfof$7n-1)i(N!^pq?1%rikp4#=eWrK~t zFDMZD&QXPRwOJ^2jvO`m+!6Y`UH9U`Wpw+#7nu7;=H--nf6#qfe%GIOc-e_upc`r3 z3Lv8!V=@Zs_UMjRI`1CO3tQ&54w^g;I12?B% zMN>xljw7TStx5~)mO;Q)xF)#1VGt?}QQCxppyn@uh%bEtEH+H~TiiIKGnG4M;!bcA zSU74rK@|CU9&h-T2eku(rCmGB9Q`jszrLaLKL)Aud$3dL-Bvjj=+D7G;TqkrIo`~! zKA7XUy$}^qv(a(aikdZ*894Fw6a|&7bWdH16uN%TK3CrO6o9STh3xR9U(!Ftwv!0qeSAEidtiTGFdWxI{^UOY3jE;uywM(w%`?RcE~($n#8 zsduBJ#sSV~`2lb*^{%PPY&aQkM*5Gq*5|Eau8o^8@qFia=lN(p$YAnp?t@EiVwm>eg{MrmDe+CZq={XQ3Si?eS2Cfkj zSzV7Zb7k5Bhj*haJIX3^cS+t5F(w694#?H=G4yX8T2dR-Zn*CozDs z`yj%Nz9ca9AG%+{{uB3y;L3O_{K>49Rl)&b&1aQ#wbbdaFqCahdgya=j}nh&AkxVakt7T+lfe&Fds zoK`-I3P0uqBx0S8Ua+2uy6=l|6N2B--(rA4{|%vkJCzXVYDdizJjL9xuBr|mW#X#g zoRCNF;F2CaDQ*PU)28qW9u?n3(CNi@Q?~}XOvwfb<|t{7UHI=U$`&um+0(j zAP65i)7;YH`8d#Dhc_R3(|TFsi+~l~qpY80jG>I+qbcZ=_Emn>praCm9P%r$62_&F zAT<|4(#CTlU*#>~^Q6$N+i`1w{BV5KedF_TbYEp20y#IY!1t-{+uZOwYudNh_g7G} zF@^kDm-l8qwZ%p4AFMJh8>bCt!oP-d$Ksz20ciNM)?yZo{_O8lM2`BizQZ*-Hfd@% zN_B&92H_0AA=pJLO>Y(~5UJ$XKBp0X)-fFkxqwRktlSJ<7`#CJtQ-N+3MQX1Z);Ck zf2qM8NDd$5Y7Mv*)NT@R3+Esy^mYV%6mwK01bz&RY zAnrr3UZi_{2|5dEpu}jif1@v3_m`*Dv+1H!N#S_teIDnYoD|QoDMTpYEu)Q*0o%Uy z``3GyJG@9BjMonD{W#K835|G)Y>t{oP~sVc96)j*EWE|JhweA#U>2?$&LZ6?Dbnk4 zm#P>HUB-|!r)Nis(Z=&#iapz9FG+JQ<=ticC#VfO8J&iwdoP>tA91P}x01w3BhVL> z=Ca!iyS-(g4CnM$+?a+7;h91^z8x9m0Xk87DHXKmvEjiv&KBz+DA!I|1xjiWVm-OqbbW zWGoM~V@@CvL(+_rwB-%$P>oI>-CK&tMpbsHVLPOnIV>;ZKS6C8riJyMXYxbUT%dTD z17E^nxjG%*9V_&%6w}Ejy=$X-*CyoMoaDUAq<8!$sI4xdclSnnx2jskt~-1Qd$$c$ zUYjHIwn7h;b^zTp^Q{7f2v6+Ris=^aLr_UuQ4*`QHo#=KwTk{AsEzE*)l@C3VoSn*!OSnWw`xls~JHpsZYkFv)*${D9XF4MN_X}LlTn}tEsn= z%}w?JJ5Hw@XpN~@)%lEjuIMGf6}_b0Wn{1)@vTPNMh`p`ox?EE8_*28I=icDOBW+| z9($3}Oq`Wly+~2Oi>|=x!5VLJ*|STbV7E8k%dU#Rjjz#8fs!1JkGSj^MjK~lw$r!X zXzk2w>&ji>%x#mK9q0#p;+{P_FjaIj^LFil8JV;Nxi|~;G{<517qdRBdoVK&C2dXK zdQ!tDM&5Q7qYS*${Zdx(&MJ(Rz0W|=%HEp1IstUZaC19^n85c4ltn@;oWl>$1atUK z-ZrEa-B**hfKJ!f^{~{qDHh(iph574w8|JHFf~}-H zG&-_U%A>6g$K;(>N8?C=5-~>7&+#Y`t#1TxMU0Vg8eL7^4#37}cMsBFsNEeniB=D% z-RvJmaC9e&B50F$~!TYx0&r zfS*{+P^1#(+ai@HtXLxi3u83KnO0-0$y*F5ehz7mBhVhl>;Cyd!b*qut7zQ^dnRm0G z5i^cr;&&qin0K=jJE9~;1S7h$3YtG8e4*~FLS%gWz!e3yV?jk%$t=1%6Meeu&eX9k zPIoq6+A9%}dKMR=St;}acd{5^YAwT5Kpx3X@VdsC;7yd+vKNjy!NW5Vj47DtWH!s? zFW4*qWyNU)KjOJ?uVs z8a4;(J=6cU4{l;+^#8Fw_+C7q`QJVmlY;+y`(V$mS+WBz!A57e1J1?9b}hEE&;cKV z{lQQNjOiT;F`UL;xn*Hg5~HFr9*L4zbKjp~(wh5Xen^CgsaSpi|M={ui=8$6H z#uc2ka4Sh>;Z_l20(lIa4^JTH9CqjREH*&vJ!7oS%d=`$#2(Foh^b?iT)4?0`~-91 zR-%L~&{kcz{XaH@@8Go6T1Xwv5dJh2ts#6f&N+l%)kJiWYF06~Y zhp|RmWm^A8SdU(#t*3e7aGQbVs|lWXjrIT*PV{*(j^XwNKWm=kl8P3&w$hF8K4#oC z8Yl5Fn%K`Y7+Rwh9d;8t9dBOLdvvRb&0EC`6l*jl3(jqUEm$I0YqVeG!eWPYuNCr^ zNyGvrvjThN!a{B+hgbVeO_~RCSJdf-ne{NkbyOXW+#8KOb?y;(U%EmSy4mVdu{6BejUI!PvCulN6w#oj`>K$;~26Sa3$AqvIbRfR${&SLL0X|IH;feKuR z_NizG%2;d|R+tJPn)G5X-fn(-iCrMvjyX~^xtqW3@9e$dwZ~fVdPX8giG(O=vg4^58}4)tVC(+ zDH)R39bboMG!>|~Fv(rJKoU=7P?G_e(hW~edr7hcg;C>V)hz5AUl}>pRNjDbrs|r= zF~*o9NF4sNvRpx>LzqgrsIL-PUrn^2E96|O&U83t3mU|pf3VBIt2KDEg^eGPaizZ1 zrS`2Z9~xa7(aZW)xa=FbP3~(Anq32ha=IrQ(()!Ai@j*icJAL)YX8!?e?zH#b!qN; z7xqjJaAzMzrz9 z#?&r&sET)DWC6~Mh1Hs@5W!DQz>E_-Kc@KV_iyq3TQ#Y%3=diJU`E&oYPm3>`#l+` z2HDPZpC^M4=KSgN88KgTKJnH0#J8MJe8=bpUGuD(z7h@eLIy|Oq!%U8lQ(0aH(2E| zr)_u?ibsvc>Ne8T?o1u4sX1$TB_EXpwHGj354a;E`>4H$Pe2=AcaCl_t&?`S$_ zL%wxx|0{c7uZ;1UsT=)H-pS&~(XSMla6r-J3M%a5U_8KcsCpW3%LeFyN+xp1vv>r-m8uW)+TSDn}BLQp@&6LbL- z)IRDgf_ebi847AP>(Q@W2sUND_IQ1TE?cj!I43wKuJi^S-n}R^VVTKp{|mx}GXHgH zMhiY^DaAzXXF+yv?b(nBY7+rQuigIQk#Hf(Yo{?f&FNe1w68DqEl29nac7?vbbLb< z9_`dQyhn9J#Oq6K1Pp_8Kq(_NN`M?ZT7pDbH?-Y&C>L!XkNY>GxKBzXN@J5K4JOJs zfwD-Tv_l1?-v&Z}^G2BM+8&ldr(#-aunh&en0DceM%-z?*!O}9@j z)tp8f_9t_c=WgB7r3?8uy8Z761H=3ip)@{kjMH;6uujA+r)MzEj>Q>Ki9*yJXqZG@ z&jyMQAKbBwEutkK!LHc9(YwLn&A?_I+jBNI?cf>ZLyKMwg<@ISig#gM+=cbUru798 z)>#&9{V&sc3L;MHlo!^yhHQ>Pv4;HDv@Y(#dVy&@C&D_*qOD(Im%dlwD54r^{TdVs z>zDH`e5bY0V^8{msG!ynhHQuTjx65XTRRAaLCuMGkwh~zdsPN78hq3d5_~L3@wpi`_urfp$UNm66&oUr}7Y~%0Sz!XK#nBC8)lifPw^@|9 z^XABet2fa^q5*A>2!lL^2yS-JmA$nkuoBcNj!aDO9!y1<84uzp2tI=oli))*jYrUJ zjLe(mG*aD|29!IE%!q_L8jqf6wi_QonY2WX^%eyq^X7d%DqJaGcTjWFm6CfRpb~-wVYoKR#5@$$7zwwOu3RFlR zK~?qrTBq+&Bs-TgEj`_wO2yXm?KE}VmQF+RI%iQwdsO38!RXF+ogz6N;-hb8P+04Z-~N%y1gZ)HYhW4fK%C zt{HrV;|#n?Vs{&hWKYQ#!tgqbF9VO5djxhJ6z0V2cnjB_+BhGT#g;GPDIT=*N(n)u z-=5(#9BiDh+)|0-`oBbttT!zL_TZXvWPxHNuo-vUhKJt~V}jHX5T9XK)}X>mjSlkN zAx^gV?vM!NeGp*GD11mDS;#k^_OEyOQrv#0_0p927SSvO(}`68!Iqd{5XT}*c_ncq z13#WaHH8SaSlA71zTrh8qosH;5s%P(nS3$P6&N6C(*>Fi%oGuAjTehb?HP?;6Oy<1 z^1^&!TOq)g7sS4t)5vyaw&7##W>}(qb3lZ1fn_&{Ylf2rYB+zyone@XlU_A}@QE8s z`3~HCA*{GU|7an0EFIo&VLc+AvrNE4Xs3@@u9ECe>!#H4edleMNr_{h(tVjmmI%ajL zZvz+N-gQ-@#QSY-|ATpy_nD5I{<0x0xj-|eiWu6t7u3;=JHQJPBX1j3e z9){k6W#uk(ZSGQECw!fws%Kyg7WHtI=7`<+IPLMuDIIlMugc!)Li=@>+FPRmWM&|g z>>^7<7s)|4v!yF{xg2+MGo88iz$|IF#55eZTWodD7xkmYU@q=NyoZ}A-^0a3(V5#e zaPdCjUyfzQz%?_P1!_iLjyqOHXX7qEO;>&c>DbU4E>N0AvOG9DSm+sv>% zFvtwsLCg3s!&ufd$P3US$m_zm)Eb7lw^PhBmZDV7s$QaXpzMGpqAcMND2s9AM|3SX zHjQG({DLU0airv8NRkqVkOX;r0Nr`uqCZ7=NfH0q0=vyxVu4yqn{X#0Q-!zyX*yF! zGgBurnJDS@xn-s@UK|KYN{vp3ovSy%1w@7h>1^Ypmig#hz2z`-^*CvG2aM8ifUnXq ziY!p0&|5|!`mszI-eA?q5$~|N&?+(cv^!xSZ{*2$TSaSQ1E+N~U5EExsEa<8ue!jpmneCMiE=AW zh*QTSJ>~^-&J9OVD;-O_c5tx=S&rVq%^t*FinfhYH@#t*)q`|o4>CG%wtRj;cwqsE=oK(TlxC)u`c{~xn$gc$rI{(% zlw=~6m*%E&b8-z9;AX24)_>)K9Ml#cV*PX6iP_zZ-3v8L?fXFuQ~Q_kWpcR**B=Fm zruKgAX1o%h!$D5(AAqho%_@W+P@5IWI-&YIVhVqDsET{1Ns0-ApewYrO$_|>-YSvkW(`f7LI?9Hx zw3w4}qh+Rpt8pLRPOmyCFjm;bK88$!M$|NC^Rjbyqh}Ob9_Gy_Ih7n{%M`Q8ic&81 z9uxwlnawZ&<+jYt2-t-|Y->mpf&SteE4+HVOwIbid<92oG0;KMDiI5>$nBCL`1%Q^ zd*a(Wp>LoZ&8bNZo1Rm~hR%*Lo#jrl(|05@6h*|c8QJItv0y$vMNWUs={cv;{9vt$ znQ?f6UV-S%-~^oljN%?x;reDgR^44QIZMaOcLtUxl7ll7eFP@JK087=G;rM>R-LG` zz-4GePWzFD=x)b2?WqAaICh^fP2U>tApozGakQ~ou72j2tDkDRDQE53T>Z4h>PG{P zwQYe`;sc^=C8t0*aVxocQ@E8>LDy_0#ZvjOTFI%FPQvDa{p@e?R*s1^*wRbbM6h6o zPGFx1t=xDHO#L;wiA-A%I2(mn!CFH@iCM*5!PXX8p9eFj% zmU$#QqY4AbXYL$k+SqNP2X*F(j4bDOooexgZJA=yMqnGi!3`J87Q}{I4cihh@UFP) zvF5B?^70e4R38MIgRKm68p4w~U0d_pv}`d3j#dt1B^!D+bvulkDcra`)W*1Sl<18w z*rCbhV4Q6El{pCZrP<8v+=C)Thtf%N;|VGH6TbW-Q}i^r8r*_@brVJ`Wg7!iC}pb! zouk#4e+qRobI=vi(qUz3sil)ROGBOb>6T8y3^hCPks@+3L(M+m%b#Qr`0xcm_!b!= zgHSGwM{eRovqyWW#Z1MD0KZRcM&KOFv&5TKAvVJ;orDo^`wp5oBP^XHB4Fu^v~-f$ zq++}N^@r%D9B64qZ4_)FYR{vY$*7$xjVFkj@kuyp_dwTVGura(Frqfb(m9N%ooDGB zM%2byItimDYbQ|q{UK2s^rOr*J{?2Us?b%*sEwD#6GZL&kHb;p_lM1>oo{(|7*U&G z=_HJr?AzH*Otf?kBWe|vPO?$+e0NCHE<*Yde-dmVYJ)K{%cxD3#uG$s@JHdO^@Oe& zwJDZohY_`@md;^B?E*{ZFrqfi(n%OKbL1WN?IBUSAL+-aT?ku z1zSs2zxL;Up*g_}%d^9X+C`R5!l;G&wTmsCgi#CU=S)i{*{B`4z*@rWz$>Mr*MRY0iq`?ekv(8Qni$J`E95J9D$m z?SDcP$^}KcykU3^MQq-276c5jtZ;bwixW;yULIz0;?ZnZu@S(97eDw$yVGcu7g}66 zyg9hTXJFlNaY- zzzu3w|4joj9J&lgIRyAoSBuz8#k7Mior|M*+E;-S^J(8GoJK$G<17zTKihsWi>+{a z@yjTyDTf-m9`=#fe;>5+`UVuBH;tRu;W=I-^vs;DmWoNt@xrZoo~3gbIenw0a~L^Y zLmjO>oK5;sTx$pinr|1YKQ2qSP6*tKZ5yY(U0%cjaf#f?VOj{0t$g3r%oAme7^NUqVz3UKh~0zW@U$!iLYa_ zqnVSN%4KK{T|-T=6G2V&*>BaA zK@+c1vH&@3EaV7;{$TZyI7G&Y6%hIQK~PKEM;qG5%kc)FP}kP}NnKAvzSXqwT4e{k zNPsuns<}riU#r9qM$k>XR*4rZ>85AZn3WN4HEsozc&qVI{0J%DYCI*+R(Y1&$e#)z ztWaxD5We?2A7H`FEa*w!H|zfAh40v{5WCoP7#}%o8iPDPr}#eEzuCLLs-h7;_ocO` z+cbsW2a;}YjdVMQQS+yJ;dYr14>#wnqFdIRiz~4KUSlBRIq25mhTKmv2VLF&x+oOy zgSOZG*QeDZsMc6J6>6U2Qj8Y(jSL^&ZuB;Hc*miPOimR3c>bW_g?uqnH(J7rPCIbM zoq3a!%P7N^VhNrCuPwE&DD`dPJGO2J`;Bi`^e_7jNk-pcI^{HjPq~ zWqfJ0fY$LF8Qu@^qYku==LL_L)+ryd{)A;+jQf0>hIe7Tf=5^{$B`deXIZrMplQ7Y zhi=GFK4iTW3We{@tO)?`@_i;AVLb;&%R0-VtzQT|`hJ;do$?{;m6r93P3z(=tlLcM zd!%vU7t5lpe`;FaVp^xXu+Epuw$nNvPj51yf?9oy`CL_HTB2_f!?(MQNvwR|F}-=Cc4t zm(jx2)#mawcl$@<8Fs2G6E9bsg{0@NSm7O<8>ACdp<#aS=yhShboF#>wV@c_J8F*a z9UJ21(lzyg)RP(k4}YoMD&tN6rWK@mDrfny>TM@m1a zLc@{;uaaW%1#3oTJ+%XWaX2uWfy&|=-Z5UYo=%id9G^HWC_)wmI3{B8vh;&0G%Q*0 zDk&D1OYIy}J8*}|f(0szt0W6+Ydo7^_{3pB5wf6PiC7Gkeo%#mB@139#lkMN*-oh) z=wY&8fy%-mSoB6CTfGe4p#pb6h98%4dYW;KeBt{q`NFrWupX_&)dxSPRPXd2tZFBo zzRsdoQjcJ?v0hjpTf8ZYb7OXz9QO9&711sDp&AH!_c^>1aik38b^q)%%ncmgsVEey zv~j$PZF+GRZ}%>t6s#}CksoTZEZRDMIg!>sq&{R83t7|pIur`)D|i>y#a;8=VRl5b zAT89Yaf~+IlYKl)b2*`70Xr+?bw3Ut{1)elyk+nfUreFJ5*QNF<v`p%p%_)xBqlJ6)VadsQWKU^Id1-w-flYL4ljaYk4xT^7%pi5YfK`=E+C?j2Fn+ z0*{JE{xX27hA1XV;r(O0IMw`s`zRj2>@pZ4g zd=7VHpp#Tz3h zEk@|YTMx)r;qpw=u7sQ@L*XI0r!Q4_?;1|3<#_=7KR}9zsPR2T^ z@xAk#R2U3}z-~OX$9LYh!i4U7Fz*?0d|ftu-#d9r#kEXGx9^F()wp*1UNF0ePjvsY z8OK4BuZ~v^$3*?@H{@&oDr!51;9#{vj`ztjN3 z#@%94?@!l1!xef}i+mGb^uZTOT$uFqv6)}>!Gs<&QGD&mCZDZRy&9(Z!Gu2Y6?Ogr z15W5$2F)VFNGy`Z$a28hDeKW*5}>F{NiItHZ`x`#||U zcxf5QDu9m1{qUKjm}j{au7xaJpev%BF==;yPh zz(Q>vZsPkN#5Fwfd!OOSNp#MB2w~(j&rG2R`qiMh{Oae|TlTm)a- z8fKk*$rH|x?3^qhwJW%MTq4XE2MSmg^)24e>}cLkL9E=2HuJvY(VlIeg&)JTLlaXz zQW*6EQrfc={TMJ{=RrB(qt99`uFW`@eG{^HF^VY~=*@l(So)G501 zipWAVaW+l5#93F4Q~Z4Z=hBuaFn{!Q!}oAkOo%L}*g4cg0Fi0Ee5XC^6kzRujCQQV z{AE%6b}=N{L^>vZ{*{iQJ;IUXy*im*brwxbzOhIe^jh?LBnB?Lb|c6Oa5UNc{vGtv zL>rXlRxSiMYl;3n=&JW=FzY=Wf?73Haq-(ryttMZ9{e7(^&RNwjXSq*YTg)k_}kFg z+>A4thgw4Z8rb1B9v+Y2M)7bMm-(jF01U+Z) zb1MET6ghQh9aF;;#dA)g`HgbyA}q^3o|NwWmT>7A^vBmca5*v_!}hUovlfq3A@H&g zkSeyzQ&Dn(s&cAS`Srz+%G^U#daEj#QstenO4%VQ!5xZYTT|&+z7Hy7&Gv-&wSk&o z6f0a9W~M5le#SjBmW z%KopF7_7IELH<0b(64k!s3p{dd$Ae;@?Zi~@cZ%vfFie6!-S-F^CeU|A$-jnrvs;> ziNhBv5xB=7kqD+5%37f+GW$r{cgc9|0K3-n!dhPl8=`JekFh7bM%^bm0DA zWQQ~6Iq3&`LlF3udxN6GxdR2_CAiZmfv@ys#p*tG;+gjv=!qvPJ8SP0iqKdcTf-Dx zXo*fgBBpUubde>R;b>YEHMkw)t0{h$B|b(&S5{EPkbk_LL_%FzN8Ewuqo3tu{=3?{ zp@9JGfRLblJB-Drjq2Y>>CdTtj|CWqwUoTql8i%IO5SHl#^LvKd>{OxrfCB4vPsv<-OhSzmPHB^fJVFfDC9X-USReKg#c(m0Vpiiqx6Uywwo`Q4A{ z2y$^N4A%;A?E)bXnTtoMDi=zXC&Mbe4^e5rfypoS2#NGuhw_B! zc^0HiK5tk~#%lQCoO{y}O-%hQOEiM|yw4TtA7iLT&1ceI@K`E&EP_y?uCn`b$Y{6H z929F6D4Cw^RjA2;LM<+Slp*`B_H7B(LqMUc+*(J+;>}jz=TVdv8TyU|7%O6-4)9$| zGFHGslJ8lPakBom#g+%}ThbBH?fMx>gu2ShTH(^rUW87q5Z49?fyl(#vq@1oMXFpA zR%vmF%DbwH9V*Dhp-^Ei*4YSJydFX#7YCy}PGC`csFf9vHggg6Dl0!$WW%|*!V--Y z+OQ~k7-=;kT7Pa-s5>+1c>WEK-~b-0paC8kCXaYs<%6iXp!OflLGc3xO6KCND%9qd zA{W~Min&-Xp&o)zqOP(KP;l~2ii$*BZK1_Uns*+yq}N!|A`H^EUpByGIB5n6-}2`` zht;`O`j%q)mcIn~oUp6>9VX4{TxTIBuA`4E(TF-aM|JKYJLzlNFk!0|;@Wf}5Sh`( zs45ph1?l+=RLJLJ$)^xPB0U$QJYjlvg|x}%6U)iO>G`Q8nwa`}OEiM|xhRaNm&Y#lU?s7k5ag*#Zi~b}sD237O2rsgxGE z_^kyPD`KGx`_7V#6|j)x_m*UwTpR@Vr3XJ)(h<>}iLNXnx?;25(DvPnTomG(M+ihF z)<9Lon+6Mpwlb_zeuzqQRb@C-&@V243Ul#C_=Q~T2qBS+x1c;uU{QO>#Y{+>3HFo4 zD^_H~3HGxk8Y{G6(FRL2B3h?nNQsEntHT+%LcWfGzZ0< z3Y5&nO&I+mpt=Ys?R-Gh?xMK1QwYe4k$b+2Rh3<-^iDe=tn$_&Dwn7#d}sod{db2@ zY7S8uq^jHm6;#J6sIbHSMaEzlghYq^&l{wXU~W5@(xQ?4YDFSeRfbZ3k0lwaCPR|H zS(0%Yi3azj2YW5)h-x2-xl2S{EKCtm+He=5B*e7|LLjn{v{O|oq{_o#m7a&Fe2e*1 z1WGG_nNfU_Nh03FcI9gzs&%6+C>~I7WD9u(b63R6!hzvr zww&X>OC-Cjm7ND){?=aiCuQKw)*3gc@iig+})hBm^HNa|g5>U@W1%GEY4%8Q`6jpO2)D#tJ7labAs$N2< z?`SRtt4md=ED3dBL0AK<<|P63IaKi8OB|?XD%4{a0>jAnn^5JluG%L7_1w#ZYGp!E zF}kkq#fm#3*#}6dV^yfk5K6>q?(>BDn&x7#x=4kxOQ=7L zum)INmju)Xs06hu;y?wtgBA|p!;^7|_diUi5ePY;+9Uz>)N_Q&FrlazT~~`#s6GTd`oVs$oDg4%C12Ubl%U8h1FEumVdPz#cP;(OUa?WQR9t#pt>^MTOcnL9qJp=CB4> zot*^K<4_4|rE#D(EK^v`l2GGRs2?Ddh}E2@2=yh+#b7l}g-VxDdu|GAfYsGWKz$6A zpmtdtsK3RoS?D>@{pSP2$oHC1=gPV|A_=I+o+MP72}Q-|x>}$@og|?;sZftYC{Y0S zdkJ+P%^`qIL3LB1R*e^|UWT5ffdCdI0rk-ngz6OsYS~g44flcPNhp^J^)ZAJvC0*! zR?=JyR%feFzl;;CzNnEJqh(!9P6BG*<773)grZ_}UH$Nu!pb9|E>)rSLnsleGocdH zcGDbKH3fCG3e`bEHB+JHCjpfsSlt*0>IfC;#jzrQ_jUro{8er8o38>YN5bA_DP;b5lqv1Yql!Pi#q1Hes5v$IE)%!FTgVmWT)XsAStIuzc z8fay#s|iU!?R}W6Mw(DmjIOI4ufkZE)eRErA{FX)2qj`Q6e{@pa5M*2O+i(uQ0*jC z@cOU@SlyBY)X{>~^>LtDs!-34V#M+nsZgDhfVvzi_;N#1n^V|)x(5BKhL^) z6+(#uc=|(xdWPl@Kq^MpRbLfq(@4SUz3ajnfEtT(q-TSEPPbyx#+Rg(l%2f^x^ zI8e=1s3*&Tf#=^URL3NsE`dr=bHssq0NZ#Gy~Q92)y;&OAnWQS2qg;Okb4RB1kE9U zRE(~x0u}1hvjwYVbHW-3;Au%f-2;`NHZTs<2hS_4rb?)@Rj92HO2lgBJ%sv%=3=lK zt3v&CmSFY6RbdUVx+Dpxf9utb}k|-w+ThX=(^g9y|suYe3gWn ztU@(HC=si{Pzh?^(i~Vd1$BuE)k;F`uMBITuBwxOYA0A-83!s=g?jW%U>N!HRVXb9 zs2NZRYMF7M?!h)*L;(9ss9Y0jlB}!eAe1P8r!FMaVwyt$sTf^XC#q2E&Je8LydtcD z01i$9Y9UmDTE93@%b!wMRY<5aRjAJ(l!(>zI|;Rx=3=lqSB3hsOt9K9JFEd#Gm?OM z7bdrd|^#{#?6&0iFs#=BWBB5HUPz#fQ`uTD~ z`QkunD%9(`2;d{ovz$W!yC(tl&H_Rm69-Cszb3pqD3efqRj7BLFvAh&)sEJJ)oYNn ze2fktzh4v4uD%{BSgn~QHKxeA8lD8yPq&kmZbDHp8tSvh6{ssD)C3hud=H0T{*J@y zWT*tSuV@Zw(G=7S6)HnQ{eD?k19deQLWwdkTd5>OXF zC8)KC1GP|v>MfxW%8gl zE)LYsixpNkNvO+Bs0(CW9fVLKR=3S3)E=4xD=J3U)m+{S2kI>mu{>Jf!ise~#}q4;gsL;=hatX`(M7_3fK zp}rgFU<&RAbMRv%_h7+l>-4Y&SWQX->J6v_wb5~)zJEYrb)$s3 z#Dv0u?P?!{60y4VW52NAU!YQFnRH5F0P@(|Z1*_+2E=B+cs8E}W1*_%gSg3(S zWL=$+1k~4FvMMp5s2E*WAKeFIVOAGQsB=}QZy=P2RUfDXwa;nJ^f4N0k_u&$P>mQx zEe)`$OadxHu(~J?6u(~+!RoG4aB1XMt5EhNpw5R%Pz%yr3|58;b%KP_Oel1PtgDA1 zlqi6`YY4T-grZ_}0CQBR_fHnAo|`J2Gokt<0d+G}@csWdP;cA=qv5m|DWL|dQ2bs_ zB39!)gnEzW5WuEbouNVn1_@T5O$lotfc#!gBB)oO64XY-f%@idh1K;EYK94gL7a89 z7ea}kZn}|B4KxQY0J0k-t!dIywoc zCG!Z?CJq$8UlWlQ#S*H!3iS$v5(O|_uzH&2Vg#^{3bnCFuzGir)W9^7b#;0YP+wM) z)hQ+v6{G8F^&%JxC;NpGYPbru4MK@nodgy9{uRxERZ~#st5E+8AdUQ=E5aIJH7g0I zG{I_G9H_n6TZ>?IhlHA|LZv4GbskiL+TS!6gVoI{)NvB3wF!l_59{iF2qg+&uNw%p zz=Wb=bX^^-LcQIew)3BwD4jE*PD%pG0~LJ#DGt;tcfx2mEy^X-$tu(;2qj`Q=6XUc zqd5eyDORVeP(St)tkzEmYaoE`#{Px=T}dOgPO$p3y^SOctPCIMv=tR}~S z`sFr-m0v>5QK3?jfEoprpthgpVzBb4P{&HBEE8&$tgE{rlqi5FTtle&CKMH;1K2@@ zdaFPilC8*`bfqK!e1kf#^2B=VV5K6>qrdSP8p}s8; ztUfv~tbw!`l?2qYPzh>h#(~;0Utx8ngqmbRT`uctCxjBQx_%C!cF-JHQ8Bu%W~xw` z5^CR=um)J&kOb5ws06jDI8Z4n)Z&wXVdNVsRJ$agp1F!pE#pAlZ72ckC!umwsAnOR zD1iTtCe$M|7bAc@Rj9SS1gkfoM~&ICu1-w?YU7oJ>T5z#F}kkmZdF)Klu&1=P@5o> zh}H3e)f$>JeT;@0r9%CYFIatZZde0pF+B;Wf2zo8LL8`_K82M}LRG3z|3WAct8<_d z)PASA7_4qkp^lMIb`$CfSyy)^0d<^Ub&Cl_#pnRGQ=wivQ3UYeQPMdR>i8s}u7yfa z>l_E_*;|wV>JqAt3iS?z5(Till2EVG90J%Bt5a2|uX+krt4D@4kQV180rezQ@cS2W zpf=sCu$nEQ&NrdpDd!eHK`0TcYd|EZZKpY~qGEJiO;@2>NT|Id!Ww|OHVLRtp%TS?P}xa9J%J7)s5OrRb*BndD4~v4p`L_Lq5%FioKO$YT#Nu7 zuR?v;L$G=UdelH$VOJ89%^J149GR#TIJI)Ld!P#YTu>Zclol~+Q|Qlb8WP$E{tpc2%6rMVca zu2rErNvL!a>PlHxwQSf!wbSB2eR`w9 z>QV`Ho(Xl8tgG)Jl!(=pmlA3#&4Cpaqw8v_3e`+P{c=`V1FWt}0%{#pg4!i>l?2q{O9+)32kJHzDqli%P@x`$P@(|-IgC*E&|HiFc2%KP38*We64bOfP>*68FCu^?5~`;P z^*V$S1yH|;P|wjE0@xI*{wmbxxq?;Q8DR|suq+9vhoFML`xOUj-E|79izL)26AF6~ ztgEjfl!(>r8HD=I(REd!LIrbZJAY?cSOZX3Bmq?qm7q2w4%DA>VKm%?7fGla zRH%$3pdOq~sQ+j#2CG|Ds2&okoeK33gc1etH=R%m<3M#$q2B8v0{ATS=p1&#SXaH1 zfco%4LUlKxs2E*WucI&`fFmT-sVY=Ggc7kjO0asD=1d=>p~_UKhGR%0f78&g23UT9HB1gq;L)N~c~k* zu$pT^Q87Az87kD%ooPG&P8I5?B%m&XN>FPZ2kIg8RuKU_MM52~LM?$%q5!%sAk^)O}D1YA45ms>duKg4Hw$b&d&zUV?S?C4>^Ox^ya` z*3%qVQ8Bu%#;Z_&A4S{wKe@shfVwORsFhH`-&KkO^&94)5v&$SsB2ZIv?QSJokFOC zG#7)_O)6A336-rv-3OsW0o;8Wp>B@@b(9LVtdj`flhC7c*UP%CJCrrlgR3<0Z0@xI*UMkeb zM+#O;OTrompfd@myPy)(2E>6{d4ltp%T<4#ev#A8%D$P!1)sDDiz9>1k|DlgxW`QF<9NGLgh-R zRw~q85K0ulz^Q~X;y@j#LcOVp06q#mIyX<&)d@*Jy>~vLa!e>HM%UE~mn#80Q$qDu zq27m3B35k$t2b!Q^f4OBsY2~&Cs?g34r?GSMkWE(FrKW=hy%45dutJCQ6-@&RHy)i z60s_VN>KZT=3=nAScPgSq5eEYYTPL6>iQ(0+6Y#aCKMH;187sB9%%~tz7nd73iS+x5(V&-afEu9<`BT9Se>9kt!X1zy?%0718Gs51k@c+ z32J@fK)rvd!fJwqDl?%xvaU8lC=sh^V+pmI=D>=I(RDRah5Egs6@E5-LN5x+4jw9|scZ<~UGo zRj6091*?akN9Sr}T^*MM)Uq*zI@*MyVsu?SGZRL`gUC<`)mw#n8$yW!=n$-4p*hpX zXsBWpYP&f-B%qEEtY(=|RE!SbKiFG~VD-QexHR%_QlT74Kuv*4P)m;kb%zR7 zAfb*@p`L(Hq5u}1OQ`#44gqY6)p07+2U&vElKx>0q{YA_p!`q?YA3~kdV2RH%*;Dous*Cjs?sUqX4}Ksi*Xmoh~F?}HwlyII!N zu}MI^If76fOeiWw*VPjjDgiuALiJLi-hxn~0A>nSFVdXpV>Hx26>4is!D?lnum;k? zodnd5;bb)=4%8>p6jql=s4*(kw-8FisvlH>+7_CN!D@;Ml`5fj_m&#B$hx{R380L{2NuM%p{;DLM5oB#DVgwP$x>LBUPxy5K0ulzUL6?Zkj^? zn__jW3bi~#uzH~|tbw%Xmjsj#DnYGh9H_UZ!f3b;JXbtNtF;hH#A-r0q3UQ3 ztf&}WS8f%mv4vo@xge|oRuhwedJQT;ZB!hnZ>K1%swLFLD%2kkO2q1xvkA46=3=nA zQiakalud>5B?0x-Nrbu~4pgQJ^;~liz`LMF=WdmCbxaaaubxGyb|w@Rqw8w%WF>%u zCDaKj)N2q*6u=gO)w48b`WOw>Plfs{U9ft;S6BmSp(g>geHdAt8V73aB!$%s2{lrM z`U*mcSQSDAfB%c-Vz8R1Lj9K}SOxN>h9T=}b`ns{1*_>M6cwWb_(z4pYN3R>UWICr z1k^aF1hs!@4y>Aj@~KeWB~)7#>Olx43SfboP#U|8z8o-Cn`Q=wjlP@(`f6Re)3 zIRvmNs6rKLy`Yi*c8{?wgr6#_gM$SK-FlbT@9y z(tYc6|0@|CfEf9AweIOIUC0!*Q=B7xhfl3gAXyxVzmk=GJpEmZ9k_@laXltG__Jsu zVS;}aElZmC3PM!OpFfn+Mnk6jyIdL~=B&j(Hs@0Q3*z{*XrDsb{Ih6BScuO!V*Dkq z(BH9iSfYA%BGGJ1^p;kML|a>;Iw{LP_+CT%DwG%=jRx!Ey zwf;~+OZ$eR;_vIVwa{YH^=oCPThi@>H2&1(zT@bS5sP@}Pwi=z`B=O|lI<8s>1=L)dLM`_ zV~5JLd6Xp? z5vn)FD52t{2%#F>O@``dXdqOpA(SXX@}L6B?^0Ay?kvzy=c&9CkFxofbB_tR7lX3y z*Y=%eneSpr$EI!C&9NjSXumgFp* zp#s{UQdH1B-XhYDcao^>?jiSL*LKDb%X|+@Iw9>7EXfGk>qjZHYcN9r?Xky7+C8BG z+TTMc5$%(p0@_EoDN|l1J%DacCT%oEk5rFb9I#3hk)pE<%)%UL&dLS+V4~C=zPwRD8(IJ1fWOxu z3}(I3g%^Jh#~shA?wnG^`P-x!42k%>L8Gh~7Qz^F{ za*eYP^oya(>E9_(NAr0C<)|(c6w@AmOfinF{=(%2#DoS6OAQ^x%WwglqlG`|ql3X9 zW~%Y#kJCASEaLpJg!4yCB4_56bN+})+riMRt$Ux!JFUPk;yiYjzZ6v6LZRUF!*`kF@chQGC)bTuedC(q!|&fel)Yi z?eCULiP-RH8>Q16+OYqOyRF`;wDMFQslDh&Md(d=a) zhxgkgaJB^24zl zRhunW!*oQ+0)%qybuIZD_hp5-^>%Cm|EG! zb1c1Nl-^nhoL%S;A9 z%g~MV^kH;;RYq_}h?zVQ_kF8Pf+ggap_|u~7{NsM>?+WWtd6JIYz-f=Bk~+TXN1*3 z<(0KqJ=z*xZVl^3)`1~7f1UzH)(<>A*RD&95U7q1KCgteHj1IbSb#}uxWE}>e z?c750>lJ0b-^zSj+0DX>nPf8;vJO6~u zlExZGml9xfz7H3HN>DPdXYhJz_*&c_8NU9D_g&%Z<-G2TYleXJYv!|v2=Y->aFLGM z!FV~6!-b1`4(>bz3YFXtaFA+NZ#d}&W9L$2tZf-nzu{}%!T{a9VU-ZlD|FwNWxhQP zALFuNJ_za{E#_uwl4HcqejPm`~uo=CPrFJ*f z?htA&l#SPouom~h0<#e!@Vp4&0)=HnZ}5^_SNS8~vu%RzT$ zo?hy$V=k0e9#39qHM|R6C7jjh97xJh`D4QU1#15b0HT^V;!vOd3`R52tN2#vJ-*aE z2U}eK2L8>w{&=jTA>rL!)!8V1j%UHDwno1vgtGQ13-;*_*Ox}7ca6jAh4aRUH19@- z_Zo4Ufv&~jeE_D>X|%#1KZS||S2{c+1nqt!{`?Q@vC=Pl2MRV628}b*jJ7W0v<#=? z%{o10ub%Ro=RmgGXzAczKd@bOh37zqqvltfB5aTMz<}&{bG?pjgB%pu8Xo-@DF+Dj zUHfp;;R$ev_2&ovAky5t6PeGza4t=w3Dj6hz({GJ1~H*|=(fkpjVsXxqLcLP~nLvmu{S4?EsWsj0iVuQUgc2tiqQ zI~&pe-{6oCLZGjdz z4UMT;EFf{GC(T9;0-_SIvcw zRjYOHHb)J+8g#9`FF~dmyuja;#GFF7A7EoezK_y(K7XH2r#B`(c z&nVITqZ&$)*f5@hAR_=}zU7XZCzwtC(jB@nssa6f;TrZsT-YF#F2uxNiZKDB8T#92 zvGfoPu;FJr&W!X0Fbf4=KmKA_efp0GhCR(zSD*e3&KPP;LVmz0M0bm6NNF8TcgoY% z_}zVa=g)c+1^8WZTm-cjF=tsuBf4)n-or;(!wOW_v<13RngMg21ru@lD%=TN3HH|f zWxBq!_haAW-`A7CVrks@N`ZCglL7RumHGPqO}el1IEuuba7g@szyYajbB{~#xd>{IP_&qVo_L+*yeY$8@!61gp!d+#B|CAN}QI@;P z?b}f{aJ#;LePsu?uiNr$5=dWxLtt`<-_noH&)GQbGbF-0eN2{(8^u&a{KNUlw(pUy z8FJbt=gYdM_&cuMjLvfr#mcdszk`+i&@7L_*m4o$8fx#pt-*F5dKy!z_Q3{fJF5|D z<0b@t_|xh?pfUg|jV(kxd@KE@rC_Y}oU++gwYTwkXmsq#NcL;#M0_?QzrbM{z$=f& zv@eJESfziI2y(@^5x5lOptt+jutGnSSAB}YA0i5KShx&@pR!Q<7AES`9Vj$&%!bp( z`_=UV<6)$KjadO&db)^9efm0Ul7KJ;zGN2@;tzTB0_oj2^)f{F&^fps1?^biFUMM- z1o@|>^Ag?4_l9;SZExg+CF=&9>fSY#N7bj#!6`rZJI8}jSf4)8EMQn8X4Jrg^>e8x zJ2cU?u>)eS283gnl+hg%jOgZi%AYk5%J}=9gfTjgq$Sks$=FNDC}B~*t8pjP-y50S zE%+d~8K#fWy}K*zIwmN576F#yvY}eF9S&V5tP$9s^#$9S+A$J8xI^@ZT#aGXHFS1+ zEZwTo8+xKLtud}U)^81+P++82!UzV~svltR7ST;iz`y+9?;4(pdRr&wCr0`iC`Vnj zuTMV(XRO+gxs_=D{;V<-}t1=o-<*~Ad?pv!HWuU*N>K8!#ggzyx&4EhTT#fJ+YvXL)Hzd2^WDH-8pUKPg zuOZ*K%Zx{nk$#itBFA$*hRMC|LkBZAufX?-Ri8PGCn^<%2xZaAaQ=x$L$zex@NF}= z>Z_L{us2xwAsib9!@dE!Z(;_1-?5mC(kBzkpVdb|7LOE%q1o7%!R^92OqrAq+rzYZ z1BZ>RY$2#+tkkDCPtjAB&l^}?isrSmux{GCt2<<-Iu>#9ml3`GroO4+a=dj;bH`iG zcBM%Fe8*dLSOTSVC|uKsF|4k;%P478>RVnmaKlx0SF@4~_rMjiTY8qKxCU;R{f!BY z`GWMPxb4pWg7B%ec_SPTeTvo(_b}}2#q^g8ym>{!(a)#Nn*%qiK8715aHAY<)K$Lk zcxxlv$nH?Mu`xr|M_u=QCCBF=&r$1->7GD}X9b*CG5g2HJHz-3VSLzFpv=cfWy=Wv zrf6>vIAz7WK?3)OY4ffj?)Jv*W_=@`#Um_z_o4b#P3yz&;myl@Ysz{ocf2)31aY+t zVk*6FDcb`>Y3Qw-zSYA9E}xyzOwTAAxMp^y;IMJ_w+k8jQwIJz zdwbv#oSRuI@(uZAC%wSKnAnHvrkV{>+~=*|{}wvIZWo^8_e4mXeAna34T zN9+EODEVv5>&MJvs(G~JdYjj42T45ZdZT%5$(>=!%{Gs1%;O2>v88#m4BeH<#Dur7dWkn8)b)T6~(6FLB9Z zLq}ELiic&!nu&jG&RVVVWRI6zapjcRMFqX4&YWoz=K~_nD@Cy|HSvlIDksjk^vX#y zXH1Q^|RcTv&A!ajWp z`V8#duK-J{L*)7v_UYTV?|{Dj2Tbf;@PF8Q5BR!{Dt~|2D(M~9&udI?Yvp-sR#sHB)~S-!X{Q{#ru2_nXRng}as9~j zxAyk+4(wmCvZCzV6}8RrWK}bLR_sj;_x25TuNWEbSkc|r-sep%RC>eRvSOkmy#w8& zeQm?N=>yTF&9$2wpwh$7p?41UxAhK0Q^Ui9!_lQxjrFlwVrfM1U44W5qQh+i-6>6O z+#YYLu4~+JmPoV>r+eG_qJ4uSBhkSwMAJRc+tu6AHqeoZE=|-mHq*g8AzB906LPgU zT;S_TMTb)(qkZXU??^N~I2ax2Z|m!WE80$!ArR5dQk~JI+Zw8LDz**m85rEhsi){f zvcE0egUl>F>-^}VktlMuXk_W4?q$(M-DgFkONUcwbkz$0K#YC!yRW?RcC2Xo@c&)- ze+D3jN1}^{Wa98A8r5kY8cB_I4kBxPgB@+@-ob(B(C}b- zuw$?xi_n+#EYv5UI_xS?i4(~_jmmLAO6~{D!qmLoyFh9{MGrZU^v0wPX6xa?_vJl$=^r#`vQMo;;+3^#TVu8 zM*hB?ziIv+=C6+bt@fkJ?XC9n`r6M2L{+K}Q`1UJ1TaeXHK#gg%n>(U!IU+lCK_&Bo?bx^i@Q7(;Mkc(4Owny49ztL+q9J=m6>;Z$2EkYbdub!{W* zI88I4kt4W%uyeF8RU>E0F(Gav90eXzgC=d20n?nuOk;GYcA#r8CcY^#2S(DV{uYeM zv61TDz7)B{Pl(de+p(u|5aYEFOU&8<OOSj~N@)R5@1 zT2jOPy#sCOl&%cXZ?*J|pa{0N^^K;)UTeZY#8nnY3cqQz0<4xbMrK!t29Zo+-7`3l z+TWWN7X#`}rD>MfHrzcTngI8rY*Pb!aexo*!S-Yq%0Y--fciQH2lh(35W4}>@~CZS zD49MmloFy{VMein;CY&WF_dImM}KGEfY=9D|44UIMk_8C$qwauQY5?j2Rq^aKZFb# zh0!nO8%fNZ_J~0v$tgW$Bu57%Zk5^AJ~*5f7n*45zzDI~RAFs1yM~5)2hv^QZDto* zxDXv?*FJO#xc=6pDJOAXWA>-|JBCn8cbhb`ZR^9fkU5eb?n?~_@d&vFIxtmw*4(Ru zgP^|Cw|}HJ**V;c`CD>tSMTshI+@1&c?7iQB#p`~*_omsf%}QX4XOT=+}DP}7Qc|V z;Z%1o8u@V2S)=_b6OW}{$0#P5;y0Q2UUU=jQYH>9C5h>C2MUK`5aK^G>FL3t5%EWb z8y!eu1&Q^76b{7fr#w+DcBt;3#9{x_w}~-pr%s;-KZ^{5vuREeiLonk$i#=rIb>nMTP5GDZlRq^-mMg?!&t-gO9xp*s;ueSu2!K2bCMsJsqn1^&3~NvKW>f3t<&@Q7R|pg zqQd{zY(+nNSK;4}nSx4xd7k3`Ph+z1KMd_O^B0^+`d9AL{2Q77x=F*Qw-;6Trwdj1 z?USaz;{BRGQKI^#W!u+oUD(v)Wn;b#J3lKA&Dt@_r4_7 zd=zN1r*>c@P0LI1=W(cgLzVqllwwYO*21xV2by~Z_klsQfT)5U>Y!zY81W#6h9rv0 zV5ZC%W$I42(B4FHAhk~|uK>)EH5*MZ}3x^ z(tTL5bf&SiUx|%JtR4>x05_u6fzJ_2L7lLJ{aXzp&sd`6L!e4(3Jc(K2uSYlg8`B% z?YvC9L$hGr*eO0L3Emizw8(33!&>k$?Wse2UpqU+OK_^9p;G8ek91nZKNQB=i_qF(+kn7#*T77UO9dLKaD`?Qiu`pr(*r2#Tf= zXtTcKrS0wL=)=N3$ux={M-os3|GpVz1J0=A-rtMXcAj=#CYqG91K2&iIs3@7z@e#9iIDQ{Fk@6gNHqBU0DRxgC0=ZqK?9QP9^ri}ahHr>1bPG7 z3zS_GOYgxwy(#el8M;Ga?5D@W(gJ^|FGYL4lm{*o8b=**0*wgv>J)jGOc@QE7o$vm zD$BETFxlNV*xuHc>_AbBh*h#}Q8kJt>2w)Lq>P9q@Y>apuBR>ebRBl7w(u_19vM0f zvY!Xbf6CBR3AWTVJ3^sH2AafPo+{pS&oD}-e-LeMPwGHYimeyM;lbve%RqVEE~7i| z3L*Xo*H!qROg3$6XsNA_Clk%_ZB>oQrg&XrWwNfexg{BIs7fZqS6_uI2|6SQ{+ohE z|8r1FCozdiLXk)N^QqeYw(iuXwvm+hZ$ei?Pnd{n?QJZQjfz7tBz{3?C<7C5*Z13T zfg)Ku(l9tc+Ah*p)()^nS2Bq$)NC?>-*lh>#~EY-SIGn>uN7h|!nP^=WMxZZlgesR zoFy?;9t?TASo)$#NA;V{^l{ zXl1mbtfFjnbX9rzc`H|zuZ%85D@T8Z+)14k9bUO+^|^Rq+9)eqAsZm=94|*}UBUn9 zXhw%vu>);Tu`Z`=`}R=`tieYZSx5P;apW13q6cBetL&1Zuog*bbjePQbQOJ1X!>(*K%E?f0UinhQLH>b^E8rOmUL;)@(bi00WgMPe?n-4OJ)f_aXe z3u$34$PYJ+_P3{oag;%w+CebOIG9q`AMHGlwwiWJ%;ol{up@6Sh>9t8z&;B}%uQA{ zHnhZBTf`}!eGVlroLE^ih<;<<=zoP%n^>hVH!;QzCsr%WQO4wl6BP<`j4`F*#2SS; z&X{O8u~uPjX3Wws?=x^I+~QZ|Qy#A0UpX=?%v%{z5pGU(S0aPA`R|fxT1SW~AU?&2 z^9d0L;&w)CAVf6~cQE2YLTm=&PDX4bL^BX~F=A6V&I$Q6$6FPy8ZH;+-JISUfGdT0 z4}%GStAu$kgRKBp3-dk(cLQ82%=;Pa0eG%3PcS$XZo&l1{0xKp!%ZCkACRE6;E)k+ z>ID3E41Wl4JK)bUd>HV^kT5^T@C`%<{PzqWCwi|iKhN-;fI9&{$nXik>xB6Q1|I}? zo-iL`@Fc*M$o9hwKTR<5{RqRS2u8*qW%zl5k@LqGK230iFu%y~iv+I`=1GQM0^Ez# zeu-gRAZtRiM7GWFc5LYk4ma@zf-ryJze14$OrjPuJlH49e`MdsL*c4a`)IdAc!3Fb zhQhRGw+UMq9X&0BGRhw^?Sz+BJv`VisXt=sgNo|(UiSP?Og@=KcKn}ahNnZqs@g$< zz~4?rM64aP4VxMp>x939i8yDKG0vh_%D=JVmq67~`T_DyKzR$W3d4m<`3 zLQ(BgM3rnb{Yvzac(b5jZTt#P-J8Dq&tpLdmMEi8TrH zVl_@VjYVI+Pl{It1NJRoDljZe;;=n3?!m+0ho1yb!2UY^+QzT(HWJ)Vp;#bc{6YcS0Z_&YL)(p3_bt}||{Zx_W0$;cZbIRepsZMmGk1*07mfOjVL$ov!=HIaQ0HeFQXclT- z$)X5D0c}1vW6Y)=B23&UlVL}ku+b&2Vq|W(3g@R9IgF2T82J+G2633Vi=80Qi;pmJ zsusdmv+q)cNY=NF>=EWQjGYy(rZ%g4EX3)xvcxdRgj@UjJ@}9LpFl}k3rIL|X+TI^ z=YLjVEm1;MMm$r=C{R$rM3r!!D51jqnTC_XpIu$%_5L*oV%l-Te8Rs8awA-W9ek`o z%_k*DO`tB--PUnHm`{0A31RoNY%nr)NH(hJ8Ad=>0)evqDkC5sfj~)pjS-NCKp-z) zX9NTw5RiD^U<4!{5Eu=<$p}a~ATS<$ixGz<0y6m&BaTZ1r1G~JaY7GWtT2&EZ1`a(Zd2!ow}oj613}c#l5DBn zB-WV?;l)^8xD&EEY>f-EF}xXAN)SXy#~!&tG7~Z|n)XR#U2i|M8Ro@|%?~$^wm0+X zk#=D=F}^gf1&N~m78}MMHl28(GtLRtznD9iZz<+Gu;*>IhU;b2 zm}eoQ=zxQH0V5YHkLa!!=KcufXf9X^3?hU|Q*Bgni_K5w znpnn%>)SedNN;Q2$jKGF;%MyR1w68R6Vov0VLKjuB88?4{f2ooSIy;pVQz>mgUtu} z+Xws9VI%Vx(``3UNk*8*nG^{(l!SED>d)Yhkk`OO?>ez+3L!L|-)8PTVDJK*&e zCKc*3@#f6DHFpWJt}|T~Yl$VRYO!R)x__sz&1ZAFDBpa($XReb$H_rgMRFh{b_RZ|8t@-qTYGiXJm z?(xSu?#SIl{bvU4NIphP^Ct{OB4pps{3(O^5h(kG`7;KK!z67QyOaR?Ib%yB?2w7e;my_)AXu(#UrS{1t;|N2Wl!o4;moS>#JZ{Z|IdA{z+& z4TI&8^%T*+F}N!7N20#O5miKri2Cmgu8YulW%FeQH$)(oh51_sH=;!Y{2d2X6}gX? z|AWEm$d`!vpUhknA>EbvUkuhoK2G588B9dJN8leAY>E6E1@+$ywnjce;2#-$dqirA z{v@l_T(Db|P&>K`b<7hN-3_yv0w$Q|pL5BIQNVuR#4QHX_?OJQycQ@M4_V31qK zOim=Xj#&(H>zK_Tw~jdsa_gAOAh(VvgWNjiaYWoY<}=8xV*!KQIutz!`f#jRs8 zgWNina0a<`EM+jETE|%owyM@~HiNrW>o|ua>QSv@8H0VQbu7=LPH!$4(o!Rn`$Y# zIgg|~txdA!YmIDc=7LfuDH(B?wRs(gAz=RmY0-&wIWWPHDbN(?vXhnAN!%2x+zJ&~ z;W6p=Q}}Be594o$CQu=2qSA$MzXa@Tg9g8E6TJbG50O(NHzXS>=H^O1!2|HH&$)KLe~E@`ST}Q8WYL7`1W|6X99$g0`o=@ z`h|~D<*%ER>6gCQ2)!tYJ<_I@ZHd~3>c*Jp3EdF*?NpR~N4p4pJfM1=2=@)?<&Fq_ zB52I5}_LzRT}Qx*D69cabQt^J4NUygA0i&2c^(4 zMlB@{g*witWyB?q{?c$cB0@Jap`3WVgj<+U0RoyzUo4#-?rq0GO%b}4N$ZFtb(W#q z7_}k1k9Kjfn~bei5&Bd>$)=4c2A%O$B6K^WH+jkf=sNV06!1C7^bt$d?>&6e!0kQK%dhqDZo=6U7R#PLxOlf}N@`a7`nZR0NfhtEMU5ysfT9 zOecU;M>ELL9IKAUnwnxe#Y_S#m3tPsw>8I`s^ZnP4e=^5n-EG>G)FmiP@dq&{JtQJoxM_n_tVNXjpZUi<%aSz`d>odwXprlOFD>6^pIUhU)w)ww@ zK=kDtzGwy-Gws9BrmMP5&?zwvjFTK_(Ki=jc!$F2d=L{gCfo&~J1}(YCdpUwlPIZV5A@JbOBz2w1$`CKE$*sK)Cl7R;|B!v zfK?bjG;%S3VIp)J3X1R;Z~+Hw@~{GjmgvZV=R}wBfXvYe&dJ#&8^JBadX(CivHq** z8ydL`EfXD39mg_0OXy#Nyar2leMw0epR-ZX){#^1spuW3k_!BbM{(0e7=M2i`uTkH z<&}pJZUyz4q`ZS-JVG6$75^)`dZ8JE-otp5P&X5509_^p%wyF2z7NdWAe!@!IhnuY zukq-=u#-R-UtECft$P>H>-Nx} z9r&|SAiJxsf(yyG1E0adjpP;w_-njS4`1tl3{oWmUiTehJ)c^{{mikETH((RfNSLt z1$IAZs~^FiE}4)G)K}YzHd3JCIPnIIb<@%M*X82R%G1ECUI^EV;9gx0#~d8{Sam+p zq9~hHak%6!U~fV0E-37fmFH zLRP89;vyG@l4gaCYAkqZ^f9)pFqUdO6{jTZP=tJ!AO|KnF10G!GEM7g8%cJ^T^D1g zBKb6Fgu0F#d5v8(K!>byP13Reg@Pi36mUxBZ87xhkYzJx3^&x|i6S~))ramvdrBfA zvu_x&WN-gaGRb$yjO8WNsDSmHYcwh-RfKe=2W=XoJWHWRSKe}ZN%Xs+^4+zZ0V+R2 zkAI#m=i1i!L_dpW6l0l47KogWy-V^0?91`pMCry*pKOP+32QcE5h*O)h;a~0p4v24 z=?tl(e#T0b^2$lip&Dx?SI81>8D^c=GtN~3m3#%&GIas&LQulxaXDi{7_iJO;LC(@ zfr3kD9T0O^=?tB5Aw9OCSUZUIA_pG-45tyhTzV*cALc8FP#ro{#}JLmqmmY|Wki}t zClZY+MT)5dV9v2fqgodSAFdmF>ei^CMXeFCPS(cI3?R95%hSB>8C;{5gg$srOvLM) z$Tham0v4I2(&QVqj z{i+l)8QcS{#;mann8wLNtj27niQ7;%rZ{Mk=HZPViqbu8(qmEj7KQ$P8WP^rK7|oH zjs&5AhPVw|>fn;NL#FfoHzl3=?DK0%=PzYCy#l82R+ZF4SxN21MxQDE=}k#0&RCC( z4xLd#5Ab!6vk`IjIkO3mm05hO%*sAiW{rD{jB5iQd3Zsdv&cF+h9hj&ct^)9BkSmx z-ww#L62hE@`LPJ7!y#t71oYt$v*RC8$d+>mq>fK*>|FvcNWAy>hvhx~5m1cQmBbj} zGaJ^|2@1MLG%Q=rmM!O4hLw3N!?wQ-4}qP;CpRFha|&si1nu3F_(11a@-imd zQyg0D03F1)mNO;arBq@^a1*vuM}$?z)Y2(x6KPj!c#w2j!dg*CiN=2E6w-%zw~wrH zCM^^}wQ-i68Ea)B& zuTmb7ODT^e&QTr_#L6SdB9%wva+F5|ILade9OV%Kq&$)wq&!j%D36o_$|K1F<&i=_ zc_a~}JW?1^9+68akI3aHj|h;;BXW3@M+9o+5xFyzM}%PIk#Z{K5xKSUh}>FTw1@y# zc|?#$c|;&9kI3mLj})MlM=r@#6g@7htr@$2F7645%TykbU#UEj4pttKdmQBvp{WM7 z@<_4K4kMID1USkg$*Gk`1bCH41hck?lt&)WQ63S_t2_!Q<&m%CYiQm1hWA#BkupfM z=waw<{um%3uEdO+p8PG4_798{HDsen6uK8+#B5D-|DsS?clpmGw%dV)e$E6+$29+SEa3cs82Q$6>6 zjG1R4bd1va3aui_dA~5`+=EC!+{pKA6;V#;<}yiN!JW!CgN%8!SqeYvoY?5f5K=Lp z2?>Wl*N)^Chq34Y$`+mkM>LH@YNRO1}EXq=N@jC1s*aZY|Q&e4~~Ir^$`j$CS-lQ?IbBZ$X2$s)%& zayjE10nRu_fHTe!K;xX`pm9z)FwQ9l#yQD?aZVvH&PfD~a|%P_9J$muM=ocaBS4OG zA$XiqPBqSvTaR<(cE&jZ+;NT|&p1aQk8|X7#yJJ(an2>VW7^}g z+Oo$v9iF>MLKr#DNe7Q}dxA*Jh8AFWYl=$NfHrg0L&OoRwjO8b5^Uah5P)=dz=b%cLQemtno8 zR#zX566y``x^%Y^cY^Nqnc}`TML4OX_N4l-jW9B)h&s-q=@I_Y&Beb45#_hfNJvd6 zm;zqGM7K_ZXeBI|$f*Pqxkxa{F9Z{PNifMT1QUHpFws{DCUPmkByo;lB8UZ(WRZf2 zT#jHOz!6LYID&})5=@eV1e0<=FewKFlVpKlQV0kpi6FtGFeI4Br34eX9Kl3@6inps z2qprxU?O*hU?KzyCgoIuiQHN+k=qeW1h|5UAdg@okOdPt9l@jkEtp)AS1@TF7x#p$ z%FGEkaY?}>9W0p0J&s@^w08oo*jO+T;0PwksRa`OUcp4LM=*InM=%l2E0}B}`|7h% zWYF~w-AV7^PMU{PYt?A8Av*Zqi92z^C?Y=0y%9#%RcT|o4C6#rN8gMv=n`;9dY{hT zbCl$8wTE;6SxLAPdJc69U{lZ`ls7(y+MnK^CLQji66z!;Tz|JfLYi8c9XzU%caoE* zHDC#161{IqDsf?1T7o;HR9?Y$Lp~x?nR$WnuXn1)N@!f1G#4h(&;oV}e}l#kQTh{$ zSep(x+D6C`wZ0*f?wseK-Lt4ArxLZ~B2g>95ViCrQ7gX?we%%XOJ5~w$)!ZC#5tmt zAQrWfMT%N-Iii*TN7NGFh*|61C)V zL@fbQ)RMy^Y6;Y$mfRVlmJlpzl~ajYa%)jbZb#G-;EGy;JffCB7PaJbM6Cj}sC7xM zSbbbpTlO4Khv&`#2_r?Vbg-x;_c)?f`u5BL6&s6M0vu5*Ikl)Iz$!=!jav zc}1;X={l^EE6_y4hLU=02*Q|WGytpR&86I<4?z*wiD!1`b}3{CM=r^ zo{(cb$2@9KnAsxWs}OkiAl~qhIzS?fTmmgz+K9-c82JwXJ`XTdN7Qe8Ha*cgg}QPs z6q}jmc#JSb0$F)VPi_AIW)nJ{H$%YTh@aH(PA=qFxEJ@kUNHA0!ve2jv&@LHg2sP<}BVq%X|}>8s|0%?A~R=7Z!?^FeYs z^FadSe2^R-@kXE)Z{*GpZ-ij+rkrX%NNznJB)2mkB*2{y66Bc=63FvGays)t1?c&p zOY+VKHIIvXLRMww(wn&Cd{8=gK1l9y=7WUxF1-~S&j$%`=7W+`i#Gzi;*DUBc=Ldc zcq5!wyag}BZivr1Os@+D#+-KsCiA?r7lEURrm)Gsbv3o-&;%!-j46W%9P;M(Jp0O_ z$i{NZqfkcfjf(jtW)9o801a1tJz|w~qlxBFqm*k?K}$oN&f%kv{(L29N_pc# z5wQClU_!ZvAWuLBnIJL<@L@9{p9$i_G+7kOvD@;-mzWTcbl&%jCSafB2^c-F{J&rV zW;k{mjY7HKrDd=#hi}px`UEYx#lAPCGSp*V%cTLxNoz#gMLsVQqgE$A@>*)dhjY*a zqaAoN0k1TWk&GozBM85pOp>KJoU(Q(r-9eU`FsxhvIl_1F}cf9WMpo-aRYH>ANC7C zVcyx>)kQ3|IGhib*L(aAmgCOs_@8b2)QLY|J1JhB`FF^^3t-M<+w?wpfKN^{{mUss zzUJCp@nnne|IL%|>r+Sn0$2VGg*(yFzHqWWUJn}w$xX55+DgnVs~ekO(HHmLM0w!j zk*6^EiI7bIZSo-SiOA0o9#(~s7`-SW?>k8oC4o;e#r9D2ODusKBV<_=%f$$p{TUpP z_fP{jMZPbwr4gI}#6^<8QD%!q9G}M+wJ_2`FRcWQGrUv;CQN$Z<_Mj|n_?U17h&R- z+t%4B)nw~cB1A6PHl;fN8x+DPeA<$!YR|aHhRh}LcuIeAFRq4M@FE2lG2(+1+{l4` z+-=AXYcq$H+a)i-8Z`>GCk-2S)oRa0mv0+ih_X%B_*wP^&SWYpyj z2Sh>X^8C7F*}E!9mRgK&P$UI#e3Q=S6c!8$E5KE_f>=T? z<{0<<7XrNs;IwvO+(+HTI&%ErbX@Gf>o;g%_f!6U3A&hJOl&k4h9iwr9KDv0^Kk`# z3k3DdeYj#mixgq>7#AV5kac=v3<2UQ!tnwwN6zVoV_l)Z&0IL)Ye z&6~_lEN*tJI1M(#n3PSKlQqgUYv$dMl?p9>hqRj_51OJkK7r_f`Cz_rGwG0}P4h3$ zQzc?zQ{XjEB7E+sb3F=U%&7Ca$CxwLSqJQxL3?`j_-p?g(ty1QI^h-am^fes!wrG6 zZB%kjTtCoN$<|4!LqO=e1U83xmaQnh@OJovbyA81W~y99J#xT0>B};Z{G9Quld51! zU4L2DNiU|dUl0`$SSQU#R8u_GNdxDQCFC5}I_Xb1wXStig|Ty7>!b>kpW|95RhZHo z*E*@fL~~s0qzbb%C)+xyN`85cW1UnXDso^*R9PpbE0X4db%apXNfqLJLMZE`3bBC@ z$~vh+Tu2CIom3$<5~3LTZD2I;jGC07~nm3LMH|>!b?YpTpKk2_);JIc%L&!5;#wtdlDEFkoe!RKYh8 z9VVgvUdBx(_CS}`NfrH0z{)zQf=>XJ)=3rkAV6uIRDmY}D(j>Qewtw9`w& zqYOV!FmnDF!>0+ZAnT;c|BD1m>!b>P39zzGs^H%nxz}M5P1Z?s##$$ZsZSzdUt5}G zbc~SxBZq!Gl#^|pR0VryC}$k&q>6UJOLMG?{)mHoP*I)U>sTjMo=;|x9sj4%mblzUj|DK&d6AfXDQw>Ww0YCbO>TPlYveJf=UXXkcC^M;k#w8~uo1 zA^kbhe2HuNQ+W?C+A;m9`Z?rmw&_pBA92D)d%ue1L~ah|ZzIHo^Un6q-mn*O8`g9OikLCFl$pCOz23H5xh>Cfp3Yl%Xt zGU6#JM8gXzn5YuY6NOZ6XK5G~fW|TXc>qBaOVghpK&Q;nc8;F(6G%@ z8Y<<&Gh+VCzYfEF&L(=H!ULN&tiq7hcQ%K))I5^+EzDhW^&}k_Fp|3W4oJiJPd-TES^0OHUawL=dJLp3tB z!gxE)(XJ<2rV(Z19XTNUmIzh7BSURzn0gv!7GYdMz8YbnV6L_TvYV1|H(@gDg|v~W z8Zz28*JB%`op^I$RL_$&(vu{e7le#*nXP=zj$X#U8-8v^wxXn2L3*I-T18>u3SCTP zd@fL{zW~(VgLUo@Y}yK=g5>wF2~N6i&lqcNMTxJO2G&9vvOa@g<#;kzL{t5v$swgG zTSn2r9s&nnNR8%&EY|&sb@|sN>+wx0CE_`NrnnPo`!}xl=pISp8a=(9G^yG{zc9GC zK&9bAWc)R&J>EP$#~B}9@!KoWOakL*HT-A)9F(BbVYF7GT6Px?!O?0ciqGys3x!tW z9C*j@?1tdX16g{TNZ0im=SNtvc~ zgiu-yg*cxON~@s|8wjDa8VYeCA(U1_AvO|1X*CpLQ_$6FsCcV_tkqEItpSK>?md15 zCIDg{a4&WX`Mw zrwB&IA7%J?f|2vb7(Pv~)M_aIFA^-Z8VY_1u+nNM`1eM5F+>?@HG*Tc8m)?ii&5EH z4dwfID44C)P=q@}!Ev-2igvma;tV{fs7~*7v>M9u$t<$t|1|r@@+6`Z8CJU= zoh%|18CLfUa!W;q)$MgkO6B22l>sl%|hc`tVe@TV8Fg!T9`$K>KVe`OMpFKLw-0oGxQ6xOlX!Q$;(& zcoFd+%^Cj8nKH%+_Zka5dYC_Q(&!16KgcwTpw`1s*bug2ilc}58n}zb*TZ}mi%KcC zJbD-}uKi4HR3I{#e?Yl&j2GU6#Q(R70fCaQ$IOytOJgJm=7>Zq{j+7FlhfxTKP9RXWUu6WOB@ig7uQ3AR5eVev z>x_Ur1VZXzR2UF|KuA4|LO}2VA@wi{aabax9!4RKON7+JD8vbgPvwfZ z6b6a?o=%FwAhpjj<|Tzea-U<&A&U~D^e~(nt_+gWvhWQtP3mDtHWzsGFjO0WJ$e|z zTPxb72!G`)NHq2}2XWDdRo~Qzru=|K16r^PEe*zu8dfg{ga z6oWug&!q&J;uBMx)i=yDJ%B(;9d$@x2ybYRe#B9SkW;Bc$VKW9`Gq=!zN8M3U#LUq zOX?8%Ds>3ClsZJ>9CZjmtPYVZQXN7rM;$_dqYfd!QHKyf>JZ66>Ja6CIz&034v{QS zhbRQpAre9A5QQOi2)UFxgj|j~gaD}yA%{mDLZDWMkUK*iLI_reD5p|~kXx%m$nB^@ z2yn*w#RNGOa#9vOt3!z5s6!N>)gdm)s}9jTF762@JXak;Tv8n(9jp!^_c-bhLVHhF zD>hb#5a6goB&Sw~5a3mZ5bRNhctA%TLO8EFWQJ|beFjZ&W>-2n0u?Eq<}{)-SCNSH z@Ms5)EzF}XAR^T*!kAC(mNXG#9`Q9UG4#6goctL0VYdg%>T9u5o{e$5V^5`e(bu?y zrVF13X%4^UYwW%aOxBST2Z50<046Gh(f%2*8O?Kh`@7}wB!&1_@<(PgGu0Q8**ub% zi^q852mCOq*frAjH}WawY;I0E(_wzE z%2-Ik4HhdZRp}8=ruZ@zk-QIC8>?InCluBMLld>+y;1{ln9q;TBe^)=lQ*5_b12O? z^?s5W$MSfeR5q3|`_s(MHWzmymv3CNL9gg`4XrGnT(80UjP?Ab2Y*ci{-ja~Sux79 zIG#a`h}lFsgq4l*-4X)-r*BAzQ$)pU%K`TvYUW20l008?HIB-ZS+n?D^`p8gn%O(;?!b}nKglmr+`)eSF z4ZrgY2t5{JJ@s2)1N>Ns^%Pllp~yoHm$07lVP%zLe}f{^kA+xIlfQ^FAmjS`y*L&% z1=5lCC&;M=WOC7fEWa3#=}QB${9-_+FAd1_RRc1))PO8;&VWo156F^54#?zk24n)9 z0hs`2Kqi0&WXVATvT|TRRt^lvk_7{@LSR6a2pW(Th6ZGEsR5Z>&VWpS9FWQ38ITFo z12VZY24q6;fUKNqKqj{ykjd=~$OO0pGC`gJnLr+p$>|Kp3eW?xOL7O_$7Qu;?@#FP z+yR*|azK_29+1gB&VVd^d-f+38xP0?I0Lfe)B`dB-T|3l&w%U!odKC}-T^s8ci5BC z@<;dyYO-BqqV!TazF9~YgK~`@;cuS&L#-Vx`zEkS+k08B*Bi?XEs9pLC^Dww>?5Rp z!2n{wP_crRg6%*S;E{lG0%pSqv?!#l28cPFKQ%V8*Dn4Z07GVMWJwTV%`}FXMGL;q zfc0aDtq6&qO%4ohdhp1xslyfZ)Q{}#fFi`mqkRTg*shIHrbJQKa4MD5#C*yrtm+;>C1+ z6C-4l!_KkE2$T3bIiP$JbA~rI@b&e!(_8DEqx~>-CAW6cJ;R-ojxDFTh&Pp}a@vn` zt$z(;3#{ZS4W8LP1+6UL8piz>6lg#y@ywoY!|f>X0*;Z~)$lQyN>3T!l>*FKPG)BU zQtRfs{x{@iqq)AXQ!qi*7~%~rcos0%`#To#{745n4S({$_b`K z?8bd~-KM*xhhC)-zRwij%c-Yenp6F4Lp_6(s0Wx(id5p=jKNVDgz)`c@nMxK+M7Z2 zIf+Ti-*;#6KY%A>Q-$S>$0&TCl{FN|u0i2{kZfe*-l^`v$vvq9xPoHLphMhKGV!9+ zm@x!&E_h&KR296)RmNE7z6%u8TrP|n!GH8}3FeDDg9!Q5evw4-9! z#JB??BWH=c+tA|T#-bSf3h@g_WI9S;gy6nap)l$ZZDAasC|Awm7?bJPTtZ<-7>(PJuB3*W#rQnaj*L+d4Zv5iXGtp5TbI7(NKEwHOstgi9#G z2Z5TZ>U9ZKUoqZ5nTBfu zw@8v2o2rD>^F2zYW8+>OCbs$yfg@-);qMua;lAaRUo~HlgSzi;Z=qP^pzgo^-$C>o z9`?VQ?R$!uE|Z05;t|9Sy*jw&ee2r4EcXNGb9kUxUe*%+<++!D83Qm-@~vxs8DsdZ zYyS#{owu(2<&5#Zb?sltNSngO>tb}}PWV?bHsh^p|7ynaTi5;yhWV{){~Cs!x32wb z8N+W~`_E;V-@5j%W7zZ7wf{WEId5J2&u5H&>)O9wmWKDOYySr3@xFEKzkrd>Ti5;z zbFV`=X1sOnzbN-{S(Y1wO@&GfvMMYE->%`1@QtY%|HfRZI(^vCQ)i&ih#jn!Mi zvwZuX&wUyNWm5E3zSt4Qg zz#XZErJ_{$r!qO8pUhSfPh)Ix*gYfWFU>n8b5I)QS$3=sFYxxK$nMy5_7Ig1@OlU{ zn6MC~ghFYgX9IE1xw{$q;Z(m%kUuo^Fw9>~Kb zO#f0QCfuaycL#<4EGD*kh}z@XOxqo<8*J;u(Mfqt*nbYEzQ=j(zG-h8&V5qLMTVC# zxi3u3vZ{Aj9=kb!F(z*zIvc$j&2n#SNAs8EQHRvxZ(N3dLPreRlduW@`n<=$G-dop zrTy`|9-O8}e)Xf${%THg#-q~y&3PnF$9YuRUn8XfJu0nJ>OL7ww}EQ&u0RYy+lRkn zIfTDerXbhnzb5YoV9CoqukXKBCMeH)Uf=&QiF2fsUQg@u`u-z%zrtooSf1DSU!C_y z*@Gdn=)AuFy1d&E5tdp?VqVV~){;YTBK@23u)DaX~q4)9D(l25VD*6Z!r+)+i6%OHnaXtlN%=j6dOF-DwcrH2- z*BS}q9D{1YIO&9Y4uxAhycb(?=lmUh5U6MrwcuuU zCsc8xxTjj*ZBV4UIdM1g_oMLib|?>L%XA$imZx--oy?O!G{$>Xl!r2+ z{AFg8wIdCK19UAP+ppsParTPn220WP3Yx{ZTBK}kMbh=5hHPiG|izroHWHHX^8 z57<{e1eaW%LS;;WPX->Sab3W#irE z(E_i!c?9iTBw+D92ysc)8;4Rd!fD+KI6Ah$W^-)gqCd$aoU4R*2QDn z<6dN9TXPLtf`R(O;oTK)YQ%B+7SgBesBNi9#u}>dn2ST-*3i^g2W50iQ(e3PDh7v8 z6^|#9o0?(`l{Lu(-q5O5H~V}p#~5M~d#)|bIPB#H(ztCC7Gx^osw&(Hb4Zkl=2%TN z1|$bp-?+UMuR1w!BG%MW3srejd^1uQtE+>)Yz(owF1Fdjk>heu6g9Q=ja6~%Gd3nf zIFr=uAw@DtNJu$s&p2^WNR@RhRXCKJforX-*}O^Qxya^3T`l%R8yhw!5k|ZjFV;97 z@a}yTuvN9yJCi%G3lPUEHscZTrj3J6G&R;Yw#1Vc%e3N=8;4RI!$t%urnChZ{j#UxP&Bnnu~U0rowF&rDI7owTd*|CB>?$lJVw5 zvO>%li(DsWy2$2jo0xOfSmZh}+ePxB=44g1tV&g`b6mQ&l~lzOXzB3=Y{$=aIhs*@ z6L3W{xwbXL>S{MPU~ieCNz#0~K2|5@Wpb%nmc=qZlR_K0b@AqAQ~(~HYZePK$v81l zRSw&~$wVvOj9cgu*$z^DZ8PctJx;auMJ@xXqporDHtPJSSeZJp*d@mkwPVOjTyk?w zZFS2^vDC#-i>|J2LMO+~Yo{$xQ8(fI0ot%C=CjA8;h-sRnKz4bT#o9-ZB5B7+i*0b zuC~6mMJ#hk&6PFrs%>>~vE0Q`5;nDnvP?{ELnV$ph!rk2fo{_jZ`sz=&@9SbG=y4m zUHR&D=hB56loyGfl`grtrm+c9FWCwP)IZ*U}3J9<1r)Ro5VdW?!Sp#fJ?Zh3rSM1rIxk4;|Gx=lPFNn|TT z2#-S&-qgG!mVmWrsy&I2sqk|uFv;vqAHWcq6QZ@HT}$nu1;>ypHGoq}C~QMfD&w_v zh)ii(kK&+E z8w?-jJBZG~Q7p%V6?HK3wPQx-I0ubOO4D|pM!eC9%bg)!7ep^?pa7p=MuUJtHkdi zN?UG^msY^}EKs)E!7e=wIJ^-4LIyc=R^bn3)Z`;0n&IpX`a&67lw;BL5kpX`&^lCX zg%sNX3O(YydK#icqP3mS2gU%t^`jCn3XDZ|QP{F)+66EYD~%v6#5fiX(>-r%DxBws z*N1D-?R*ObVJ(c!e#ZL=AIJlq96`l^iYPIbL=0d;enEtsB7;JtfCw9&qC$mlx+Nb0 zmX+E3mTghbr=C1Od00xcj1l!l9C6h%%Heu|>l@h!m;!>BDH{M32i zUV-4J6&Ya)T#`$td4TC|>Y%ZsXrZS810`Q_&niOlHz4ZSluZ@x9QK5AphV8~=?qIB z(WUS)3;MBnh}9{E`C!U*!38K&Dh64U3(Jg)BC_cH6h%~)+akYHZcw}6@Gw=4tb!$F zh>rl5d1;x*3_*yq*2v79?J}!y&k3W)EOW8c5H<#=xR$#ylC{IKG8JcdNks7ov4Xt; zRa@kGET3(xENU{g1zN*9QQ9lRaH5k|h?NL+HGNFL6`TiTnZPw35V5VLFepe0gHm&@ z2Z4=@b$%Jzd118SLge6lZ=A%xo){?L4ITjsC)Gk+=$3#tD;Ign0AX!(%bkLb zxoN&ALXBe68uY44FP2KKY96q0*Q??kzx!qTwcLI;Zg+jH{GRUZhRmHCU0Zd(fVbxd zz1_)5l_z0Hm10Vri|u#Kv45sP&Ji&SLUN-QbiYfSzcqS(Ot=}N6rcB$>~&5 z5{5E|o1BUAiZ1EYB61UPlSDM@Z-yUKHgt(qz1yX^^**{whH0-8e< zF-9h;*!Aqr3rgGos0B`?8+Fs%aHt2Cs#ZDOg9Yadr-@^ZQtCW(>%Snla3CEVgx=~|d?9O{jyz}0vZkkXhYTio|V`ttw zJ+m9l(0zPnW}}(q)Ku0;J{xuK8KdW9HifxvT~N!2dO%d{Yv?dql*-N`yNXjpvh0Iy zKB@iNnPo4#j$W}iWseHtwTidwr+C`{)+=sNTEH_URibCir1bSRc}(`ajWp;KmTYI#+&$9Y9VxtR zIjoB?qKi<;B4_EEJx=Jd!uUWvu0XX7&s^OtFmGX?z>2jjF7dWWJqM-*z|_oE=@~6B zR=CZM#Q(TO=CY`k`T8cM-r{k^eMUj+hGpy03+d8x^&hehUPb>QE6kAuxn2OShWN1~i4qy^d{W9EcRraZN^-odW1KFlAgI{HRCQ&1CTdDH}f5Y%!CJiz(BgJ2YWhVFAhL=jVQOeo~PVk z0YSOx5u)@+rvgUOo!G`v?$rK{Ar1%p#HR3g$U8NRJ2HT977eCm+lCdPgB}r9&VA(M zh|wcVk-t$^v-NGuXtX~6?uWMSvG3Sp_gFigw!UO+u`WA&?AWoJt->D__+1^_t>qghQ2wx>--*{ zXOze_HX$ZfFB3blJXGju3LM%temoIUpW3t z5WX$FJh1=h!;kE=FSPz(wEp&n-|e(sdH3O6Uwp)xVn4LC_Ji9;N4M{N)Oy(H+H5bV z9WAf9aHqZE6AdlaH{SD8>kCHOdg?uwTMx-^I(30vKHPK7!}nWnx3_%udaL>&8C~nu zR?bm-zP-X~|EV?gxo3}AA2p92x%bGCXRQ0)^PF|V!Kb$#+f{1~9hRRvjKd$Z=G$df ze(S@ZdYe`9kagd|%dKzWOtkS2H5V?kP8{qq7fdD6S47%+^xzhJTfwuzn)ckopSs2> zPg{vCR=Gl*66OlSO1=EEtyYsAwTkSc=5(~n4X7DYtfj_zMlDq&aX+#j=9Fnt$v7VCd8-a0Nm2_`6@bsF@2ADz&acawqLk&$0+{ovPKVE;fG$_dao>$+hwNu-qcKq{?zcWN_T%- zx@UYzt{;`Rat-@YtF-lp=J_SoCFWwoir8iQt)VU}VlFXm*l+DWykDmD8ky3+eN$69 zaq)YejYsi&3pum+#a}jAo(G*LPgbKWEz-}PnUoOjE3F8a`jCE#)G9LSq~J+|V2V$i zagb*sc!c+6xoR@6d9LA+xU%o_6SCHKAXhIsY{gowi+;Mxe$l?dDr#+5XGbrk>A^eg z4R-ST_RY_K-%8uhS)VcNm+OY@3(wzGVg2wuXn58!<2mcc?>RbPO+`zz58ErP5_^jk zd8p1>Z+BVyTCIzZ#;j18weRwCt=tXP{tZ>u47>2|Bi4flyDk{9-*Mqp7gRTFwGOme zWiMOvj#~L$7>hCdT8|xUwWizA3()4R5QfhVyRh6UM9@FAw!eIVHG7M_*t!g(z$SB< zVMb7W>twm#_U4v5CVHMX^{6emv%NE#;dy6uo?(G%zA)rT~-fj>ERz*2hz*C`>g{TUf6j__09|GHeY1z+hXP0Wk<|eD7%m> zyX)WFvdgf`pILO?nLx&bc#`7Mb`&iXmle9)+LyLMt=*$PTYo{1m2a*wtemv9uk64v z>%h@>-Ft8MGxi16rRjSyNwc>!e*7JSc5IgwJ!*AgDo4#Sbhzh|_Z{omUe|uf8Y_MD zf{m3I-8cGYb1DiWfns<~6Eu&RK4g=sR$JV&LdF&;6rPn~z8infOwOE;+ zRu!;^#e;}vz2TL~vegyDD2>8eZd`h>*P2rH4!dsqCAFi=t-XhTXAQsn+x4SstpoNw zN7j$7p#RU@WKFZXw%)q!<{GQ!r}aNyaaa92-(l}M)_tEGZe}5BQ+(`TCb!{9S9Tg_p}^(znDt zR;0|j)1gO=RX!@)+Y~Me(e3$zli6oYp}Zd zgRrA3tPe?C!R6@rt=7#4yX@oj>t8w_?3eGlpmwKq_ra&_Pg$XR)>@VJJ@--g<(Jpm z$Ls7v=bIt)x2I(le0hSB!`ue{(3Qr$p9RyaUA^5adT|t5tk?mgJ1f_$KKDG_b+u9kAT|Hje|TI+yn>$r^GW%Upj|-L zS@45_BlTmA2oA){UsWy+zv8Fq`An~oSR8c9-6&m}zMJV=Rj{C!OFFHV=y#%Eqx@b* zwS?cM-2B?y(pYXZmb)~TTOP|@w>kHeQD6lNe1QVLzaZc%h*$+vF#4)rdC5lU(6A0) z!-)y#bUu`RLrnhyr!SV9AImK*u&e@~xfywxtbC&IqnFuGW6Phne29LEm*FcNy2?Mr zPxO3VPxUfg#g9@{NtiVL)-~XV=?A{wRO>Xrubk@!b>&ewpnhAvB)|sr-FKu_2v@jQRqf zRbcsQ3jC&^{7`uMo$p?xiGEeNyE|S>eki^>5ssb|e+6|%KcovqilSGbjF0&A>sNFv zpMHq%5_Bi(ROnjhV6vx8Tz-`9QXlFtPv!5AG87qKLBOn>5{My_jmRbA9sYd9|DTLU zy@4z6Hg8^uG*S6ey&a}_c^dXw>W%EtXxpVPbNt!;jKY_EJnLD^eBS;{bZwQi%b~0O zJW;wAg0BW=PW5c+5yxL*7YeMv4?*KAu>2H1@oCd{2OU0FUJzXyr@NBrs()3pRK<1} zhof!EUG0RkG2v-`la}-E5s#jsxN=L$6-p=3wGo7RC;UvhHe*0jclvqDk^E~50vi;0 z3|-&wzc!0b?TP&ByYcia8~v(s6I)P>D9n0QtO`A8K2MXV;JXB{S}T9vbP`?PHNfHR z3_62<^&i(pj$=WGjU>T%SxRiec1mNHv}QEMT2Vb2U~>Fx`$3s@X;fIv%3{B?nB&@# zP&66#IF_Ci71lr;b2^-^EaOd{7_`M6*DD*_ktxkIB$Z62po8m$`Nxqo-cA}FqHj7S zl;5!ipvJTMBvU=60G!xi)MrFYX0EBaw=d<|cv26>;c-=uy&uOOKU?)5$9R#OJ&xJv02aI(o2kFURHSTV*SKveW(SW@jgV$)k4P&65(~%3UAIMM^gM(FO_q43U`6?Ctyo>MC~L z=%N(6`&S2aj8TSYA{_z!E_Hl0>40>Sz@>QHzbBac0sc}>wEJNfm#^KAxVTd7e$>T9 zwfiv_w^X~o=;F$?`$-qKPP@;>&`3WN{V66ZghXQgN52~WqP*5~UAOQTD8=LX%iKMV zuO{v5635q=!g+~fpU)Fe1WdM`>35!s(gAci0gZ{CUZ0}hddF9@_O-|H)vS2jznc3| z*O%sg!1Z;Ko&W5j+#r z&R1L%R0r~hqtx<;6qT}bfs0Z!_wVIgduyD4wrOtNW(={-Jq!wT$)ElI^RU zlR;+W=tmtxyX#!sm@b}RT8qnLYj>ZE8{==D91J0EpW&D!}B2i2sV^nw8W4AJ~2cAia>Pl;;M&PE5-d@(!!zxKXAKC-IH zvtk(S;R6!+F*J%}RuEc|Sos}X#f0vryCL0FI%!DvFuYVMm89sTs;pGfX$Cbi2tA@} z*g>X2nGLQoJ*aE^3?Jy354xEVXBwA}n;Btg9X=3rMq5|c9=5@jVR66b-E;1Jx6VsC zU9#>!b3dJa_kG{F=bU@ax#!;d>eZ`Z3vHL`r!3TaCs!Y~&~~Xxe<0DwasS`X8vKP- zDkXaU)IrV6bED;*Dsc5<4*C{XpL5WQTs?&?iuimZSKsC!r;e!|WXX>@4MZ8S7#MA1 z-f{%=9~hD&pl>oH$^rTrL!ulY`t?C7q8y+L8FI!`Bl%9&@9S32J~7_Q4*Fvjx1WzS zi9T_R(?E?IqYu7l%M}_oQkVTMusWfWqX07hQah=4IbLeqNV~T(4Z`|ju1d3*X-~K6 z(XDz!H#zE7{koMb9Hfz*jD#KaOzJY_kVT|^Ew===R_d}tj2+o2Zg3wT(O;=P;vlZs zgKpKMTZx`4wCdNblnExd{fGF70ew4H=N-f~b&&8O!%6*3OhtxM4HyvGXuH!^XeW#$ z?5Lg8>6QVNmE`d(8%`BcUt^ku?W8WZ6=R*r^a;F_E-Y}SRI?W9rO(``+;0w)leuOO z;shau=Joa>SA^qTd=56k@y{Jp*-BjY5MLlWN&PX0C6{X_!5lL#rAeIYUg3){+fkuY z$Bm4D_s6*^?PA2elSw{kTlI=_zT_YoM2|Y?@3{JegP!K&t7zyd?5_ewzGBZ7+##9Jn$R3h>jb1B4g`6Pu zdw6{eCoF{*qyN2|qlJD2h6+p|)xbhKALOdrsqB>MX3zYO0{629;r@PXs1*^WT76Z{-K3-O7)W#QuF0b zHuxTA`1QoX`c!aF84#qbuM!Vs;b!@_WNj54f=v|gcABsSjtnTlrc`MXWW_5a*`Z@t0G z_i()-^=~jCcqKI#gS>+;zyt5+>RtzZmaG5WK`(OkYU>19zw!llsOKsIQFxafB)q@n zAkABiD<)37%eLwl-XC_5@P5)knzt;Jgrz+?-a9q#otpPf&3mV1#ua)Ph{}3%KscxF z<|;ikN(Io*O)Vh|0c~VEZ?X1HUC-6DgT}c!>7ZGze%e8Q#?>!5Nbb=|tUw~`U=Iyk zlP-Yd7KWq?fDSYC6Fvfx5V_8Y6P4*TYg9lbD&OiLQTg)@5|!nCBpMnH?ti@KJS-dd zh>>?DGdR~W>TTodRSpt8ML9GSr9_jMQM||up5!A&S@;q#lKwLu&9PJKg?zDrkKjGQ zRcRL^PFlUwwn|C9{)U78ma9K-5L?3@US@rpElFQDSDkpZaFXWS*vmbUMLWGdJS_6j z`s)%?b<(!-DEk}a9;r&Rn5CPz^;u>E&ZCtan;B^1Bceo>_y;YtQ#d$bYPcdW!*WW* zxl)&@0?s|kOx@xA+~8V1)+Daq%T;Ly$kF>Xu^z>e)K|KBBCT11=N0y8I3q}%@8}S= zR_ZS>6Le=m_}SyxTtP>)oz7V4Gih=o#8En28Y zs-k?%fH{w;n{2CIskT}uB^{G4fRU)a-BPLL_)6h%5jz7mR*}Eba{O-BF3FPBL1H2r zACEE>{I{qY$T*(kD=~Hw>ppBruB~LVz`$dCB=J)JzzS+hyQjDv2D(&#=%7=XLpvX1 z7K55mk7m>(jARUA-N91qK{M*tjQTaBe#?O?ovdt^>;4+4Z*o|j)Sqye@~-geVHy}f zs{Iz~m8vXWK_yk0*Wuj*+(6{TIGnz#Lgik|d%LufPw~K8KB#kyWIUMG_QZu6xZxwmTPzMk#v?i{VpMuIGyDq@B0l2QgG@BSEX6ZqEK2rU|aRb3e~kz zX+l~>Wc>{@5ScNu>R9`;T`$&1z1v}R%*h_s@ijTdu$D>XQYJ;bzL%@QIVRhsn52)7 zWNNAZlf#rk((XZ~fukSd>LU)a`g3KNFO6H0o&S-mBCIB%R$9_()V4}Jz}4?L=)|&m zZenW5Wij>;Sx&`UoU^vQ*kMWVLDD^*gX>PGn$AmPLJFhUKRO@X{>ucevu!_lP zzr!T*5CW3=9@R=^D{1vED{Dtdwc1gswX}MRZI$Zb>Rt!k%GFOhsFIPK$0bWiZE@pV z+C?&obSvfBdTw?hAIY^+ztmxBfJi%`SwSJ@@^6R#2NoD&p7-!^mPhCp z`B=xtA|ESN_;uSq&wMXA#c-BKa^#_uPR8Y_ol5!gc+fAI&)a!4$(?~W^7)y3e68Dm zh57!FkMa!91#$efl z$>*}fs1$Ru^nJ`v=3yC^A7{Lh|H;w|pHDGAxqJ5y%kV#0`bz!&?fn0*1saC&5IR(# z9n!16!WSoN>^qt~#ctxWnnXQ*(8jxDPd(ONKT6`Y3H;8_$P{X8 zJtTv(vn_&3f}Wp9T7+7avgO1&i=dKt2!8|kGqO-gEZ~nm?=iAaNj!nSdFbkBp5yC| zhITS*7=?!8>0BPds27fg?ph|1&xwyoD>Q0T9&#}ddQ8yBYQSr*%HTl-7ho}Zq)cuT zg>a$)KbV>})sSnPRT~B;CYZ$NQ_~`+-cjx#(H6M2=EJeMcq=J_aw`66YtDH`B7DhD z2-*MSZpdjN4SoK`jhLdLvAX4(6bt~HQ&Ym3`9upXNYvFt)ckSQwT*96^f7A zngLA!Ts{sWO>1l3rf6iu{d-)uBW^G|lFK(_0EI>3CyCyW$k^C$t~g=*bb?11&$`>8 zJc=KR8WTU7NOZmu)JA7&_P9qFjvb&zXBsKG7q{a&#g;24GYUG!V{SyHILO^6*kjRDZ&)kV*0*6hetv{5hJ7QT+je z&}Agrx?YbV>5vZqdD%m*0f>bC(|Oq*WB#dCnyA-1+Qw=JO!I?VChjaDynD;Li&m-Argrvz6JOj5$ob*|GK^Qg2nMNtIe{;d z$&Py4Q)+$~t#0C0&KIPQ@t2IR0nl1sgx;Z#Je*H|2tFe(h@FY^F(BXh-%;dOK)R1b zkyF9)n;vowkjq~b8u5kc7ujb7*DoOUwumomziK*h4e2sc#1{j=!d|T43(CJx6tcb$ z;b5xbiwALPd=YsSBvXsd3t=yak78q2Xai!yI2vA|717-2O#kabGwes8u$f_#ng9r` z&}dy|R%nz5{aB?&v_hkGnOUKA5~K>Pc4&=bRy%J)4M3!bef|oVu}|bxmrVCs+h+!R zPh_93tinEDv-Y8U7;m4StinFW(c0MO?Wo1u=O?&g>|@`?3B;96=#Yt@`eoM%^u@YG zJ5s3a5Rkf;%-DGyzPcJr4+ZH&Kdw;n!srf>n-T*S(Z2XgzJ>!A8DB0|X-=u=7su)> zt{7j`NptT=vHG0#h3qXnk}y_BFOpathp=@%0nR8^-vvGHI>`GhnZx~$)q)p^6N0gn$(DhlnA>hWiGNi(=gn)!uxNwhaIyQHfzLUf_L z@n-y)aY3^${#BIw0a5mX!{INgX;!oYqJ0nVfGRk+bqnpQ&G>S!fsGQEVfq;!DY5yP zciD!9^m!AS`#Q_GC;}!;YioRel02Dr=1`}raWsGvG!pQuC7i=&2~ve}_)`rsF75+6 z%I1Edaq%WxG2`M(xCkIpO#EzFPtmtrj1f8ceGY%d?-c#o7v%S+;ZWmuqS1x?PWR1? z-#38(Kt&*YH%BOv7E64t0@rv5Xqqj}vgi>*hvUQ5^|7xvD-@>l5*Q7!b{&8#7rvL+}C=}sISk*-M0Irf|exV5e zh>dW0l-Q>s@6e9jm0Dd>4;6Ypj5Gk_HU4Wgj zY(u{~K|m`ZzmQL7txq@OB2Fp_`Se>**kmo5>2)EWUI0PHr*u0=laWu4;>wA9S^}N% zY2?+~EK~SMuby$JSO{b?Y(uEYH|5@*Z%eJ{cR%f)7PRoPAEl zhM%)GyhG_s0NL3Y2NT&cIqKVBa-y~I*|4J- zU;17OsZBYm9)A=$aeE^1*I$Fi_^aZz^uz?^?;vL`%u)5RV~1#-22J9Z)ML;PkoXah zMMh}Up{JOWmvAJ~T02`A&ZHqddvPAFxl)~%iN7wC{q|Td%kv&S9eKHSn|S#l42F82 z0B7Xok6SNK;|%{IMP@7NCRw7khVEzSLXkjk+BA`{9|Sb^{6e!8enyZZ;m5F_E;K5e zAI)(b}%wLjoXk(9qCGmN2qNXZntlJQ)}=wQK&>WC<(1 zhGghM@pA@Jgvk>3;7k{apGW#6evZSGemqkPiXZy*GLt3Vhclm*#Lt3_ADwB455>;` z8$U%LWEa0s{QMjyF`49MO#pI=pA4>;_@V6Q>q8tVerE8uQvA?tel09#W^;-nzexPl zg2u#;_k#kK_(pg+`74eMtx8DuVbF9!XX+4*G0Z0v8>l+u^FS8Ro}5`Zdy0eUtv-iN zK=T}EZ2W|>UIdbamBI+OdCcfcvgq4jzZ+7@e>#tmeMAdGB`XlyiyC@vLl=s}0|*`y zhhN2+E)<7f$x0l455mq>L==bg%Yr5jPsf>NMKMNi)ipV48a&9pexVrK4fZC+ZqQ6X zPBHdnG&M2y2S79##TezF6UEpt%w}Rtk1dHY`Z~UevB+;ZMASYVsWw@|9@MHNg5mI` zl4VQdyd1V7FO&WKB3_;YNAm4T>&$wXB8=4->BN=1yc69tUVf)9h|kE&e>5dtJ^)l_ z3i9&RaIx_+Qd5lO$;{h*{2a3JGXn(TDhg$Z8Vp#IC64%l zn3m#alZ~GnfNHY)0nulyCyF0>`G&~=`jKy*` zjlFCu6hEJVBTQ~-j^SkXu(y0j;^z_Q>(6M!jN)e+NyFrpdYoxi6dUw@aFbi+(TY6h z7mAG^zgc2KKPN)e6dPZ#u~7h`Wl?N&t|B&Ww6Q^)e}TTaBECrd7Wr!#JM$B6tMSF0 z#6}HyO&9XTw=q8(UnDgF5E{#u-73ELS0J9sVH@(re&ht>i!HHy$cAU5DO5uI?85&5 zB1LlV9o9bf_hcee&=u2+u@7>l&%)BtD-~x$8%kb%50E7fSr263_0e%bcN6D4qy(h% z4ML;6Ltm|;)qpD}Gg9WQcU=2ujlA=!_0F-_zLIxZJ|^C|1mkL3ltox4?>uk4LtpRH ztZ1YhwZib5l$2+J*(MTwlE`zUD8eMHNQ zW&)hn{2yTt)Ye={&AcKJbsuO;;BZT791U}d$A+ee5Bu3n7eEI_RL}tX@D{6R0 z@@a6F_>|U9I%|Tu% z!%r~FqotuBUl^xf$_&ds$T2otepKEEn)=YrG%B^_F9i#z5-EB#VWO`nGViR$@}D?M zEPqc07jsIMe{fDLzm@Iclal3sWG(+`T+~*j(X@n7VCJ2E&}hABG~IWBj3#V3V+wh2 zqxIkpP-s~+n&zPCa-&J?oRkQ+_azq4lI)GUVf+k?wPB(mM?rsKdmru<&_D-`L0T6O z2_Dii13n(oM$c3*!cQYqCw+sGKBuG)DCyTK=^a(|eY28IcRakFwu~z2H@-&FTa@$} zCB0KgfAec3J*lMA)2M#mJCyWOmGsW4EZ?c5?^n|MmGlit`sS*ne?m!5V)*)fKdPjk zi2>-xe5xwxGfFz$1M#IFR??5DzV}xp{Z=KtUP)h4(qC252da{uQqm79ztcT$&l+vF zDCwhBN$*zD=auxLl3rHQi&aVQSJDqD>HC!QyOs3ms-zc{^y5nUK_z`rNuQ}o`aUIn zor=06O8Oc#;`UV~{e4RMtm=D8NpDeopRG#zfRcV#N#C!eKd7YduSz<7%f=f`t&2+f ztdjmYC4H_c>F-w3i%NP@Nq+?^D?ig7s7m^flD?#*&nf8-slLxwCA~vQr*D&czG&I3 zq+g_@AFN7xSxKiQsxN&(Nk6QlFH|M{W+k28@8?TjRMP2tWWL`IRVDo{CB0Kgr-iYn zPuoQ*+bmWkeN;(5qWpe9_5JIr?}w|BKCPtF`vAS3wj5H@zptb(RV95)Nk6LkKCh(z zt&)DED(SOIdWY)!aV7nH=Xd^A_(!3$*$WS+cW3==+h{&LPLRu5!{yP*{BGRwa6RQc z(NXCIWL6g0`O+8+_h@l6mAke$8nbI_qWkZmmusj7RU(CVb}de4+3Q7g=Dv5sV$1bi zcACGzezmNTaT@o867N_yi;ef{y{cI(@UrAr9q<>FIPgW)UsIq*WlD?=c|GP?hM^(~~ zDd}sK^utPei;~`1mGnAg`IM4gr!4<>CY(XN0jsfO8UH#K3$dcpDO8VRMZ_((oa!QH&d1L<4StHlD?#*Z&uRxRVDou zC4HTeend$hRMKawl73K0pHqGxP|_(K;U6YrSuO9cO8P@e`hF$7Ur9eAD`6{rt}5xL zsa&^NSsu$(>8V-mw9PBaAE-+DA=UQ<)%O|I_if7Z^HoXzCnf#3l73K0e@00^Se118 zgpT8fcQ><2dP+&BXQ=$BTc}FKU zU$PofR{u+URv%5L2;c8D zG-V*NQ!og93s62OHpo3dWL;;Fhk(q4oJIN*K&X%j49!0Rp?g*^EF&*44f)H^oQ~_V zRyW97fXuKj4Dx;;M{K|7eNAIQCeaBV#u}(3t^$ojrfGE}5E_+E`pr0_@+&+NXK3ys zq%Mkl8OTvwbQ*pe$bywl?P*_5UUht40zxeuawcM@1Blb`LLhRd-AL~OQs;SRJCFgd z?>7P|f{*J(8HkGnTuR&qWZu(|K67x=jm2&Xe}2yCLo0#>+tVPJ%#Rf^yUpj_^=u!S zbMUxmMEX-e4%t>D{bxXCJQ{kNW{1~{-=?LIM{_PAFd5WlS??vp^Tl=`v@dXd4}i$$ zO~!`PKXf^9`ein$i10y{> zO0qn^zmXU9&dXPX54T49el3trG<3OT5XeERAuc6u210j@9G|;@EMoZ$vhWYz_XN^m zbvEN79T_RaXC5@u5uZ}F8A@!%6&GWV6KBsCPXj4>$Ug(2&q|y=$AHXxG^gU>=s6EL z7f5GBL;9ZI*1mbK7Z-!3=pl_jWY*0Sr3C|7DvbVZwT=?KL=#dLtX?z#aZKTLi=VdwSqB<73fhRq zv)DC2d|%uEWFD=YK6xN(y;e5^DcW8Hne-U4U&1_lK(QJG!{db^j8l)#?bOQC@LnMM zy?#9mq#mN3&W{1v?6rCt$fD<+=Ydd=y1a1=$dcEp7GrduhnxdMR(fcRk_58WlYTjn zbza}M5dvCg`QHaZ)^gHIK-PGzZUM62ITA1VScrUfw~nJemTKSr54x$RR6>_D! zuY*8}o~)GK^R^_FuG6!NqX zpY`;49yFA(UHtqnAZvh_sH4oELmVb8f=c35&@AHU^r^$QIzDTWV4_^gXU2=>86nCN zRMvrJji+-95Gu~6-3s}AAO}5b>;`feEL}w10)%8ZK7R^iv+X;{_$m-8j^=S7Ydy~2 z2hwRZq+X0=^NG#YUqSC!Hd{n&cs6_it>!Ha$#@mWaZkfHV==bhla⩔Q91wAp5Lz z;xj}v9`Z*(QXX;u$bQfQgbjjluZ8&W^jQE+y(j%yAax${OCSqg{G4_U#)U`o9w4Kh zRo4TV_j-B*5ONI~vfpQb%y~M00my(S>+3{=7N!@dB>tWdPx_C6tnrXFzeAMK=sgRF zpA#+sa>%pBr9f!i@8V|@kPfe3yMWYLokwxjFq9pf9KmmXr1K-$2{|oJ4${+R_B@v# zF37d?;9w~`C1+!~d{*kiC3-@QIU>rWN;4~?EC%Dxysk2rpGuGAhEk9}o-Jofo74HB zv1}<3;5`LFrdSMedAzz0A)79hLnX`whR4(S^hkCnT`baDe9QEucdVCsiFgvwXnG>Z zWXc7w6M2QPq3m>~SjIILRN3gdTz+c>pI{_Ei3rSOi{)G)Z<~tgJbOefWo#3^z;;Vl zSHis3YCYAA(LH-bj8tj;3g`H)B0HA@m@mjp-+>}W%FIvc(K0QbOyJ%!TlU_3~= z(sEfxvgxwRnmdA~=GL~R#`X?WjhXRY`o?5qOKT!nU&xn(#^%d{#&&zs9yGS`Npov( znN(YX4yiT;LfzaLSlVPD44WKPoHYl@M9?+1 zC%tz9`m_d(;Kipc&<^b6tOM8GSyRvmrhE+@Yy{J=Q_XEbV={3O*XOZwjfY*Y3SeDNZpas#+I-@a@yWb{gJb#wsu${s!ObdSY28gn_F61 z+FQV)DcRoK*pzH-Y^>0wEi}DIYi+XDZ%tb3!-`NhG))V-VwRSkx+8LYE|VgdUK8u(cK`G83jW|qh0@pO3< zZ;?c8B8!2JA2@>iQnrY-OaR;r_Hd6)o76&SFRmA}x#o_xpfs5;=f<<3#$dzCM8RYa zQUvMM(ALzHL>x<==-s&4aI>S`ULx`!Yx4(jfI?YtNOpijc6>4@hF|LqtJ9`xRUDZZ zPUprZOW8!QsW&t`ez|BnY)~2sk&TjO7z;mZypTGZnZ%8Qy+Ni>*qx&+OJAZ?I4+MD z$Fk$uJhCPPSavC6-wEoXaC6focvwOo>@ULWy;jPK>z26`M5}^*paueu-IHa$;m-c9bX>2h7 z27B6C%oIZHN^ta4);84y>7gNaHTp8ROG!!?!`IS0gjdCG8OM}zMS21b3a-rMhf*7R zvl+_!mFF9$vlRQAvgOpqXrnC?+X{IK!1Ul)c1wQ!WT^yigcOx5uGqVcW=!cMbw#@G zfx3UW@gkP66S>eA7(=wPp(fjRZ0p;ybz_j~-MC}JHNp0c-Pf!Sy0`T9VM9f#vNoww zA(Ne$*h2opH`ifH{J%R}%4f%#n}RgB8oemU%;Zb$gJ477HQOz_AXFkqXU2!dAbfjy ztZQiK%E^3atv>2KHNhyIoXD2!u-!<*d_ByQgFl^V4KEqxTTC)Vgegjh>BbP4EQ%yB z3BrvZj)dMK{Tp>?{`6XlJZL#&07*$^Yy5``PBJ~Fsd zN^26+eY1(;6LQGZSYc!;T?*0@-L5#-JHx^34#N^<_UUY0c zn97zWXkin&7$2Y09WQIh-fVeC-ekKWn@z6FjfKe?5!Gu}TU)R~>=Gr(4n-4U$grWY z^4UG%{S-t*C?V%0NF0q%jF@%4qcL{^xa$xWageMZbLFw}#89bF4u%V*424{1ClGHf z3{8$@&5Dv#8!E`pZU_TLXF1nMADZ66PH#vq- zrv!yTJc{#d9zSlD4FgODvWV~u%C|$p6pMf;On8wHn$heGolSegi0Oljt_cJ|mTKY9 zsWf)j`bx|i-MPV1Ivk)m%B;a4QmYGRjOJ@|SgohWcI5XU+e+`k{a!dzg-dSa=8-&2 zYOW<5+?UJjUSGhZF0GKvB1?-H-YccYhCSKz?uH;(!S)T|vO2oOFu~ScNDpy8DbQ%~ z6OJFz3%YXR?Hes20fl)ts7O#~fzJgHrj&EVPr*nw+}2>BL(^wy)zI^jl+30mSRYNy z6{g{k41e-25p-wMQ#z~mjZL5x=0HkTVbTt;s|w0{i*(LE7m)}yWXG^eQ#n~CX?T#f zQ^8nq{WuJQouL_7(i<6>{w!n!W}?d*ZyCemBV&z1_C>5@r_nNGxtv-ekET1!O~`@a z8YE0WnaFSp={OESTgHSB)-rniAr(e@{4fZ7H<%3N%vcd6wD>|29hXjoGlEQjre7<~ zmHY_|Tfp-l1qjClJsB3nj2`~9&&kde27_VD-GUGgn#w2w;Eg=i%W{eDkOsl8Vm5|3Mbb2PUrM;fsi_HX;qt6-s2; zp`bJ|nj0p`6d)!8hm^1pG{VoLN)K4`PyjH>l)mBn;1{IMa+Z`eQDZoTx42{1LHx-` zB3OR5tW1Yk!iAb~6v)IMPASp(+9dCCWx#rQ0DJz-WEppCz%#lUb+f!(Lh$`RjvW5v z1I;)eO=U7;Se{`~ot_9+y%x>H+E%)jLxJWEGaie$tHc3{b@Om~atsNUx0K$RVq&u3FDb%jE+nkYWENdH zjbReNDsgx$jrYG=WAkGoY;1&o#d--Mms^X0SS3nBu7!s_!i2XzT`XgBN!xk)MO+p; zWrGty#Xygr4@~}16G4TiMz`cKYhh@LAkS6hLeZPEWMxb%!iwdwo7jd*ShSadso`8{ zq8yZQuM0dpztHUkZ>hKJjzEkOzb)}59O=M`R=ezw$TS`bQLYMS_~lm{vWg5FlhOP{ zHV6Y@;Xi0*&_HgeP}qi_RGM9|vx=RcBX09HT?Su1q0%Tp0~&IYY)&WZd+_unCGz zQgn)<(-g%_;cT-Monxq^iq%0xnKvEDu+vC7rlp&(hzUNB5!3L_|D-H=6hrVjQl(eN zSfpMkhMX)JEgUTOB1{ohxvVgkWR;<5KG8Mmlbe5?xg;ADMHvO@BwG~Sc4*-)+o55* z6y2lfK1B~GdPvbDhUTlIL0xb}c#@fZNX#)sPaJ9<_PCPQaY}v9m~Vc4=QQww1tymi zy`tzfMQ zQwG&}2u8QR{35~ZzD`#GP+M-feMk0AQ&-p7HNK9nDe9Z)R|k9W)q8+4qVZUZ^f7SU zQH~8|3G6_GA^o1}fv*<<{nW!LxT{IH{pr%|VN%9Lx&%055Ih89!OrW4hv1&#<=9OH z9c^kK%!nv|JJ;29c8#y2%gRmQpgXjRPOJrgBC15^FB&h#morespKkNAQL4r$i-m0z y;&J`1l2PEHjDwiJWhb6xmWSReM_KK_7VF0{6zj+G5bMYCpjURQ761PC{qqal_9zbk diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c deleted file mode 100644 index 2546973..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.c +++ /dev/null @@ -1,9104 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -/* This file is designed for use with ISim build 0x7708f090 */ - -#define XSI_HIDE_SYMBOL_SPEC true -#include "xsi.h" -#include -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/RAM.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; -static int ng3[] = {7, 0}; -static int ng4[] = {2, 0}; -static int ng5[] = {5, 0}; -static int ng6[] = {3, 0}; -static int ng7[] = {4, 0}; -static int ng8[] = {6, 0}; - - - -static void NetDecl_23_0(char *t0) -{ - char t5[8]; - char t21[8]; - char t29[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - char *t18; - char *t19; - char *t20; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - unsigned int t30; - unsigned int t31; - unsigned int t32; - char *t33; - char *t34; - char *t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - char *t59; - char *t60; - char *t61; - unsigned int t62; - unsigned int t63; - char *t64; - unsigned int t65; - unsigned int t66; - char *t67; - unsigned int t68; - unsigned int t69; - char *t70; - -LAB0: t1 = (t0 + 7008U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(23, ng0); - t2 = (t0 + 5608); - t3 = (t2 + 56U); - t4 = *((char **)t3); - memset(t5, 0, 8); - t6 = (t4 + 4); - t7 = *((unsigned int *)t6); - t8 = (~(t7)); - t9 = *((unsigned int *)t4); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t6) != 0) - goto LAB6; - -LAB7: t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (!(t14)); - t16 = *((unsigned int *)t13); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB8; - -LAB9: memcpy(t29, t5, 8); - -LAB10: t57 = (t0 + 11896); - t58 = (t57 + 56U); - t59 = *((char **)t58); - t60 = (t59 + 56U); - t61 = *((char **)t60); - memset(t61, 0, 8); - t62 = 1U; - t63 = t62; - t64 = (t29 + 4); - t65 = *((unsigned int *)t29); - t62 = (t62 & t65); - t66 = *((unsigned int *)t64); - t63 = (t63 & t66); - t67 = (t61 + 4); - t68 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t68 | t62); - t69 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t69 | t63); - xsi_driver_vfirst_trans(t57, 0, 0U); - t70 = (t0 + 11544); - *((int *)t70) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t5) = 1; - goto LAB7; - -LAB6: t12 = (t5 + 4); - *((unsigned int *)t5) = 1; - *((unsigned int *)t12) = 1; - goto LAB7; - -LAB8: t18 = (t0 + 5768); - t19 = (t18 + 56U); - t20 = *((char **)t19); - memset(t21, 0, 8); - t22 = (t20 + 4); - t23 = *((unsigned int *)t22); - t24 = (~(t23)); - t25 = *((unsigned int *)t20); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB11; - -LAB12: if (*((unsigned int *)t22) != 0) - goto LAB13; - -LAB14: t30 = *((unsigned int *)t5); - t31 = *((unsigned int *)t21); - t32 = (t30 | t31); - *((unsigned int *)t29) = t32; - t33 = (t5 + 4); - t34 = (t21 + 4); - t35 = (t29 + 4); - t36 = *((unsigned int *)t33); - t37 = *((unsigned int *)t34); - t38 = (t36 | t37); - *((unsigned int *)t35) = t38; - t39 = *((unsigned int *)t35); - t40 = (t39 != 0); - if (t40 == 1) - goto LAB15; - -LAB16: -LAB17: goto LAB10; - -LAB11: *((unsigned int *)t21) = 1; - goto LAB14; - -LAB13: t28 = (t21 + 4); - *((unsigned int *)t21) = 1; - *((unsigned int *)t28) = 1; - goto LAB14; - -LAB15: t41 = *((unsigned int *)t29); - t42 = *((unsigned int *)t35); - *((unsigned int *)t29) = (t41 | t42); - t43 = (t5 + 4); - t44 = (t21 + 4); - t45 = *((unsigned int *)t43); - t46 = (~(t45)); - t47 = *((unsigned int *)t5); - t48 = (t47 & t46); - t49 = *((unsigned int *)t44); - t50 = (~(t49)); - t51 = *((unsigned int *)t21); - t52 = (t51 & t50); - t53 = (~(t48)); - t54 = (~(t52)); - t55 = *((unsigned int *)t35); - *((unsigned int *)t35) = (t55 & t53); - t56 = *((unsigned int *)t35); - *((unsigned int *)t35) = (t56 & t54); - goto LAB17; - -} - -static void NetDecl_24_1(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - unsigned int t26; - unsigned int t27; - char *t28; - unsigned int t29; - unsigned int t30; - char *t31; - unsigned int t32; - unsigned int t33; - char *t34; - -LAB0: t1 = (t0 + 7256U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(24, ng0); - t2 = (t0 + 4248U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - t21 = (t0 + 11960); - t22 = (t21 + 56U); - t23 = *((char **)t22); - t24 = (t23 + 56U); - t25 = *((char **)t24); - memset(t25, 0, 8); - t26 = 1U; - t27 = t26; - t28 = (t3 + 4); - t29 = *((unsigned int *)t3); - t26 = (t26 & t29); - t30 = *((unsigned int *)t28); - t27 = (t27 & t30); - t31 = (t25 + 4); - t32 = *((unsigned int *)t25); - *((unsigned int *)t25) = (t32 | t26); - t33 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t33 | t27); - xsi_driver_vfirst_trans(t21, 0, 0U); - t34 = (t0 + 11560); - *((int *)t34) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -} - -static void Cont_27_2(char *t0) -{ - char t3[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t21; - char *t22; - char *t23; - char *t24; - char *t25; - unsigned int t26; - unsigned int t27; - char *t28; - unsigned int t29; - unsigned int t30; - char *t31; - unsigned int t32; - unsigned int t33; - char *t34; - -LAB0: t1 = (t0 + 7504U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(27, ng0); - t2 = (t0 + 2328U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - t21 = (t0 + 12024); - t22 = (t21 + 56U); - t23 = *((char **)t22); - t24 = (t23 + 56U); - t25 = *((char **)t24); - memset(t25, 0, 8); - t26 = 1U; - t27 = t26; - t28 = (t3 + 4); - t29 = *((unsigned int *)t3); - t26 = (t26 & t29); - t30 = *((unsigned int *)t28); - t27 = (t27 & t30); - t31 = (t25 + 4); - t32 = *((unsigned int *)t25); - *((unsigned int *)t25) = (t32 | t26); - t33 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t33 | t27); - xsi_driver_vfirst_trans(t21, 0, 0); - t34 = (t0 + 11576); - *((int *)t34) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -} - -static void Cont_28_3(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t36[8]; - char t43[8]; - char t75[8]; - char t89[8]; - char t96[8]; - char t128[8]; - char t140[8]; - char t161[8]; - char t169[8]; - char t201[8]; - char t217[8]; - char t225[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - char *t95; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - int t120; - int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - char *t135; - char *t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - char *t141; - char *t142; - char *t143; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - char *t150; - char *t151; - char *t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - unsigned int t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - char *t162; - unsigned int t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - unsigned int t167; - char *t168; - unsigned int t170; - unsigned int t171; - unsigned int t172; - char *t173; - char *t174; - char *t175; - unsigned int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - char *t183; - char *t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - int t193; - int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - unsigned int t199; - unsigned int t200; - char *t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t209; - unsigned int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - char *t214; - char *t215; - char *t216; - char *t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - char *t224; - unsigned int t226; - unsigned int t227; - unsigned int t228; - char *t229; - char *t230; - char *t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - unsigned int t236; - unsigned int t237; - unsigned int t238; - char *t239; - char *t240; - unsigned int t241; - unsigned int t242; - unsigned int t243; - int t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - int t248; - unsigned int t249; - unsigned int t250; - unsigned int t251; - unsigned int t252; - char *t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - char *t259; - char *t260; - char *t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - unsigned int t268; - unsigned int t269; - char *t270; - char *t271; - char *t272; - char *t273; - char *t274; - unsigned int t275; - unsigned int t276; - char *t277; - unsigned int t278; - unsigned int t279; - char *t280; - unsigned int t281; - unsigned int t282; - char *t283; - -LAB0: t1 = (t0 + 7752U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(28, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t43, t22, 8); - -LAB16: memset(t75, 0, 8); - t76 = (t43 + 4); - t77 = *((unsigned int *)t76); - t78 = (~(t77)); - t79 = *((unsigned int *)t43); - t80 = (t79 & t78); - t81 = (t80 & 1U); - if (t81 != 0) - goto LAB24; - -LAB25: if (*((unsigned int *)t76) != 0) - goto LAB26; - -LAB27: t83 = (t75 + 4); - t84 = *((unsigned int *)t75); - t85 = *((unsigned int *)t83); - t86 = (t84 || t85); - if (t86 > 0) - goto LAB28; - -LAB29: memcpy(t96, t75, 8); - -LAB30: memset(t128, 0, 8); - t129 = (t96 + 4); - t130 = *((unsigned int *)t129); - t131 = (~(t130)); - t132 = *((unsigned int *)t96); - t133 = (t132 & t131); - t134 = (t133 & 1U); - if (t134 != 0) - goto LAB38; - -LAB39: if (*((unsigned int *)t129) != 0) - goto LAB40; - -LAB41: t136 = (t128 + 4); - t137 = *((unsigned int *)t128); - t138 = *((unsigned int *)t136); - t139 = (t137 || t138); - if (t139 > 0) - goto LAB42; - -LAB43: memcpy(t169, t128, 8); - -LAB44: memset(t201, 0, 8); - t202 = (t169 + 4); - t203 = *((unsigned int *)t202); - t204 = (~(t203)); - t205 = *((unsigned int *)t169); - t206 = (t205 & t204); - t207 = (t206 & 1U); - if (t207 != 0) - goto LAB58; - -LAB59: if (*((unsigned int *)t202) != 0) - goto LAB60; - -LAB61: t209 = (t201 + 4); - t210 = *((unsigned int *)t201); - t211 = (!(t210)); - t212 = *((unsigned int *)t209); - t213 = (t211 || t212); - if (t213 > 0) - goto LAB62; - -LAB63: memcpy(t225, t201, 8); - -LAB64: memset(t3, 0, 8); - t253 = (t225 + 4); - t254 = *((unsigned int *)t253); - t255 = (~(t254)); - t256 = *((unsigned int *)t225); - t257 = (t256 & t255); - t258 = (t257 & 1U); - if (t258 != 0) - goto LAB75; - -LAB73: if (*((unsigned int *)t253) == 0) - goto LAB72; - -LAB74: t259 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t259) = 1; - -LAB75: t260 = (t3 + 4); - t261 = (t225 + 4); - t262 = *((unsigned int *)t225); - t263 = (~(t262)); - *((unsigned int *)t3) = t263; - *((unsigned int *)t260) = 0; - if (*((unsigned int *)t261) != 0) - goto LAB77; - -LAB76: t268 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t268 & 1U); - t269 = *((unsigned int *)t260); - *((unsigned int *)t260) = (t269 & 1U); - t270 = (t0 + 12088); - t271 = (t270 + 56U); - t272 = *((char **)t271); - t273 = (t272 + 56U); - t274 = *((char **)t273); - memset(t274, 0, 8); - t275 = 1U; - t276 = t275; - t277 = (t3 + 4); - t278 = *((unsigned int *)t3); - t275 = (t275 & t278); - t279 = *((unsigned int *)t277); - t276 = (t276 & t279); - t280 = (t274 + 4); - t281 = *((unsigned int *)t274); - *((unsigned int *)t274) = (t281 | t275); - t282 = *((unsigned int *)t280); - *((unsigned int *)t280) = (t282 | t276); - xsi_driver_vfirst_trans(t270, 0, 0); - t283 = (t0 + 11592); - *((int *)t283) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 2168U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t34) != 0) - goto LAB19; - -LAB20: t44 = *((unsigned int *)t22); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t22 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB19: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB20; - -LAB21: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t22 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t22); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB23; - -LAB24: *((unsigned int *)t75) = 1; - goto LAB27; - -LAB26: t82 = (t75 + 4); - *((unsigned int *)t75) = 1; - *((unsigned int *)t82) = 1; - goto LAB27; - -LAB28: t87 = (t0 + 4408U); - t88 = *((char **)t87); - memset(t89, 0, 8); - t87 = (t88 + 4); - t90 = *((unsigned int *)t87); - t91 = (~(t90)); - t92 = *((unsigned int *)t88); - t93 = (t92 & t91); - t94 = (t93 & 1U); - if (t94 != 0) - goto LAB31; - -LAB32: if (*((unsigned int *)t87) != 0) - goto LAB33; - -LAB34: t97 = *((unsigned int *)t75); - t98 = *((unsigned int *)t89); - t99 = (t97 & t98); - *((unsigned int *)t96) = t99; - t100 = (t75 + 4); - t101 = (t89 + 4); - t102 = (t96 + 4); - t103 = *((unsigned int *)t100); - t104 = *((unsigned int *)t101); - t105 = (t103 | t104); - *((unsigned int *)t102) = t105; - t106 = *((unsigned int *)t102); - t107 = (t106 != 0); - if (t107 == 1) - goto LAB35; - -LAB36: -LAB37: goto LAB30; - -LAB31: *((unsigned int *)t89) = 1; - goto LAB34; - -LAB33: t95 = (t89 + 4); - *((unsigned int *)t89) = 1; - *((unsigned int *)t95) = 1; - goto LAB34; - -LAB35: t108 = *((unsigned int *)t96); - t109 = *((unsigned int *)t102); - *((unsigned int *)t96) = (t108 | t109); - t110 = (t75 + 4); - t111 = (t89 + 4); - t112 = *((unsigned int *)t75); - t113 = (~(t112)); - t114 = *((unsigned int *)t110); - t115 = (~(t114)); - t116 = *((unsigned int *)t89); - t117 = (~(t116)); - t118 = *((unsigned int *)t111); - t119 = (~(t118)); - t120 = (t113 & t115); - t121 = (t117 & t119); - t122 = (~(t120)); - t123 = (~(t121)); - t124 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t124 & t122); - t125 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t125 & t123); - t126 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t126 & t122); - t127 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t127 & t123); - goto LAB37; - -LAB38: *((unsigned int *)t128) = 1; - goto LAB41; - -LAB40: t135 = (t128 + 4); - *((unsigned int *)t128) = 1; - *((unsigned int *)t135) = 1; - goto LAB41; - -LAB42: t141 = (t0 + 5928); - t142 = (t141 + 56U); - t143 = *((char **)t142); - memset(t140, 0, 8); - t144 = (t143 + 4); - t145 = *((unsigned int *)t144); - t146 = (~(t145)); - t147 = *((unsigned int *)t143); - t148 = (t147 & t146); - t149 = (t148 & 1U); - if (t149 != 0) - goto LAB48; - -LAB46: if (*((unsigned int *)t144) == 0) - goto LAB45; - -LAB47: t150 = (t140 + 4); - *((unsigned int *)t140) = 1; - *((unsigned int *)t150) = 1; - -LAB48: t151 = (t140 + 4); - t152 = (t143 + 4); - t153 = *((unsigned int *)t143); - t154 = (~(t153)); - *((unsigned int *)t140) = t154; - *((unsigned int *)t151) = 0; - if (*((unsigned int *)t152) != 0) - goto LAB50; - -LAB49: t159 = *((unsigned int *)t140); - *((unsigned int *)t140) = (t159 & 1U); - t160 = *((unsigned int *)t151); - *((unsigned int *)t151) = (t160 & 1U); - memset(t161, 0, 8); - t162 = (t140 + 4); - t163 = *((unsigned int *)t162); - t164 = (~(t163)); - t165 = *((unsigned int *)t140); - t166 = (t165 & t164); - t167 = (t166 & 1U); - if (t167 != 0) - goto LAB51; - -LAB52: if (*((unsigned int *)t162) != 0) - goto LAB53; - -LAB54: t170 = *((unsigned int *)t128); - t171 = *((unsigned int *)t161); - t172 = (t170 & t171); - *((unsigned int *)t169) = t172; - t173 = (t128 + 4); - t174 = (t161 + 4); - t175 = (t169 + 4); - t176 = *((unsigned int *)t173); - t177 = *((unsigned int *)t174); - t178 = (t176 | t177); - *((unsigned int *)t175) = t178; - t179 = *((unsigned int *)t175); - t180 = (t179 != 0); - if (t180 == 1) - goto LAB55; - -LAB56: -LAB57: goto LAB44; - -LAB45: *((unsigned int *)t140) = 1; - goto LAB48; - -LAB50: t155 = *((unsigned int *)t140); - t156 = *((unsigned int *)t152); - *((unsigned int *)t140) = (t155 | t156); - t157 = *((unsigned int *)t151); - t158 = *((unsigned int *)t152); - *((unsigned int *)t151) = (t157 | t158); - goto LAB49; - -LAB51: *((unsigned int *)t161) = 1; - goto LAB54; - -LAB53: t168 = (t161 + 4); - *((unsigned int *)t161) = 1; - *((unsigned int *)t168) = 1; - goto LAB54; - -LAB55: t181 = *((unsigned int *)t169); - t182 = *((unsigned int *)t175); - *((unsigned int *)t169) = (t181 | t182); - t183 = (t128 + 4); - t184 = (t161 + 4); - t185 = *((unsigned int *)t128); - t186 = (~(t185)); - t187 = *((unsigned int *)t183); - t188 = (~(t187)); - t189 = *((unsigned int *)t161); - t190 = (~(t189)); - t191 = *((unsigned int *)t184); - t192 = (~(t191)); - t193 = (t186 & t188); - t194 = (t190 & t192); - t195 = (~(t193)); - t196 = (~(t194)); - t197 = *((unsigned int *)t175); - *((unsigned int *)t175) = (t197 & t195); - t198 = *((unsigned int *)t175); - *((unsigned int *)t175) = (t198 & t196); - t199 = *((unsigned int *)t169); - *((unsigned int *)t169) = (t199 & t195); - t200 = *((unsigned int *)t169); - *((unsigned int *)t169) = (t200 & t196); - goto LAB57; - -LAB58: *((unsigned int *)t201) = 1; - goto LAB61; - -LAB60: t208 = (t201 + 4); - *((unsigned int *)t201) = 1; - *((unsigned int *)t208) = 1; - goto LAB61; - -LAB62: t214 = (t0 + 5928); - t215 = (t214 + 56U); - t216 = *((char **)t215); - memset(t217, 0, 8); - t218 = (t216 + 4); - t219 = *((unsigned int *)t218); - t220 = (~(t219)); - t221 = *((unsigned int *)t216); - t222 = (t221 & t220); - t223 = (t222 & 1U); - if (t223 != 0) - goto LAB65; - -LAB66: if (*((unsigned int *)t218) != 0) - goto LAB67; - -LAB68: t226 = *((unsigned int *)t201); - t227 = *((unsigned int *)t217); - t228 = (t226 | t227); - *((unsigned int *)t225) = t228; - t229 = (t201 + 4); - t230 = (t217 + 4); - t231 = (t225 + 4); - t232 = *((unsigned int *)t229); - t233 = *((unsigned int *)t230); - t234 = (t232 | t233); - *((unsigned int *)t231) = t234; - t235 = *((unsigned int *)t231); - t236 = (t235 != 0); - if (t236 == 1) - goto LAB69; - -LAB70: -LAB71: goto LAB64; - -LAB65: *((unsigned int *)t217) = 1; - goto LAB68; - -LAB67: t224 = (t217 + 4); - *((unsigned int *)t217) = 1; - *((unsigned int *)t224) = 1; - goto LAB68; - -LAB69: t237 = *((unsigned int *)t225); - t238 = *((unsigned int *)t231); - *((unsigned int *)t225) = (t237 | t238); - t239 = (t201 + 4); - t240 = (t217 + 4); - t241 = *((unsigned int *)t239); - t242 = (~(t241)); - t243 = *((unsigned int *)t201); - t244 = (t243 & t242); - t245 = *((unsigned int *)t240); - t246 = (~(t245)); - t247 = *((unsigned int *)t217); - t248 = (t247 & t246); - t249 = (~(t244)); - t250 = (~(t248)); - t251 = *((unsigned int *)t231); - *((unsigned int *)t231) = (t251 & t249); - t252 = *((unsigned int *)t231); - *((unsigned int *)t231) = (t252 & t250); - goto LAB71; - -LAB72: *((unsigned int *)t3) = 1; - goto LAB75; - -LAB77: t264 = *((unsigned int *)t3); - t265 = *((unsigned int *)t261); - *((unsigned int *)t3) = (t264 | t265); - t266 = *((unsigned int *)t260); - t267 = *((unsigned int *)t261); - *((unsigned int *)t260) = (t266 | t267); - goto LAB76; - -} - -static void Cont_29_4(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t36[8]; - char t43[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - unsigned int t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - char *t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - char *t92; - char *t93; - char *t94; - char *t95; - char *t96; - unsigned int t97; - unsigned int t98; - char *t99; - unsigned int t100; - unsigned int t101; - char *t102; - unsigned int t103; - unsigned int t104; - char *t105; - -LAB0: t1 = (t0 + 8000U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(29, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t43, t22, 8); - -LAB16: memset(t3, 0, 8); - t75 = (t43 + 4); - t76 = *((unsigned int *)t75); - t77 = (~(t76)); - t78 = *((unsigned int *)t43); - t79 = (t78 & t77); - t80 = (t79 & 1U); - if (t80 != 0) - goto LAB27; - -LAB25: if (*((unsigned int *)t75) == 0) - goto LAB24; - -LAB26: t81 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t81) = 1; - -LAB27: t82 = (t3 + 4); - t83 = (t43 + 4); - t84 = *((unsigned int *)t43); - t85 = (~(t84)); - *((unsigned int *)t3) = t85; - *((unsigned int *)t82) = 0; - if (*((unsigned int *)t83) != 0) - goto LAB29; - -LAB28: t90 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t90 & 1U); - t91 = *((unsigned int *)t82); - *((unsigned int *)t82) = (t91 & 1U); - t92 = (t0 + 12152); - t93 = (t92 + 56U); - t94 = *((char **)t93); - t95 = (t94 + 56U); - t96 = *((char **)t95); - memset(t96, 0, 8); - t97 = 1U; - t98 = t97; - t99 = (t3 + 4); - t100 = *((unsigned int *)t3); - t97 = (t97 & t100); - t101 = *((unsigned int *)t99); - t98 = (t98 & t101); - t102 = (t96 + 4); - t103 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t103 | t97); - t104 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t104 | t98); - xsi_driver_vfirst_trans(t92, 0, 0); - t105 = (t0 + 11608); - *((int *)t105) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 1368U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t34) != 0) - goto LAB19; - -LAB20: t44 = *((unsigned int *)t22); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t22 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t36) = 1; - goto LAB20; - -LAB19: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB20; - -LAB21: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t22 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t22); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB23; - -LAB24: *((unsigned int *)t3) = 1; - goto LAB27; - -LAB29: t86 = *((unsigned int *)t3); - t87 = *((unsigned int *)t83); - *((unsigned int *)t3) = (t86 | t87); - t88 = *((unsigned int *)t82); - t89 = *((unsigned int *)t83); - *((unsigned int *)t82) = (t88 | t89); - goto LAB28; - -} - -static void Cont_30_5(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t34[8]; - char t53[8]; - char t61[8]; - char t93[8]; - char t105[8]; - char t124[8]; - char t132[8]; - char t164[8]; - char t178[8]; - char t185[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t35; - char *t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - char *t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - char *t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - char *t131; - unsigned int t133; - unsigned int t134; - unsigned int t135; - char *t136; - char *t137; - char *t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - char *t146; - char *t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - int t156; - int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - char *t165; - unsigned int t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - char *t171; - char *t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t176; - char *t177; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - char *t184; - unsigned int t186; - unsigned int t187; - unsigned int t188; - char *t189; - char *t190; - char *t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - char *t199; - char *t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - int t209; - int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - char *t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - char *t223; - char *t224; - char *t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - char *t234; - char *t235; - char *t236; - char *t237; - char *t238; - unsigned int t239; - unsigned int t240; - char *t241; - unsigned int t242; - unsigned int t243; - char *t244; - unsigned int t245; - unsigned int t246; - char *t247; - -LAB0: t1 = (t0 + 8248U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(30, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t61, t22, 8); - -LAB16: memset(t93, 0, 8); - t94 = (t61 + 4); - t95 = *((unsigned int *)t94); - t96 = (~(t95)); - t97 = *((unsigned int *)t61); - t98 = (t97 & t96); - t99 = (t98 & 1U); - if (t99 != 0) - goto LAB30; - -LAB31: if (*((unsigned int *)t94) != 0) - goto LAB32; - -LAB33: t101 = (t93 + 4); - t102 = *((unsigned int *)t93); - t103 = *((unsigned int *)t101); - t104 = (t102 || t103); - if (t104 > 0) - goto LAB34; - -LAB35: memcpy(t132, t93, 8); - -LAB36: memset(t164, 0, 8); - t165 = (t132 + 4); - t166 = *((unsigned int *)t165); - t167 = (~(t166)); - t168 = *((unsigned int *)t132); - t169 = (t168 & t167); - t170 = (t169 & 1U); - if (t170 != 0) - goto LAB50; - -LAB51: if (*((unsigned int *)t165) != 0) - goto LAB52; - -LAB53: t172 = (t164 + 4); - t173 = *((unsigned int *)t164); - t174 = *((unsigned int *)t172); - t175 = (t173 || t174); - if (t175 > 0) - goto LAB54; - -LAB55: memcpy(t185, t164, 8); - -LAB56: memset(t3, 0, 8); - t217 = (t185 + 4); - t218 = *((unsigned int *)t217); - t219 = (~(t218)); - t220 = *((unsigned int *)t185); - t221 = (t220 & t219); - t222 = (t221 & 1U); - if (t222 != 0) - goto LAB67; - -LAB65: if (*((unsigned int *)t217) == 0) - goto LAB64; - -LAB66: t223 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t223) = 1; - -LAB67: t224 = (t3 + 4); - t225 = (t185 + 4); - t226 = *((unsigned int *)t185); - t227 = (~(t226)); - *((unsigned int *)t3) = t227; - *((unsigned int *)t224) = 0; - if (*((unsigned int *)t225) != 0) - goto LAB69; - -LAB68: t232 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t232 & 1U); - t233 = *((unsigned int *)t224); - *((unsigned int *)t224) = (t233 & 1U); - t234 = (t0 + 12216); - t235 = (t234 + 56U); - t236 = *((char **)t235); - t237 = (t236 + 56U); - t238 = *((char **)t237); - memset(t238, 0, 8); - t239 = 1U; - t240 = t239; - t241 = (t3 + 4); - t242 = *((unsigned int *)t3); - t239 = (t239 & t242); - t243 = *((unsigned int *)t241); - t240 = (t240 & t243); - t244 = (t238 + 4); - t245 = *((unsigned int *)t238); - *((unsigned int *)t238) = (t245 | t239); - t246 = *((unsigned int *)t244); - *((unsigned int *)t244) = (t246 | t240); - xsi_driver_vfirst_trans(t234, 0, 0); - t247 = (t0 + 11624); - *((int *)t247) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t35 = (t0 + 1368U); - t36 = *((char **)t35); - memset(t34, 0, 8); - t35 = (t36 + 4); - t37 = *((unsigned int *)t35); - t38 = (~(t37)); - t39 = *((unsigned int *)t36); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t35) == 0) - goto LAB17; - -LAB19: t42 = (t34 + 4); - *((unsigned int *)t34) = 1; - *((unsigned int *)t42) = 1; - -LAB20: t43 = (t34 + 4); - t44 = (t36 + 4); - t45 = *((unsigned int *)t36); - t46 = (~(t45)); - *((unsigned int *)t34) = t46; - *((unsigned int *)t43) = 0; - if (*((unsigned int *)t44) != 0) - goto LAB22; - -LAB21: t51 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t51 & 1U); - t52 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t52 & 1U); - memset(t53, 0, 8); - t54 = (t34 + 4); - t55 = *((unsigned int *)t54); - t56 = (~(t55)); - t57 = *((unsigned int *)t34); - t58 = (t57 & t56); - t59 = (t58 & 1U); - if (t59 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t54) != 0) - goto LAB25; - -LAB26: t62 = *((unsigned int *)t22); - t63 = *((unsigned int *)t53); - t64 = (t62 & t63); - *((unsigned int *)t61) = t64; - t65 = (t22 + 4); - t66 = (t53 + 4); - t67 = (t61 + 4); - t68 = *((unsigned int *)t65); - t69 = *((unsigned int *)t66); - t70 = (t68 | t69); - *((unsigned int *)t67) = t70; - t71 = *((unsigned int *)t67); - t72 = (t71 != 0); - if (t72 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t34) = 1; - goto LAB20; - -LAB22: t47 = *((unsigned int *)t34); - t48 = *((unsigned int *)t44); - *((unsigned int *)t34) = (t47 | t48); - t49 = *((unsigned int *)t43); - t50 = *((unsigned int *)t44); - *((unsigned int *)t43) = (t49 | t50); - goto LAB21; - -LAB23: *((unsigned int *)t53) = 1; - goto LAB26; - -LAB25: t60 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t60) = 1; - goto LAB26; - -LAB27: t73 = *((unsigned int *)t61); - t74 = *((unsigned int *)t67); - *((unsigned int *)t61) = (t73 | t74); - t75 = (t22 + 4); - t76 = (t53 + 4); - t77 = *((unsigned int *)t22); - t78 = (~(t77)); - t79 = *((unsigned int *)t75); - t80 = (~(t79)); - t81 = *((unsigned int *)t53); - t82 = (~(t81)); - t83 = *((unsigned int *)t76); - t84 = (~(t83)); - t85 = (t78 & t80); - t86 = (t82 & t84); - t87 = (~(t85)); - t88 = (~(t86)); - t89 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t89 & t87); - t90 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t90 & t88); - t91 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t91 & t87); - t92 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t92 & t88); - goto LAB29; - -LAB30: *((unsigned int *)t93) = 1; - goto LAB33; - -LAB32: t100 = (t93 + 4); - *((unsigned int *)t93) = 1; - *((unsigned int *)t100) = 1; - goto LAB33; - -LAB34: t106 = (t0 + 1688U); - t107 = *((char **)t106); - memset(t105, 0, 8); - t106 = (t107 + 4); - t108 = *((unsigned int *)t106); - t109 = (~(t108)); - t110 = *((unsigned int *)t107); - t111 = (t110 & t109); - t112 = (t111 & 1U); - if (t112 != 0) - goto LAB40; - -LAB38: if (*((unsigned int *)t106) == 0) - goto LAB37; - -LAB39: t113 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t113) = 1; - -LAB40: t114 = (t105 + 4); - t115 = (t107 + 4); - t116 = *((unsigned int *)t107); - t117 = (~(t116)); - *((unsigned int *)t105) = t117; - *((unsigned int *)t114) = 0; - if (*((unsigned int *)t115) != 0) - goto LAB42; - -LAB41: t122 = *((unsigned int *)t105); - *((unsigned int *)t105) = (t122 & 1U); - t123 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t123 & 1U); - memset(t124, 0, 8); - t125 = (t105 + 4); - t126 = *((unsigned int *)t125); - t127 = (~(t126)); - t128 = *((unsigned int *)t105); - t129 = (t128 & t127); - t130 = (t129 & 1U); - if (t130 != 0) - goto LAB43; - -LAB44: if (*((unsigned int *)t125) != 0) - goto LAB45; - -LAB46: t133 = *((unsigned int *)t93); - t134 = *((unsigned int *)t124); - t135 = (t133 & t134); - *((unsigned int *)t132) = t135; - t136 = (t93 + 4); - t137 = (t124 + 4); - t138 = (t132 + 4); - t139 = *((unsigned int *)t136); - t140 = *((unsigned int *)t137); - t141 = (t139 | t140); - *((unsigned int *)t138) = t141; - t142 = *((unsigned int *)t138); - t143 = (t142 != 0); - if (t143 == 1) - goto LAB47; - -LAB48: -LAB49: goto LAB36; - -LAB37: *((unsigned int *)t105) = 1; - goto LAB40; - -LAB42: t118 = *((unsigned int *)t105); - t119 = *((unsigned int *)t115); - *((unsigned int *)t105) = (t118 | t119); - t120 = *((unsigned int *)t114); - t121 = *((unsigned int *)t115); - *((unsigned int *)t114) = (t120 | t121); - goto LAB41; - -LAB43: *((unsigned int *)t124) = 1; - goto LAB46; - -LAB45: t131 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t131) = 1; - goto LAB46; - -LAB47: t144 = *((unsigned int *)t132); - t145 = *((unsigned int *)t138); - *((unsigned int *)t132) = (t144 | t145); - t146 = (t93 + 4); - t147 = (t124 + 4); - t148 = *((unsigned int *)t93); - t149 = (~(t148)); - t150 = *((unsigned int *)t146); - t151 = (~(t150)); - t152 = *((unsigned int *)t124); - t153 = (~(t152)); - t154 = *((unsigned int *)t147); - t155 = (~(t154)); - t156 = (t149 & t151); - t157 = (t153 & t155); - t158 = (~(t156)); - t159 = (~(t157)); - t160 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t160 & t158); - t161 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t161 & t159); - t162 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t162 & t158); - t163 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t163 & t159); - goto LAB49; - -LAB50: *((unsigned int *)t164) = 1; - goto LAB53; - -LAB52: t171 = (t164 + 4); - *((unsigned int *)t164) = 1; - *((unsigned int *)t171) = 1; - goto LAB53; - -LAB54: t176 = (t0 + 4408U); - t177 = *((char **)t176); - memset(t178, 0, 8); - t176 = (t177 + 4); - t179 = *((unsigned int *)t176); - t180 = (~(t179)); - t181 = *((unsigned int *)t177); - t182 = (t181 & t180); - t183 = (t182 & 1U); - if (t183 != 0) - goto LAB57; - -LAB58: if (*((unsigned int *)t176) != 0) - goto LAB59; - -LAB60: t186 = *((unsigned int *)t164); - t187 = *((unsigned int *)t178); - t188 = (t186 & t187); - *((unsigned int *)t185) = t188; - t189 = (t164 + 4); - t190 = (t178 + 4); - t191 = (t185 + 4); - t192 = *((unsigned int *)t189); - t193 = *((unsigned int *)t190); - t194 = (t192 | t193); - *((unsigned int *)t191) = t194; - t195 = *((unsigned int *)t191); - t196 = (t195 != 0); - if (t196 == 1) - goto LAB61; - -LAB62: -LAB63: goto LAB56; - -LAB57: *((unsigned int *)t178) = 1; - goto LAB60; - -LAB59: t184 = (t178 + 4); - *((unsigned int *)t178) = 1; - *((unsigned int *)t184) = 1; - goto LAB60; - -LAB61: t197 = *((unsigned int *)t185); - t198 = *((unsigned int *)t191); - *((unsigned int *)t185) = (t197 | t198); - t199 = (t164 + 4); - t200 = (t178 + 4); - t201 = *((unsigned int *)t164); - t202 = (~(t201)); - t203 = *((unsigned int *)t199); - t204 = (~(t203)); - t205 = *((unsigned int *)t178); - t206 = (~(t205)); - t207 = *((unsigned int *)t200); - t208 = (~(t207)); - t209 = (t202 & t204); - t210 = (t206 & t208); - t211 = (~(t209)); - t212 = (~(t210)); - t213 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t213 & t211); - t214 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t214 & t212); - t215 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t215 & t211); - t216 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t216 & t212); - goto LAB63; - -LAB64: *((unsigned int *)t3) = 1; - goto LAB67; - -LAB69: t228 = *((unsigned int *)t3); - t229 = *((unsigned int *)t225); - *((unsigned int *)t3) = (t228 | t229); - t230 = *((unsigned int *)t224); - t231 = *((unsigned int *)t225); - *((unsigned int *)t224) = (t230 | t231); - goto LAB68; - -} - -static void Cont_31_6(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t34[8]; - char t53[8]; - char t61[8]; - char t93[8]; - char t105[8]; - char t124[8]; - char t132[8]; - char t164[8]; - char t178[8]; - char t185[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t35; - char *t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - char *t106; - char *t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - char *t113; - char *t114; - char *t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - unsigned int t122; - unsigned int t123; - char *t125; - unsigned int t126; - unsigned int t127; - unsigned int t128; - unsigned int t129; - unsigned int t130; - char *t131; - unsigned int t133; - unsigned int t134; - unsigned int t135; - char *t136; - char *t137; - char *t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - char *t146; - char *t147; - unsigned int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - unsigned int t154; - unsigned int t155; - int t156; - int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - char *t165; - unsigned int t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - char *t171; - char *t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - char *t176; - char *t177; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - char *t184; - unsigned int t186; - unsigned int t187; - unsigned int t188; - char *t189; - char *t190; - char *t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - unsigned int t195; - unsigned int t196; - unsigned int t197; - unsigned int t198; - char *t199; - char *t200; - unsigned int t201; - unsigned int t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - int t209; - int t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - char *t217; - unsigned int t218; - unsigned int t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - char *t223; - char *t224; - char *t225; - unsigned int t226; - unsigned int t227; - unsigned int t228; - unsigned int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - char *t234; - char *t235; - char *t236; - char *t237; - char *t238; - unsigned int t239; - unsigned int t240; - char *t241; - unsigned int t242; - unsigned int t243; - char *t244; - unsigned int t245; - unsigned int t246; - char *t247; - -LAB0: t1 = (t0 + 8496U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(31, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t61, t22, 8); - -LAB16: memset(t93, 0, 8); - t94 = (t61 + 4); - t95 = *((unsigned int *)t94); - t96 = (~(t95)); - t97 = *((unsigned int *)t61); - t98 = (t97 & t96); - t99 = (t98 & 1U); - if (t99 != 0) - goto LAB30; - -LAB31: if (*((unsigned int *)t94) != 0) - goto LAB32; - -LAB33: t101 = (t93 + 4); - t102 = *((unsigned int *)t93); - t103 = *((unsigned int *)t101); - t104 = (t102 || t103); - if (t104 > 0) - goto LAB34; - -LAB35: memcpy(t132, t93, 8); - -LAB36: memset(t164, 0, 8); - t165 = (t132 + 4); - t166 = *((unsigned int *)t165); - t167 = (~(t166)); - t168 = *((unsigned int *)t132); - t169 = (t168 & t167); - t170 = (t169 & 1U); - if (t170 != 0) - goto LAB50; - -LAB51: if (*((unsigned int *)t165) != 0) - goto LAB52; - -LAB53: t172 = (t164 + 4); - t173 = *((unsigned int *)t164); - t174 = *((unsigned int *)t172); - t175 = (t173 || t174); - if (t175 > 0) - goto LAB54; - -LAB55: memcpy(t185, t164, 8); - -LAB56: memset(t3, 0, 8); - t217 = (t185 + 4); - t218 = *((unsigned int *)t217); - t219 = (~(t218)); - t220 = *((unsigned int *)t185); - t221 = (t220 & t219); - t222 = (t221 & 1U); - if (t222 != 0) - goto LAB67; - -LAB65: if (*((unsigned int *)t217) == 0) - goto LAB64; - -LAB66: t223 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t223) = 1; - -LAB67: t224 = (t3 + 4); - t225 = (t185 + 4); - t226 = *((unsigned int *)t185); - t227 = (~(t226)); - *((unsigned int *)t3) = t227; - *((unsigned int *)t224) = 0; - if (*((unsigned int *)t225) != 0) - goto LAB69; - -LAB68: t232 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t232 & 1U); - t233 = *((unsigned int *)t224); - *((unsigned int *)t224) = (t233 & 1U); - t234 = (t0 + 12280); - t235 = (t234 + 56U); - t236 = *((char **)t235); - t237 = (t236 + 56U); - t238 = *((char **)t237); - memset(t238, 0, 8); - t239 = 1U; - t240 = t239; - t241 = (t3 + 4); - t242 = *((unsigned int *)t3); - t239 = (t239 & t242); - t243 = *((unsigned int *)t241); - t240 = (t240 & t243); - t244 = (t238 + 4); - t245 = *((unsigned int *)t238); - *((unsigned int *)t238) = (t245 | t239); - t246 = *((unsigned int *)t244); - *((unsigned int *)t244) = (t246 | t240); - xsi_driver_vfirst_trans(t234, 0, 0); - t247 = (t0 + 11640); - *((int *)t247) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t35 = (t0 + 1368U); - t36 = *((char **)t35); - memset(t34, 0, 8); - t35 = (t36 + 4); - t37 = *((unsigned int *)t35); - t38 = (~(t37)); - t39 = *((unsigned int *)t36); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t35) == 0) - goto LAB17; - -LAB19: t42 = (t34 + 4); - *((unsigned int *)t34) = 1; - *((unsigned int *)t42) = 1; - -LAB20: t43 = (t34 + 4); - t44 = (t36 + 4); - t45 = *((unsigned int *)t36); - t46 = (~(t45)); - *((unsigned int *)t34) = t46; - *((unsigned int *)t43) = 0; - if (*((unsigned int *)t44) != 0) - goto LAB22; - -LAB21: t51 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t51 & 1U); - t52 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t52 & 1U); - memset(t53, 0, 8); - t54 = (t34 + 4); - t55 = *((unsigned int *)t54); - t56 = (~(t55)); - t57 = *((unsigned int *)t34); - t58 = (t57 & t56); - t59 = (t58 & 1U); - if (t59 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t54) != 0) - goto LAB25; - -LAB26: t62 = *((unsigned int *)t22); - t63 = *((unsigned int *)t53); - t64 = (t62 & t63); - *((unsigned int *)t61) = t64; - t65 = (t22 + 4); - t66 = (t53 + 4); - t67 = (t61 + 4); - t68 = *((unsigned int *)t65); - t69 = *((unsigned int *)t66); - t70 = (t68 | t69); - *((unsigned int *)t67) = t70; - t71 = *((unsigned int *)t67); - t72 = (t71 != 0); - if (t72 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t34) = 1; - goto LAB20; - -LAB22: t47 = *((unsigned int *)t34); - t48 = *((unsigned int *)t44); - *((unsigned int *)t34) = (t47 | t48); - t49 = *((unsigned int *)t43); - t50 = *((unsigned int *)t44); - *((unsigned int *)t43) = (t49 | t50); - goto LAB21; - -LAB23: *((unsigned int *)t53) = 1; - goto LAB26; - -LAB25: t60 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t60) = 1; - goto LAB26; - -LAB27: t73 = *((unsigned int *)t61); - t74 = *((unsigned int *)t67); - *((unsigned int *)t61) = (t73 | t74); - t75 = (t22 + 4); - t76 = (t53 + 4); - t77 = *((unsigned int *)t22); - t78 = (~(t77)); - t79 = *((unsigned int *)t75); - t80 = (~(t79)); - t81 = *((unsigned int *)t53); - t82 = (~(t81)); - t83 = *((unsigned int *)t76); - t84 = (~(t83)); - t85 = (t78 & t80); - t86 = (t82 & t84); - t87 = (~(t85)); - t88 = (~(t86)); - t89 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t89 & t87); - t90 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t90 & t88); - t91 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t91 & t87); - t92 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t92 & t88); - goto LAB29; - -LAB30: *((unsigned int *)t93) = 1; - goto LAB33; - -LAB32: t100 = (t93 + 4); - *((unsigned int *)t93) = 1; - *((unsigned int *)t100) = 1; - goto LAB33; - -LAB34: t106 = (t0 + 1848U); - t107 = *((char **)t106); - memset(t105, 0, 8); - t106 = (t107 + 4); - t108 = *((unsigned int *)t106); - t109 = (~(t108)); - t110 = *((unsigned int *)t107); - t111 = (t110 & t109); - t112 = (t111 & 1U); - if (t112 != 0) - goto LAB40; - -LAB38: if (*((unsigned int *)t106) == 0) - goto LAB37; - -LAB39: t113 = (t105 + 4); - *((unsigned int *)t105) = 1; - *((unsigned int *)t113) = 1; - -LAB40: t114 = (t105 + 4); - t115 = (t107 + 4); - t116 = *((unsigned int *)t107); - t117 = (~(t116)); - *((unsigned int *)t105) = t117; - *((unsigned int *)t114) = 0; - if (*((unsigned int *)t115) != 0) - goto LAB42; - -LAB41: t122 = *((unsigned int *)t105); - *((unsigned int *)t105) = (t122 & 1U); - t123 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t123 & 1U); - memset(t124, 0, 8); - t125 = (t105 + 4); - t126 = *((unsigned int *)t125); - t127 = (~(t126)); - t128 = *((unsigned int *)t105); - t129 = (t128 & t127); - t130 = (t129 & 1U); - if (t130 != 0) - goto LAB43; - -LAB44: if (*((unsigned int *)t125) != 0) - goto LAB45; - -LAB46: t133 = *((unsigned int *)t93); - t134 = *((unsigned int *)t124); - t135 = (t133 & t134); - *((unsigned int *)t132) = t135; - t136 = (t93 + 4); - t137 = (t124 + 4); - t138 = (t132 + 4); - t139 = *((unsigned int *)t136); - t140 = *((unsigned int *)t137); - t141 = (t139 | t140); - *((unsigned int *)t138) = t141; - t142 = *((unsigned int *)t138); - t143 = (t142 != 0); - if (t143 == 1) - goto LAB47; - -LAB48: -LAB49: goto LAB36; - -LAB37: *((unsigned int *)t105) = 1; - goto LAB40; - -LAB42: t118 = *((unsigned int *)t105); - t119 = *((unsigned int *)t115); - *((unsigned int *)t105) = (t118 | t119); - t120 = *((unsigned int *)t114); - t121 = *((unsigned int *)t115); - *((unsigned int *)t114) = (t120 | t121); - goto LAB41; - -LAB43: *((unsigned int *)t124) = 1; - goto LAB46; - -LAB45: t131 = (t124 + 4); - *((unsigned int *)t124) = 1; - *((unsigned int *)t131) = 1; - goto LAB46; - -LAB47: t144 = *((unsigned int *)t132); - t145 = *((unsigned int *)t138); - *((unsigned int *)t132) = (t144 | t145); - t146 = (t93 + 4); - t147 = (t124 + 4); - t148 = *((unsigned int *)t93); - t149 = (~(t148)); - t150 = *((unsigned int *)t146); - t151 = (~(t150)); - t152 = *((unsigned int *)t124); - t153 = (~(t152)); - t154 = *((unsigned int *)t147); - t155 = (~(t154)); - t156 = (t149 & t151); - t157 = (t153 & t155); - t158 = (~(t156)); - t159 = (~(t157)); - t160 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t160 & t158); - t161 = *((unsigned int *)t138); - *((unsigned int *)t138) = (t161 & t159); - t162 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t162 & t158); - t163 = *((unsigned int *)t132); - *((unsigned int *)t132) = (t163 & t159); - goto LAB49; - -LAB50: *((unsigned int *)t164) = 1; - goto LAB53; - -LAB52: t171 = (t164 + 4); - *((unsigned int *)t164) = 1; - *((unsigned int *)t171) = 1; - goto LAB53; - -LAB54: t176 = (t0 + 4408U); - t177 = *((char **)t176); - memset(t178, 0, 8); - t176 = (t177 + 4); - t179 = *((unsigned int *)t176); - t180 = (~(t179)); - t181 = *((unsigned int *)t177); - t182 = (t181 & t180); - t183 = (t182 & 1U); - if (t183 != 0) - goto LAB57; - -LAB58: if (*((unsigned int *)t176) != 0) - goto LAB59; - -LAB60: t186 = *((unsigned int *)t164); - t187 = *((unsigned int *)t178); - t188 = (t186 & t187); - *((unsigned int *)t185) = t188; - t189 = (t164 + 4); - t190 = (t178 + 4); - t191 = (t185 + 4); - t192 = *((unsigned int *)t189); - t193 = *((unsigned int *)t190); - t194 = (t192 | t193); - *((unsigned int *)t191) = t194; - t195 = *((unsigned int *)t191); - t196 = (t195 != 0); - if (t196 == 1) - goto LAB61; - -LAB62: -LAB63: goto LAB56; - -LAB57: *((unsigned int *)t178) = 1; - goto LAB60; - -LAB59: t184 = (t178 + 4); - *((unsigned int *)t178) = 1; - *((unsigned int *)t184) = 1; - goto LAB60; - -LAB61: t197 = *((unsigned int *)t185); - t198 = *((unsigned int *)t191); - *((unsigned int *)t185) = (t197 | t198); - t199 = (t164 + 4); - t200 = (t178 + 4); - t201 = *((unsigned int *)t164); - t202 = (~(t201)); - t203 = *((unsigned int *)t199); - t204 = (~(t203)); - t205 = *((unsigned int *)t178); - t206 = (~(t205)); - t207 = *((unsigned int *)t200); - t208 = (~(t207)); - t209 = (t202 & t204); - t210 = (t206 & t208); - t211 = (~(t209)); - t212 = (~(t210)); - t213 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t213 & t211); - t214 = *((unsigned int *)t191); - *((unsigned int *)t191) = (t214 & t212); - t215 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t215 & t211); - t216 = *((unsigned int *)t185); - *((unsigned int *)t185) = (t216 & t212); - goto LAB63; - -LAB64: *((unsigned int *)t3) = 1; - goto LAB67; - -LAB69: t228 = *((unsigned int *)t3); - t229 = *((unsigned int *)t225); - *((unsigned int *)t3) = (t228 | t229); - t230 = *((unsigned int *)t224); - t231 = *((unsigned int *)t225); - *((unsigned int *)t224) = (t230 | t231); - goto LAB68; - -} - -static void Cont_32_7(char *t0) -{ - char t3[8]; - char t4[8]; - char t22[8]; - char t34[8]; - char t53[8]; - char t61[8]; - char *t1; - char *t2; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - char *t11; - char *t12; - char *t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t35; - char *t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - char *t43; - char *t44; - unsigned int t45; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - char *t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - unsigned int t59; - char *t60; - unsigned int t62; - unsigned int t63; - unsigned int t64; - char *t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t75; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - unsigned int t84; - int t85; - int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - unsigned int t91; - unsigned int t92; - char *t93; - unsigned int t94; - unsigned int t95; - unsigned int t96; - unsigned int t97; - unsigned int t98; - char *t99; - char *t100; - char *t101; - unsigned int t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - char *t112; - char *t113; - char *t114; - unsigned int t115; - unsigned int t116; - char *t117; - unsigned int t118; - unsigned int t119; - char *t120; - unsigned int t121; - unsigned int t122; - char *t123; - -LAB0: t1 = (t0 + 8744U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(32, ng0); - t2 = (t0 + 1528U); - t5 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t5 + 4); - t6 = *((unsigned int *)t2); - t7 = (~(t6)); - t8 = *((unsigned int *)t5); - t9 = (t8 & t7); - t10 = (t9 & 1U); - if (t10 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t11 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t11) = 1; - -LAB7: t12 = (t4 + 4); - t13 = (t5 + 4); - t14 = *((unsigned int *)t5); - t15 = (~(t14)); - *((unsigned int *)t4) = t15; - *((unsigned int *)t12) = 0; - if (*((unsigned int *)t13) != 0) - goto LAB9; - -LAB8: t20 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t20 & 1U); - t21 = *((unsigned int *)t12); - *((unsigned int *)t12) = (t21 & 1U); - memset(t22, 0, 8); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 & 1U); - if (t28 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t23) != 0) - goto LAB12; - -LAB13: t30 = (t22 + 4); - t31 = *((unsigned int *)t22); - t32 = *((unsigned int *)t30); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t61, t22, 8); - -LAB16: memset(t3, 0, 8); - t93 = (t61 + 4); - t94 = *((unsigned int *)t93); - t95 = (~(t94)); - t96 = *((unsigned int *)t61); - t97 = (t96 & t95); - t98 = (t97 & 1U); - if (t98 != 0) - goto LAB33; - -LAB31: if (*((unsigned int *)t93) == 0) - goto LAB30; - -LAB32: t99 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t99) = 1; - -LAB33: t100 = (t3 + 4); - t101 = (t61 + 4); - t102 = *((unsigned int *)t61); - t103 = (~(t102)); - *((unsigned int *)t3) = t103; - *((unsigned int *)t100) = 0; - if (*((unsigned int *)t101) != 0) - goto LAB35; - -LAB34: t108 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t108 & 1U); - t109 = *((unsigned int *)t100); - *((unsigned int *)t100) = (t109 & 1U); - t110 = (t0 + 12344); - t111 = (t110 + 56U); - t112 = *((char **)t111); - t113 = (t112 + 56U); - t114 = *((char **)t113); - memset(t114, 0, 8); - t115 = 1U; - t116 = t115; - t117 = (t3 + 4); - t118 = *((unsigned int *)t3); - t115 = (t115 & t118); - t119 = *((unsigned int *)t117); - t116 = (t116 & t119); - t120 = (t114 + 4); - t121 = *((unsigned int *)t114); - *((unsigned int *)t114) = (t121 | t115); - t122 = *((unsigned int *)t120); - *((unsigned int *)t120) = (t122 | t116); - xsi_driver_vfirst_trans(t110, 0, 0); - t123 = (t0 + 11656); - *((int *)t123) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB9: t16 = *((unsigned int *)t4); - t17 = *((unsigned int *)t13); - *((unsigned int *)t4) = (t16 | t17); - t18 = *((unsigned int *)t12); - t19 = *((unsigned int *)t13); - *((unsigned int *)t12) = (t18 | t19); - goto LAB8; - -LAB10: *((unsigned int *)t22) = 1; - goto LAB13; - -LAB12: t29 = (t22 + 4); - *((unsigned int *)t22) = 1; - *((unsigned int *)t29) = 1; - goto LAB13; - -LAB14: t35 = (t0 + 1368U); - t36 = *((char **)t35); - memset(t34, 0, 8); - t35 = (t36 + 4); - t37 = *((unsigned int *)t35); - t38 = (~(t37)); - t39 = *((unsigned int *)t36); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB20; - -LAB18: if (*((unsigned int *)t35) == 0) - goto LAB17; - -LAB19: t42 = (t34 + 4); - *((unsigned int *)t34) = 1; - *((unsigned int *)t42) = 1; - -LAB20: t43 = (t34 + 4); - t44 = (t36 + 4); - t45 = *((unsigned int *)t36); - t46 = (~(t45)); - *((unsigned int *)t34) = t46; - *((unsigned int *)t43) = 0; - if (*((unsigned int *)t44) != 0) - goto LAB22; - -LAB21: t51 = *((unsigned int *)t34); - *((unsigned int *)t34) = (t51 & 1U); - t52 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t52 & 1U); - memset(t53, 0, 8); - t54 = (t34 + 4); - t55 = *((unsigned int *)t54); - t56 = (~(t55)); - t57 = *((unsigned int *)t34); - t58 = (t57 & t56); - t59 = (t58 & 1U); - if (t59 != 0) - goto LAB23; - -LAB24: if (*((unsigned int *)t54) != 0) - goto LAB25; - -LAB26: t62 = *((unsigned int *)t22); - t63 = *((unsigned int *)t53); - t64 = (t62 & t63); - *((unsigned int *)t61) = t64; - t65 = (t22 + 4); - t66 = (t53 + 4); - t67 = (t61 + 4); - t68 = *((unsigned int *)t65); - t69 = *((unsigned int *)t66); - t70 = (t68 | t69); - *((unsigned int *)t67) = t70; - t71 = *((unsigned int *)t67); - t72 = (t71 != 0); - if (t72 == 1) - goto LAB27; - -LAB28: -LAB29: goto LAB16; - -LAB17: *((unsigned int *)t34) = 1; - goto LAB20; - -LAB22: t47 = *((unsigned int *)t34); - t48 = *((unsigned int *)t44); - *((unsigned int *)t34) = (t47 | t48); - t49 = *((unsigned int *)t43); - t50 = *((unsigned int *)t44); - *((unsigned int *)t43) = (t49 | t50); - goto LAB21; - -LAB23: *((unsigned int *)t53) = 1; - goto LAB26; - -LAB25: t60 = (t53 + 4); - *((unsigned int *)t53) = 1; - *((unsigned int *)t60) = 1; - goto LAB26; - -LAB27: t73 = *((unsigned int *)t61); - t74 = *((unsigned int *)t67); - *((unsigned int *)t61) = (t73 | t74); - t75 = (t22 + 4); - t76 = (t53 + 4); - t77 = *((unsigned int *)t22); - t78 = (~(t77)); - t79 = *((unsigned int *)t75); - t80 = (~(t79)); - t81 = *((unsigned int *)t53); - t82 = (~(t81)); - t83 = *((unsigned int *)t76); - t84 = (~(t83)); - t85 = (t78 & t80); - t86 = (t82 & t84); - t87 = (~(t85)); - t88 = (~(t86)); - t89 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t89 & t87); - t90 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t90 & t88); - t91 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t91 & t87); - t92 = *((unsigned int *)t61); - *((unsigned int *)t61) = (t92 & t88); - goto LAB29; - -LAB30: *((unsigned int *)t3) = 1; - goto LAB33; - -LAB35: t104 = *((unsigned int *)t3); - t105 = *((unsigned int *)t101); - *((unsigned int *)t3) = (t104 | t105); - t106 = *((unsigned int *)t100); - t107 = *((unsigned int *)t101); - *((unsigned int *)t100) = (t106 | t107); - goto LAB34; - -} - -static void Cont_34_8(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - unsigned int t17; - unsigned int t18; - char *t19; - unsigned int t20; - unsigned int t21; - char *t22; - unsigned int t23; - unsigned int t24; - char *t25; - -LAB0: t1 = (t0 + 8992U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(34, ng0); - t2 = (t0 + 1208U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t4 + 4); - t5 = (t3 + 4); - t6 = *((unsigned int *)t3); - t7 = (t6 >> 18); - t8 = (t7 & 1); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t5); - t10 = (t9 >> 18); - t11 = (t10 & 1); - *((unsigned int *)t2) = t11; - t12 = (t0 + 12408); - t13 = (t12 + 56U); - t14 = *((char **)t13); - t15 = (t14 + 56U); - t16 = *((char **)t15); - memset(t16, 0, 8); - t17 = 1U; - t18 = t17; - t19 = (t4 + 4); - t20 = *((unsigned int *)t4); - t17 = (t17 & t20); - t21 = *((unsigned int *)t19); - t18 = (t18 & t21); - t22 = (t16 + 4); - t23 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t23 | t17); - t24 = *((unsigned int *)t22); - *((unsigned int *)t22) = (t24 | t18); - xsi_driver_vfirst_trans(t12, 11, 11); - t25 = (t0 + 11672); - *((int *)t25) = 1; - -LAB1: return; -} - -static void Cont_35_9(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - char *t15; - char *t16; - unsigned int t17; - unsigned int t18; - char *t19; - unsigned int t20; - unsigned int t21; - char *t22; - unsigned int t23; - unsigned int t24; - char *t25; - -LAB0: t1 = (t0 + 9240U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(35, ng0); - t2 = (t0 + 1208U); - t3 = *((char **)t2); - memset(t4, 0, 8); - t2 = (t4 + 4); - t5 = (t3 + 4); - t6 = *((unsigned int *)t3); - t7 = (t6 >> 20); - t8 = (t7 & 1); - *((unsigned int *)t4) = t8; - t9 = *((unsigned int *)t5); - t10 = (t9 >> 20); - t11 = (t10 & 1); - *((unsigned int *)t2) = t11; - t12 = (t0 + 12472); - t13 = (t12 + 56U); - t14 = *((char **)t13); - t15 = (t14 + 56U); - t16 = *((char **)t15); - memset(t16, 0, 8); - t17 = 1U; - t18 = t17; - t19 = (t4 + 4); - t20 = *((unsigned int *)t4); - t17 = (t17 & t20); - t21 = *((unsigned int *)t19); - t18 = (t18 & t21); - t22 = (t16 + 4); - t23 = *((unsigned int *)t16); - *((unsigned int *)t16) = (t23 | t17); - t24 = *((unsigned int *)t22); - *((unsigned int *)t22) = (t24 | t18); - xsi_driver_vfirst_trans(t12, 10, 10); - t25 = (t0 + 11688); - *((int *)t25) = 1; - -LAB1: return; -} - -static void Cont_36_10(char *t0) -{ - char t3[8]; - char t4[8]; - char t18[8]; - char t19[8]; - char t31[8]; - char t43[8]; - char t44[8]; - char t56[8]; - char *t1; - char *t2; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - char *t20; - char *t21; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - char *t32; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t45; - char *t46; - char *t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - char *t54; - char *t55; - char *t57; - unsigned int t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - char *t64; - char *t65; - char *t66; - char *t67; - char *t68; - unsigned int t69; - unsigned int t70; - char *t71; - unsigned int t72; - unsigned int t73; - char *t74; - unsigned int t75; - unsigned int t76; - char *t77; - -LAB0: t1 = (t0 + 9488U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(36, ng0); - t2 = (t0 + 5448); - t5 = (t2 + 56U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t7 = (t6 + 4); - t8 = *((unsigned int *)t7); - t9 = (~(t8)); - t10 = *((unsigned int *)t6); - t11 = (t10 & t9); - t12 = (t11 & 1U); - if (t12 != 0) - goto LAB4; - -LAB5: if (*((unsigned int *)t7) != 0) - goto LAB6; - -LAB7: t14 = (t4 + 4); - t15 = *((unsigned int *)t4); - t16 = *((unsigned int *)t14); - t17 = (t15 || t16); - if (t17 > 0) - goto LAB8; - -LAB9: t39 = *((unsigned int *)t4); - t40 = (~(t39)); - t41 = *((unsigned int *)t14); - t42 = (t40 || t41); - if (t42 > 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t14) > 0) - goto LAB12; - -LAB13: if (*((unsigned int *)t4) > 0) - goto LAB14; - -LAB15: memcpy(t3, t43, 8); - -LAB16: t64 = (t0 + 12536); - t65 = (t64 + 56U); - t66 = *((char **)t65); - t67 = (t66 + 56U); - t68 = *((char **)t67); - memset(t68, 0, 8); - t69 = 1023U; - t70 = t69; - t71 = (t3 + 4); - t72 = *((unsigned int *)t3); - t69 = (t69 & t72); - t73 = *((unsigned int *)t71); - t70 = (t70 & t73); - t74 = (t68 + 4); - t75 = *((unsigned int *)t68); - *((unsigned int *)t68) = (t75 | t69); - t76 = *((unsigned int *)t74); - *((unsigned int *)t74) = (t76 | t70); - xsi_driver_vfirst_trans(t64, 0, 9); - t77 = (t0 + 11704); - *((int *)t77) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t4) = 1; - goto LAB7; - -LAB6: t13 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t13) = 1; - goto LAB7; - -LAB8: t20 = (t0 + 1208U); - t21 = *((char **)t20); - memset(t19, 0, 8); - t20 = (t19 + 4); - t22 = (t21 + 4); - t23 = *((unsigned int *)t21); - t24 = (t23 >> 0); - *((unsigned int *)t19) = t24; - t25 = *((unsigned int *)t22); - t26 = (t25 >> 0); - *((unsigned int *)t20) = t26; - t27 = *((unsigned int *)t19); - *((unsigned int *)t19) = (t27 & 511U); - t28 = *((unsigned int *)t20); - *((unsigned int *)t20) = (t28 & 511U); - t29 = (t0 + 1208U); - t30 = *((char **)t29); - memset(t31, 0, 8); - t29 = (t31 + 4); - t32 = (t30 + 4); - t33 = *((unsigned int *)t30); - t34 = (t33 >> 19); - t35 = (t34 & 1); - *((unsigned int *)t31) = t35; - t36 = *((unsigned int *)t32); - t37 = (t36 >> 19); - t38 = (t37 & 1); - *((unsigned int *)t29) = t38; - xsi_vlogtype_concat(t18, 10, 10, 2U, t31, 1, t19, 9); - goto LAB9; - -LAB10: t45 = (t0 + 1208U); - t46 = *((char **)t45); - memset(t44, 0, 8); - t45 = (t44 + 4); - t47 = (t46 + 4); - t48 = *((unsigned int *)t46); - t49 = (t48 >> 9); - *((unsigned int *)t44) = t49; - t50 = *((unsigned int *)t47); - t51 = (t50 >> 9); - *((unsigned int *)t45) = t51; - t52 = *((unsigned int *)t44); - *((unsigned int *)t44) = (t52 & 511U); - t53 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t53 & 511U); - t54 = (t0 + 1208U); - t55 = *((char **)t54); - memset(t56, 0, 8); - t54 = (t56 + 4); - t57 = (t55 + 4); - t58 = *((unsigned int *)t55); - t59 = (t58 >> 18); - t60 = (t59 & 1); - *((unsigned int *)t56) = t60; - t61 = *((unsigned int *)t57); - t62 = (t61 >> 18); - t63 = (t62 & 1); - *((unsigned int *)t54) = t63; - xsi_vlogtype_concat(t43, 10, 10, 2U, t56, 1, t44, 9); - goto LAB11; - -LAB12: xsi_vlog_unsigned_bit_combine(t3, 10, t18, 10, t43, 10); - goto LAB16; - -LAB14: memcpy(t3, t18, 8); - goto LAB16; - -} - -static void Always_38_11(char *t0) -{ - char t4[8]; - char t31[8]; - char t36[8]; - char t43[8]; - char t75[8]; - char t89[8]; - char t96[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - char *t95; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - int t120; - int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t128; - unsigned int t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - char *t134; - char *t135; - -LAB0: t1 = (t0 + 9736U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(38, ng0); - t2 = (t0 + 11720); - *((int *)t2) = 1; - t3 = (t0 + 9768); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(38, ng0); - -LAB5: xsi_set_current_line(39, ng0); - t5 = (t0 + 2008U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(40, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t5 = *((char **)t3); - t6 = ((char*)((ng1))); - memset(t4, 0, 8); - t12 = (t5 + 4); - t13 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = *((unsigned int *)t6); - t9 = (t7 ^ t8); - t10 = *((unsigned int *)t12); - t11 = *((unsigned int *)t13); - t15 = (t10 ^ t11); - t16 = (t9 | t15); - t17 = *((unsigned int *)t12); - t18 = *((unsigned int *)t13); - t19 = (t17 | t18); - t20 = (~(t19)); - t21 = (t16 & t20); - if (t21 != 0) - goto LAB18; - -LAB15: if (t19 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t4) = 1; - -LAB18: memset(t31, 0, 8); - t23 = (t4 + 4); - t22 = *((unsigned int *)t23); - t24 = (~(t22)); - t25 = *((unsigned int *)t4); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t23) != 0) - goto LAB21; - -LAB22: t30 = (t31 + 4); - t28 = *((unsigned int *)t31); - t32 = *((unsigned int *)t30); - t33 = (t28 || t32); - if (t33 > 0) - goto LAB23; - -LAB24: memcpy(t43, t31, 8); - -LAB25: memset(t75, 0, 8); - t76 = (t43 + 4); - t77 = *((unsigned int *)t76); - t78 = (~(t77)); - t79 = *((unsigned int *)t43); - t80 = (t79 & t78); - t81 = (t80 & 1U); - if (t81 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t76) != 0) - goto LAB35; - -LAB36: t83 = (t75 + 4); - t84 = *((unsigned int *)t75); - t85 = *((unsigned int *)t83); - t86 = (t84 || t85); - if (t86 > 0) - goto LAB37; - -LAB38: memcpy(t96, t75, 8); - -LAB39: t128 = (t96 + 4); - t129 = *((unsigned int *)t128); - t130 = (~(t129)); - t131 = *((unsigned int *)t96); - t132 = (t131 & t130); - t133 = (t132 != 0); - if (t133 > 0) - goto LAB47; - -LAB48: -LAB49: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(39, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - goto LAB14; - -LAB17: t14 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t14) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t31) = 1; - goto LAB22; - -LAB21: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB22; - -LAB23: t34 = (t0 + 2008U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t34) != 0) - goto LAB28; - -LAB29: t44 = *((unsigned int *)t31); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t31 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB30; - -LAB31: -LAB32: goto LAB25; - -LAB26: *((unsigned int *)t36) = 1; - goto LAB29; - -LAB28: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB29; - -LAB30: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t31 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t31); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB32; - -LAB33: *((unsigned int *)t75) = 1; - goto LAB36; - -LAB35: t82 = (t75 + 4); - *((unsigned int *)t75) = 1; - *((unsigned int *)t82) = 1; - goto LAB36; - -LAB37: t87 = (t0 + 2168U); - t88 = *((char **)t87); - memset(t89, 0, 8); - t87 = (t88 + 4); - t90 = *((unsigned int *)t87); - t91 = (~(t90)); - t92 = *((unsigned int *)t88); - t93 = (t92 & t91); - t94 = (t93 & 1U); - if (t94 != 0) - goto LAB40; - -LAB41: if (*((unsigned int *)t87) != 0) - goto LAB42; - -LAB43: t97 = *((unsigned int *)t75); - t98 = *((unsigned int *)t89); - t99 = (t97 & t98); - *((unsigned int *)t96) = t99; - t100 = (t75 + 4); - t101 = (t89 + 4); - t102 = (t96 + 4); - t103 = *((unsigned int *)t100); - t104 = *((unsigned int *)t101); - t105 = (t103 | t104); - *((unsigned int *)t102) = t105; - t106 = *((unsigned int *)t102); - t107 = (t106 != 0); - if (t107 == 1) - goto LAB44; - -LAB45: -LAB46: goto LAB39; - -LAB40: *((unsigned int *)t89) = 1; - goto LAB43; - -LAB42: t95 = (t89 + 4); - *((unsigned int *)t89) = 1; - *((unsigned int *)t95) = 1; - goto LAB43; - -LAB44: t108 = *((unsigned int *)t96); - t109 = *((unsigned int *)t102); - *((unsigned int *)t96) = (t108 | t109); - t110 = (t75 + 4); - t111 = (t89 + 4); - t112 = *((unsigned int *)t75); - t113 = (~(t112)); - t114 = *((unsigned int *)t110); - t115 = (~(t114)); - t116 = *((unsigned int *)t89); - t117 = (~(t116)); - t118 = *((unsigned int *)t111); - t119 = (~(t118)); - t120 = (t113 & t115); - t121 = (t117 & t119); - t122 = (~(t120)); - t123 = (~(t121)); - t124 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t124 & t122); - t125 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t125 & t123); - t126 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t126 & t122); - t127 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t127 & t123); - goto LAB46; - -LAB47: xsi_set_current_line(40, ng0); - t134 = ((char*)((ng2))); - t135 = (t0 + 5128); - xsi_vlogvar_wait_assign_value(t135, t134, 0, 0, 1, 0LL); - goto LAB49; - -} - -static void Always_42_12(char *t0) -{ - char t4[8]; - char t31[8]; - char t36[8]; - char t43[8]; - char t75[8]; - char t89[8]; - char t96[8]; - char t128[8]; - char t143[8]; - char t151[8]; - char t183[8]; - char t197[8]; - char t204[8]; - char t236[8]; - char t253[8]; - char t269[8]; - char t283[8]; - char t290[8]; - char t322[8]; - char t336[8]; - char t343[8]; - char t375[8]; - char t390[8]; - char t398[8]; - char t430[8]; - char t438[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - char *t12; - char *t13; - char *t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - char *t29; - char *t30; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - unsigned int t37; - unsigned int t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - char *t42; - unsigned int t44; - unsigned int t45; - unsigned int t46; - char *t47; - char *t48; - char *t49; - unsigned int t50; - unsigned int t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - unsigned int t66; - int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - char *t76; - unsigned int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - char *t82; - char *t83; - unsigned int t84; - unsigned int t85; - unsigned int t86; - char *t87; - char *t88; - unsigned int t90; - unsigned int t91; - unsigned int t92; - unsigned int t93; - unsigned int t94; - char *t95; - unsigned int t97; - unsigned int t98; - unsigned int t99; - char *t100; - char *t101; - char *t102; - unsigned int t103; - unsigned int t104; - unsigned int t105; - unsigned int t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - char *t110; - char *t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - unsigned int t115; - unsigned int t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - int t120; - int t121; - unsigned int t122; - unsigned int t123; - unsigned int t124; - unsigned int t125; - unsigned int t126; - unsigned int t127; - char *t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - char *t135; - char *t136; - unsigned int t137; - unsigned int t138; - unsigned int t139; - char *t140; - char *t141; - char *t142; - char *t144; - unsigned int t145; - unsigned int t146; - unsigned int t147; - unsigned int t148; - unsigned int t149; - char *t150; - unsigned int t152; - unsigned int t153; - unsigned int t154; - char *t155; - char *t156; - char *t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - unsigned int t162; - unsigned int t163; - unsigned int t164; - char *t165; - char *t166; - unsigned int t167; - unsigned int t168; - unsigned int t169; - unsigned int t170; - unsigned int t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - int t175; - int t176; - unsigned int t177; - unsigned int t178; - unsigned int t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - char *t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - unsigned int t188; - unsigned int t189; - char *t190; - char *t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - char *t195; - char *t196; - unsigned int t198; - unsigned int t199; - unsigned int t200; - unsigned int t201; - unsigned int t202; - char *t203; - unsigned int t205; - unsigned int t206; - unsigned int t207; - char *t208; - char *t209; - char *t210; - unsigned int t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - char *t218; - char *t219; - unsigned int t220; - unsigned int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - int t228; - int t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - unsigned int t235; - char *t237; - unsigned int t238; - unsigned int t239; - unsigned int t240; - unsigned int t241; - unsigned int t242; - char *t243; - char *t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - unsigned int t248; - char *t249; - char *t250; - char *t251; - char *t252; - char *t254; - char *t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - unsigned int t259; - unsigned int t260; - unsigned int t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - unsigned int t267; - char *t268; - char *t270; - unsigned int t271; - unsigned int t272; - unsigned int t273; - unsigned int t274; - unsigned int t275; - char *t276; - char *t277; - unsigned int t278; - unsigned int t279; - unsigned int t280; - char *t281; - char *t282; - unsigned int t284; - unsigned int t285; - unsigned int t286; - unsigned int t287; - unsigned int t288; - char *t289; - unsigned int t291; - unsigned int t292; - unsigned int t293; - char *t294; - char *t295; - char *t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - unsigned int t300; - unsigned int t301; - unsigned int t302; - unsigned int t303; - char *t304; - char *t305; - unsigned int t306; - unsigned int t307; - unsigned int t308; - unsigned int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - unsigned int t313; - int t314; - int t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - unsigned int t319; - unsigned int t320; - unsigned int t321; - char *t323; - unsigned int t324; - unsigned int t325; - unsigned int t326; - unsigned int t327; - unsigned int t328; - char *t329; - char *t330; - unsigned int t331; - unsigned int t332; - unsigned int t333; - char *t334; - char *t335; - unsigned int t337; - unsigned int t338; - unsigned int t339; - unsigned int t340; - unsigned int t341; - char *t342; - unsigned int t344; - unsigned int t345; - unsigned int t346; - char *t347; - char *t348; - char *t349; - unsigned int t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - unsigned int t354; - unsigned int t355; - unsigned int t356; - char *t357; - char *t358; - unsigned int t359; - unsigned int t360; - unsigned int t361; - unsigned int t362; - unsigned int t363; - unsigned int t364; - unsigned int t365; - unsigned int t366; - int t367; - int t368; - unsigned int t369; - unsigned int t370; - unsigned int t371; - unsigned int t372; - unsigned int t373; - unsigned int t374; - char *t376; - unsigned int t377; - unsigned int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - char *t382; - char *t383; - unsigned int t384; - unsigned int t385; - unsigned int t386; - char *t387; - char *t388; - char *t389; - char *t391; - unsigned int t392; - unsigned int t393; - unsigned int t394; - unsigned int t395; - unsigned int t396; - char *t397; - unsigned int t399; - unsigned int t400; - unsigned int t401; - char *t402; - char *t403; - char *t404; - unsigned int t405; - unsigned int t406; - unsigned int t407; - unsigned int t408; - unsigned int t409; - unsigned int t410; - unsigned int t411; - char *t412; - char *t413; - unsigned int t414; - unsigned int t415; - unsigned int t416; - unsigned int t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - int t422; - int t423; - unsigned int t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - unsigned int t428; - unsigned int t429; - char *t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - unsigned int t436; - char *t437; - unsigned int t439; - unsigned int t440; - unsigned int t441; - char *t442; - char *t443; - char *t444; - unsigned int t445; - unsigned int t446; - unsigned int t447; - unsigned int t448; - unsigned int t449; - unsigned int t450; - unsigned int t451; - char *t452; - char *t453; - unsigned int t454; - unsigned int t455; - unsigned int t456; - int t457; - unsigned int t458; - unsigned int t459; - unsigned int t460; - int t461; - unsigned int t462; - unsigned int t463; - unsigned int t464; - unsigned int t465; - char *t466; - unsigned int t467; - unsigned int t468; - unsigned int t469; - unsigned int t470; - unsigned int t471; - char *t472; - char *t473; - -LAB0: t1 = (t0 + 9984U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(42, ng0); - t2 = (t0 + 11736); - *((int *)t2) = 1; - t3 = (t0 + 10016); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(42, ng0); - -LAB5: xsi_set_current_line(43, ng0); - t5 = (t0 + 2008U); - t6 = *((char **)t5); - memset(t4, 0, 8); - t5 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = (~(t7)); - t9 = *((unsigned int *)t6); - t10 = (t9 & t8); - t11 = (t10 & 1U); - if (t11 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t5) == 0) - goto LAB6; - -LAB8: t12 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t12) = 1; - -LAB9: t13 = (t4 + 4); - t14 = (t6 + 4); - t15 = *((unsigned int *)t6); - t16 = (~(t15)); - *((unsigned int *)t4) = t16; - *((unsigned int *)t13) = 0; - if (*((unsigned int *)t14) != 0) - goto LAB11; - -LAB10: t21 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t21 & 1U); - t22 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t22 & 1U); - t23 = (t4 + 4); - t24 = *((unsigned int *)t23); - t25 = (~(t24)); - t26 = *((unsigned int *)t4); - t27 = (t26 & t25); - t28 = (t27 != 0); - if (t28 > 0) - goto LAB12; - -LAB13: xsi_set_current_line(44, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t5 = *((char **)t3); - t6 = ((char*)((ng1))); - memset(t4, 0, 8); - t12 = (t5 + 4); - t13 = (t6 + 4); - t7 = *((unsigned int *)t5); - t8 = *((unsigned int *)t6); - t9 = (t7 ^ t8); - t10 = *((unsigned int *)t12); - t11 = *((unsigned int *)t13); - t15 = (t10 ^ t11); - t16 = (t9 | t15); - t17 = *((unsigned int *)t12); - t18 = *((unsigned int *)t13); - t19 = (t17 | t18); - t20 = (~(t19)); - t21 = (t16 & t20); - if (t21 != 0) - goto LAB18; - -LAB15: if (t19 != 0) - goto LAB17; - -LAB16: *((unsigned int *)t4) = 1; - -LAB18: memset(t31, 0, 8); - t23 = (t4 + 4); - t22 = *((unsigned int *)t23); - t24 = (~(t22)); - t25 = *((unsigned int *)t4); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB19; - -LAB20: if (*((unsigned int *)t23) != 0) - goto LAB21; - -LAB22: t30 = (t31 + 4); - t28 = *((unsigned int *)t31); - t32 = *((unsigned int *)t30); - t33 = (t28 || t32); - if (t33 > 0) - goto LAB23; - -LAB24: memcpy(t43, t31, 8); - -LAB25: memset(t75, 0, 8); - t76 = (t43 + 4); - t77 = *((unsigned int *)t76); - t78 = (~(t77)); - t79 = *((unsigned int *)t43); - t80 = (t79 & t78); - t81 = (t80 & 1U); - if (t81 != 0) - goto LAB33; - -LAB34: if (*((unsigned int *)t76) != 0) - goto LAB35; - -LAB36: t83 = (t75 + 4); - t84 = *((unsigned int *)t75); - t85 = *((unsigned int *)t83); - t86 = (t84 || t85); - if (t86 > 0) - goto LAB37; - -LAB38: memcpy(t96, t75, 8); - -LAB39: memset(t128, 0, 8); - t129 = (t96 + 4); - t130 = *((unsigned int *)t129); - t131 = (~(t130)); - t132 = *((unsigned int *)t96); - t133 = (t132 & t131); - t134 = (t133 & 1U); - if (t134 != 0) - goto LAB47; - -LAB48: if (*((unsigned int *)t129) != 0) - goto LAB49; - -LAB50: t136 = (t128 + 4); - t137 = *((unsigned int *)t128); - t138 = *((unsigned int *)t136); - t139 = (t137 || t138); - if (t139 > 0) - goto LAB51; - -LAB52: memcpy(t151, t128, 8); - -LAB53: memset(t183, 0, 8); - t184 = (t151 + 4); - t185 = *((unsigned int *)t184); - t186 = (~(t185)); - t187 = *((unsigned int *)t151); - t188 = (t187 & t186); - t189 = (t188 & 1U); - if (t189 != 0) - goto LAB61; - -LAB62: if (*((unsigned int *)t184) != 0) - goto LAB63; - -LAB64: t191 = (t183 + 4); - t192 = *((unsigned int *)t183); - t193 = *((unsigned int *)t191); - t194 = (t192 || t193); - if (t194 > 0) - goto LAB65; - -LAB66: memcpy(t204, t183, 8); - -LAB67: memset(t236, 0, 8); - t237 = (t204 + 4); - t238 = *((unsigned int *)t237); - t239 = (~(t238)); - t240 = *((unsigned int *)t204); - t241 = (t240 & t239); - t242 = (t241 & 1U); - if (t242 != 0) - goto LAB75; - -LAB76: if (*((unsigned int *)t237) != 0) - goto LAB77; - -LAB78: t244 = (t236 + 4); - t245 = *((unsigned int *)t236); - t246 = (!(t245)); - t247 = *((unsigned int *)t244); - t248 = (t246 || t247); - if (t248 > 0) - goto LAB79; - -LAB80: memcpy(t438, t236, 8); - -LAB81: t466 = (t438 + 4); - t467 = *((unsigned int *)t466); - t468 = (~(t467)); - t469 = *((unsigned int *)t438); - t470 = (t469 & t468); - t471 = (t470 != 0); - if (t471 > 0) - goto LAB135; - -LAB136: -LAB137: -LAB14: goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t17 = *((unsigned int *)t4); - t18 = *((unsigned int *)t14); - *((unsigned int *)t4) = (t17 | t18); - t19 = *((unsigned int *)t13); - t20 = *((unsigned int *)t14); - *((unsigned int *)t13) = (t19 | t20); - goto LAB10; - -LAB12: xsi_set_current_line(43, ng0); - t29 = ((char*)((ng1))); - t30 = (t0 + 5768); - xsi_vlogvar_wait_assign_value(t30, t29, 0, 0, 1, 0LL); - goto LAB14; - -LAB17: t14 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t14) = 1; - goto LAB18; - -LAB19: *((unsigned int *)t31) = 1; - goto LAB22; - -LAB21: t29 = (t31 + 4); - *((unsigned int *)t31) = 1; - *((unsigned int *)t29) = 1; - goto LAB22; - -LAB23: t34 = (t0 + 2008U); - t35 = *((char **)t34); - memset(t36, 0, 8); - t34 = (t35 + 4); - t37 = *((unsigned int *)t34); - t38 = (~(t37)); - t39 = *((unsigned int *)t35); - t40 = (t39 & t38); - t41 = (t40 & 1U); - if (t41 != 0) - goto LAB26; - -LAB27: if (*((unsigned int *)t34) != 0) - goto LAB28; - -LAB29: t44 = *((unsigned int *)t31); - t45 = *((unsigned int *)t36); - t46 = (t44 & t45); - *((unsigned int *)t43) = t46; - t47 = (t31 + 4); - t48 = (t36 + 4); - t49 = (t43 + 4); - t50 = *((unsigned int *)t47); - t51 = *((unsigned int *)t48); - t52 = (t50 | t51); - *((unsigned int *)t49) = t52; - t53 = *((unsigned int *)t49); - t54 = (t53 != 0); - if (t54 == 1) - goto LAB30; - -LAB31: -LAB32: goto LAB25; - -LAB26: *((unsigned int *)t36) = 1; - goto LAB29; - -LAB28: t42 = (t36 + 4); - *((unsigned int *)t36) = 1; - *((unsigned int *)t42) = 1; - goto LAB29; - -LAB30: t55 = *((unsigned int *)t43); - t56 = *((unsigned int *)t49); - *((unsigned int *)t43) = (t55 | t56); - t57 = (t31 + 4); - t58 = (t36 + 4); - t59 = *((unsigned int *)t31); - t60 = (~(t59)); - t61 = *((unsigned int *)t57); - t62 = (~(t61)); - t63 = *((unsigned int *)t36); - t64 = (~(t63)); - t65 = *((unsigned int *)t58); - t66 = (~(t65)); - t67 = (t60 & t62); - t68 = (t64 & t66); - t69 = (~(t67)); - t70 = (~(t68)); - t71 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t71 & t69); - t72 = *((unsigned int *)t49); - *((unsigned int *)t49) = (t72 & t70); - t73 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t73 & t69); - t74 = *((unsigned int *)t43); - *((unsigned int *)t43) = (t74 & t70); - goto LAB32; - -LAB33: *((unsigned int *)t75) = 1; - goto LAB36; - -LAB35: t82 = (t75 + 4); - *((unsigned int *)t75) = 1; - *((unsigned int *)t82) = 1; - goto LAB36; - -LAB37: t87 = (t0 + 2808U); - t88 = *((char **)t87); - memset(t89, 0, 8); - t87 = (t88 + 4); - t90 = *((unsigned int *)t87); - t91 = (~(t90)); - t92 = *((unsigned int *)t88); - t93 = (t92 & t91); - t94 = (t93 & 1U); - if (t94 != 0) - goto LAB40; - -LAB41: if (*((unsigned int *)t87) != 0) - goto LAB42; - -LAB43: t97 = *((unsigned int *)t75); - t98 = *((unsigned int *)t89); - t99 = (t97 & t98); - *((unsigned int *)t96) = t99; - t100 = (t75 + 4); - t101 = (t89 + 4); - t102 = (t96 + 4); - t103 = *((unsigned int *)t100); - t104 = *((unsigned int *)t101); - t105 = (t103 | t104); - *((unsigned int *)t102) = t105; - t106 = *((unsigned int *)t102); - t107 = (t106 != 0); - if (t107 == 1) - goto LAB44; - -LAB45: -LAB46: goto LAB39; - -LAB40: *((unsigned int *)t89) = 1; - goto LAB43; - -LAB42: t95 = (t89 + 4); - *((unsigned int *)t89) = 1; - *((unsigned int *)t95) = 1; - goto LAB43; - -LAB44: t108 = *((unsigned int *)t96); - t109 = *((unsigned int *)t102); - *((unsigned int *)t96) = (t108 | t109); - t110 = (t75 + 4); - t111 = (t89 + 4); - t112 = *((unsigned int *)t75); - t113 = (~(t112)); - t114 = *((unsigned int *)t110); - t115 = (~(t114)); - t116 = *((unsigned int *)t89); - t117 = (~(t116)); - t118 = *((unsigned int *)t111); - t119 = (~(t118)); - t120 = (t113 & t115); - t121 = (t117 & t119); - t122 = (~(t120)); - t123 = (~(t121)); - t124 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t124 & t122); - t125 = *((unsigned int *)t102); - *((unsigned int *)t102) = (t125 & t123); - t126 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t126 & t122); - t127 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t127 & t123); - goto LAB46; - -LAB47: *((unsigned int *)t128) = 1; - goto LAB50; - -LAB49: t135 = (t128 + 4); - *((unsigned int *)t128) = 1; - *((unsigned int *)t135) = 1; - goto LAB50; - -LAB51: t140 = (t0 + 5128); - t141 = (t140 + 56U); - t142 = *((char **)t141); - memset(t143, 0, 8); - t144 = (t142 + 4); - t145 = *((unsigned int *)t144); - t146 = (~(t145)); - t147 = *((unsigned int *)t142); - t148 = (t147 & t146); - t149 = (t148 & 1U); - if (t149 != 0) - goto LAB54; - -LAB55: if (*((unsigned int *)t144) != 0) - goto LAB56; - -LAB57: t152 = *((unsigned int *)t128); - t153 = *((unsigned int *)t143); - t154 = (t152 & t153); - *((unsigned int *)t151) = t154; - t155 = (t128 + 4); - t156 = (t143 + 4); - t157 = (t151 + 4); - t158 = *((unsigned int *)t155); - t159 = *((unsigned int *)t156); - t160 = (t158 | t159); - *((unsigned int *)t157) = t160; - t161 = *((unsigned int *)t157); - t162 = (t161 != 0); - if (t162 == 1) - goto LAB58; - -LAB59: -LAB60: goto LAB53; - -LAB54: *((unsigned int *)t143) = 1; - goto LAB57; - -LAB56: t150 = (t143 + 4); - *((unsigned int *)t143) = 1; - *((unsigned int *)t150) = 1; - goto LAB57; - -LAB58: t163 = *((unsigned int *)t151); - t164 = *((unsigned int *)t157); - *((unsigned int *)t151) = (t163 | t164); - t165 = (t128 + 4); - t166 = (t143 + 4); - t167 = *((unsigned int *)t128); - t168 = (~(t167)); - t169 = *((unsigned int *)t165); - t170 = (~(t169)); - t171 = *((unsigned int *)t143); - t172 = (~(t171)); - t173 = *((unsigned int *)t166); - t174 = (~(t173)); - t175 = (t168 & t170); - t176 = (t172 & t174); - t177 = (~(t175)); - t178 = (~(t176)); - t179 = *((unsigned int *)t157); - *((unsigned int *)t157) = (t179 & t177); - t180 = *((unsigned int *)t157); - *((unsigned int *)t157) = (t180 & t178); - t181 = *((unsigned int *)t151); - *((unsigned int *)t151) = (t181 & t177); - t182 = *((unsigned int *)t151); - *((unsigned int *)t151) = (t182 & t178); - goto LAB60; - -LAB61: *((unsigned int *)t183) = 1; - goto LAB64; - -LAB63: t190 = (t183 + 4); - *((unsigned int *)t183) = 1; - *((unsigned int *)t190) = 1; - goto LAB64; - -LAB65: t195 = (t0 + 2168U); - t196 = *((char **)t195); - memset(t197, 0, 8); - t195 = (t196 + 4); - t198 = *((unsigned int *)t195); - t199 = (~(t198)); - t200 = *((unsigned int *)t196); - t201 = (t200 & t199); - t202 = (t201 & 1U); - if (t202 != 0) - goto LAB68; - -LAB69: if (*((unsigned int *)t195) != 0) - goto LAB70; - -LAB71: t205 = *((unsigned int *)t183); - t206 = *((unsigned int *)t197); - t207 = (t205 & t206); - *((unsigned int *)t204) = t207; - t208 = (t183 + 4); - t209 = (t197 + 4); - t210 = (t204 + 4); - t211 = *((unsigned int *)t208); - t212 = *((unsigned int *)t209); - t213 = (t211 | t212); - *((unsigned int *)t210) = t213; - t214 = *((unsigned int *)t210); - t215 = (t214 != 0); - if (t215 == 1) - goto LAB72; - -LAB73: -LAB74: goto LAB67; - -LAB68: *((unsigned int *)t197) = 1; - goto LAB71; - -LAB70: t203 = (t197 + 4); - *((unsigned int *)t197) = 1; - *((unsigned int *)t203) = 1; - goto LAB71; - -LAB72: t216 = *((unsigned int *)t204); - t217 = *((unsigned int *)t210); - *((unsigned int *)t204) = (t216 | t217); - t218 = (t183 + 4); - t219 = (t197 + 4); - t220 = *((unsigned int *)t183); - t221 = (~(t220)); - t222 = *((unsigned int *)t218); - t223 = (~(t222)); - t224 = *((unsigned int *)t197); - t225 = (~(t224)); - t226 = *((unsigned int *)t219); - t227 = (~(t226)); - t228 = (t221 & t223); - t229 = (t225 & t227); - t230 = (~(t228)); - t231 = (~(t229)); - t232 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t232 & t230); - t233 = *((unsigned int *)t210); - *((unsigned int *)t210) = (t233 & t231); - t234 = *((unsigned int *)t204); - *((unsigned int *)t204) = (t234 & t230); - t235 = *((unsigned int *)t204); - *((unsigned int *)t204) = (t235 & t231); - goto LAB74; - -LAB75: *((unsigned int *)t236) = 1; - goto LAB78; - -LAB77: t243 = (t236 + 4); - *((unsigned int *)t236) = 1; - *((unsigned int *)t243) = 1; - goto LAB78; - -LAB79: t249 = (t0 + 4968); - t250 = (t249 + 56U); - t251 = *((char **)t250); - t252 = ((char*)((ng3))); - memset(t253, 0, 8); - t254 = (t251 + 4); - t255 = (t252 + 4); - t256 = *((unsigned int *)t251); - t257 = *((unsigned int *)t252); - t258 = (t256 ^ t257); - t259 = *((unsigned int *)t254); - t260 = *((unsigned int *)t255); - t261 = (t259 ^ t260); - t262 = (t258 | t261); - t263 = *((unsigned int *)t254); - t264 = *((unsigned int *)t255); - t265 = (t263 | t264); - t266 = (~(t265)); - t267 = (t262 & t266); - if (t267 != 0) - goto LAB85; - -LAB82: if (t265 != 0) - goto LAB84; - -LAB83: *((unsigned int *)t253) = 1; - -LAB85: memset(t269, 0, 8); - t270 = (t253 + 4); - t271 = *((unsigned int *)t270); - t272 = (~(t271)); - t273 = *((unsigned int *)t253); - t274 = (t273 & t272); - t275 = (t274 & 1U); - if (t275 != 0) - goto LAB86; - -LAB87: if (*((unsigned int *)t270) != 0) - goto LAB88; - -LAB89: t277 = (t269 + 4); - t278 = *((unsigned int *)t269); - t279 = *((unsigned int *)t277); - t280 = (t278 || t279); - if (t280 > 0) - goto LAB90; - -LAB91: memcpy(t290, t269, 8); - -LAB92: memset(t322, 0, 8); - t323 = (t290 + 4); - t324 = *((unsigned int *)t323); - t325 = (~(t324)); - t326 = *((unsigned int *)t290); - t327 = (t326 & t325); - t328 = (t327 & 1U); - if (t328 != 0) - goto LAB100; - -LAB101: if (*((unsigned int *)t323) != 0) - goto LAB102; - -LAB103: t330 = (t322 + 4); - t331 = *((unsigned int *)t322); - t332 = *((unsigned int *)t330); - t333 = (t331 || t332); - if (t333 > 0) - goto LAB104; - -LAB105: memcpy(t343, t322, 8); - -LAB106: memset(t375, 0, 8); - t376 = (t343 + 4); - t377 = *((unsigned int *)t376); - t378 = (~(t377)); - t379 = *((unsigned int *)t343); - t380 = (t379 & t378); - t381 = (t380 & 1U); - if (t381 != 0) - goto LAB114; - -LAB115: if (*((unsigned int *)t376) != 0) - goto LAB116; - -LAB117: t383 = (t375 + 4); - t384 = *((unsigned int *)t375); - t385 = *((unsigned int *)t383); - t386 = (t384 || t385); - if (t386 > 0) - goto LAB118; - -LAB119: memcpy(t398, t375, 8); - -LAB120: memset(t430, 0, 8); - t431 = (t398 + 4); - t432 = *((unsigned int *)t431); - t433 = (~(t432)); - t434 = *((unsigned int *)t398); - t435 = (t434 & t433); - t436 = (t435 & 1U); - if (t436 != 0) - goto LAB128; - -LAB129: if (*((unsigned int *)t431) != 0) - goto LAB130; - -LAB131: t439 = *((unsigned int *)t236); - t440 = *((unsigned int *)t430); - t441 = (t439 | t440); - *((unsigned int *)t438) = t441; - t442 = (t236 + 4); - t443 = (t430 + 4); - t444 = (t438 + 4); - t445 = *((unsigned int *)t442); - t446 = *((unsigned int *)t443); - t447 = (t445 | t446); - *((unsigned int *)t444) = t447; - t448 = *((unsigned int *)t444); - t449 = (t448 != 0); - if (t449 == 1) - goto LAB132; - -LAB133: -LAB134: goto LAB81; - -LAB84: t268 = (t253 + 4); - *((unsigned int *)t253) = 1; - *((unsigned int *)t268) = 1; - goto LAB85; - -LAB86: *((unsigned int *)t269) = 1; - goto LAB89; - -LAB88: t276 = (t269 + 4); - *((unsigned int *)t269) = 1; - *((unsigned int *)t276) = 1; - goto LAB89; - -LAB90: t281 = (t0 + 2008U); - t282 = *((char **)t281); - memset(t283, 0, 8); - t281 = (t282 + 4); - t284 = *((unsigned int *)t281); - t285 = (~(t284)); - t286 = *((unsigned int *)t282); - t287 = (t286 & t285); - t288 = (t287 & 1U); - if (t288 != 0) - goto LAB93; - -LAB94: if (*((unsigned int *)t281) != 0) - goto LAB95; - -LAB96: t291 = *((unsigned int *)t269); - t292 = *((unsigned int *)t283); - t293 = (t291 & t292); - *((unsigned int *)t290) = t293; - t294 = (t269 + 4); - t295 = (t283 + 4); - t296 = (t290 + 4); - t297 = *((unsigned int *)t294); - t298 = *((unsigned int *)t295); - t299 = (t297 | t298); - *((unsigned int *)t296) = t299; - t300 = *((unsigned int *)t296); - t301 = (t300 != 0); - if (t301 == 1) - goto LAB97; - -LAB98: -LAB99: goto LAB92; - -LAB93: *((unsigned int *)t283) = 1; - goto LAB96; - -LAB95: t289 = (t283 + 4); - *((unsigned int *)t283) = 1; - *((unsigned int *)t289) = 1; - goto LAB96; - -LAB97: t302 = *((unsigned int *)t290); - t303 = *((unsigned int *)t296); - *((unsigned int *)t290) = (t302 | t303); - t304 = (t269 + 4); - t305 = (t283 + 4); - t306 = *((unsigned int *)t269); - t307 = (~(t306)); - t308 = *((unsigned int *)t304); - t309 = (~(t308)); - t310 = *((unsigned int *)t283); - t311 = (~(t310)); - t312 = *((unsigned int *)t305); - t313 = (~(t312)); - t314 = (t307 & t309); - t315 = (t311 & t313); - t316 = (~(t314)); - t317 = (~(t315)); - t318 = *((unsigned int *)t296); - *((unsigned int *)t296) = (t318 & t316); - t319 = *((unsigned int *)t296); - *((unsigned int *)t296) = (t319 & t317); - t320 = *((unsigned int *)t290); - *((unsigned int *)t290) = (t320 & t316); - t321 = *((unsigned int *)t290); - *((unsigned int *)t290) = (t321 & t317); - goto LAB99; - -LAB100: *((unsigned int *)t322) = 1; - goto LAB103; - -LAB102: t329 = (t322 + 4); - *((unsigned int *)t322) = 1; - *((unsigned int *)t329) = 1; - goto LAB103; - -LAB104: t334 = (t0 + 2808U); - t335 = *((char **)t334); - memset(t336, 0, 8); - t334 = (t335 + 4); - t337 = *((unsigned int *)t334); - t338 = (~(t337)); - t339 = *((unsigned int *)t335); - t340 = (t339 & t338); - t341 = (t340 & 1U); - if (t341 != 0) - goto LAB107; - -LAB108: if (*((unsigned int *)t334) != 0) - goto LAB109; - -LAB110: t344 = *((unsigned int *)t322); - t345 = *((unsigned int *)t336); - t346 = (t344 & t345); - *((unsigned int *)t343) = t346; - t347 = (t322 + 4); - t348 = (t336 + 4); - t349 = (t343 + 4); - t350 = *((unsigned int *)t347); - t351 = *((unsigned int *)t348); - t352 = (t350 | t351); - *((unsigned int *)t349) = t352; - t353 = *((unsigned int *)t349); - t354 = (t353 != 0); - if (t354 == 1) - goto LAB111; - -LAB112: -LAB113: goto LAB106; - -LAB107: *((unsigned int *)t336) = 1; - goto LAB110; - -LAB109: t342 = (t336 + 4); - *((unsigned int *)t336) = 1; - *((unsigned int *)t342) = 1; - goto LAB110; - -LAB111: t355 = *((unsigned int *)t343); - t356 = *((unsigned int *)t349); - *((unsigned int *)t343) = (t355 | t356); - t357 = (t322 + 4); - t358 = (t336 + 4); - t359 = *((unsigned int *)t322); - t360 = (~(t359)); - t361 = *((unsigned int *)t357); - t362 = (~(t361)); - t363 = *((unsigned int *)t336); - t364 = (~(t363)); - t365 = *((unsigned int *)t358); - t366 = (~(t365)); - t367 = (t360 & t362); - t368 = (t364 & t366); - t369 = (~(t367)); - t370 = (~(t368)); - t371 = *((unsigned int *)t349); - *((unsigned int *)t349) = (t371 & t369); - t372 = *((unsigned int *)t349); - *((unsigned int *)t349) = (t372 & t370); - t373 = *((unsigned int *)t343); - *((unsigned int *)t343) = (t373 & t369); - t374 = *((unsigned int *)t343); - *((unsigned int *)t343) = (t374 & t370); - goto LAB113; - -LAB114: *((unsigned int *)t375) = 1; - goto LAB117; - -LAB116: t382 = (t375 + 4); - *((unsigned int *)t375) = 1; - *((unsigned int *)t382) = 1; - goto LAB117; - -LAB118: t387 = (t0 + 5128); - t388 = (t387 + 56U); - t389 = *((char **)t388); - memset(t390, 0, 8); - t391 = (t389 + 4); - t392 = *((unsigned int *)t391); - t393 = (~(t392)); - t394 = *((unsigned int *)t389); - t395 = (t394 & t393); - t396 = (t395 & 1U); - if (t396 != 0) - goto LAB121; - -LAB122: if (*((unsigned int *)t391) != 0) - goto LAB123; - -LAB124: t399 = *((unsigned int *)t375); - t400 = *((unsigned int *)t390); - t401 = (t399 & t400); - *((unsigned int *)t398) = t401; - t402 = (t375 + 4); - t403 = (t390 + 4); - t404 = (t398 + 4); - t405 = *((unsigned int *)t402); - t406 = *((unsigned int *)t403); - t407 = (t405 | t406); - *((unsigned int *)t404) = t407; - t408 = *((unsigned int *)t404); - t409 = (t408 != 0); - if (t409 == 1) - goto LAB125; - -LAB126: -LAB127: goto LAB120; - -LAB121: *((unsigned int *)t390) = 1; - goto LAB124; - -LAB123: t397 = (t390 + 4); - *((unsigned int *)t390) = 1; - *((unsigned int *)t397) = 1; - goto LAB124; - -LAB125: t410 = *((unsigned int *)t398); - t411 = *((unsigned int *)t404); - *((unsigned int *)t398) = (t410 | t411); - t412 = (t375 + 4); - t413 = (t390 + 4); - t414 = *((unsigned int *)t375); - t415 = (~(t414)); - t416 = *((unsigned int *)t412); - t417 = (~(t416)); - t418 = *((unsigned int *)t390); - t419 = (~(t418)); - t420 = *((unsigned int *)t413); - t421 = (~(t420)); - t422 = (t415 & t417); - t423 = (t419 & t421); - t424 = (~(t422)); - t425 = (~(t423)); - t426 = *((unsigned int *)t404); - *((unsigned int *)t404) = (t426 & t424); - t427 = *((unsigned int *)t404); - *((unsigned int *)t404) = (t427 & t425); - t428 = *((unsigned int *)t398); - *((unsigned int *)t398) = (t428 & t424); - t429 = *((unsigned int *)t398); - *((unsigned int *)t398) = (t429 & t425); - goto LAB127; - -LAB128: *((unsigned int *)t430) = 1; - goto LAB131; - -LAB130: t437 = (t430 + 4); - *((unsigned int *)t430) = 1; - *((unsigned int *)t437) = 1; - goto LAB131; - -LAB132: t450 = *((unsigned int *)t438); - t451 = *((unsigned int *)t444); - *((unsigned int *)t438) = (t450 | t451); - t452 = (t236 + 4); - t453 = (t430 + 4); - t454 = *((unsigned int *)t452); - t455 = (~(t454)); - t456 = *((unsigned int *)t236); - t457 = (t456 & t455); - t458 = *((unsigned int *)t453); - t459 = (~(t458)); - t460 = *((unsigned int *)t430); - t461 = (t460 & t459); - t462 = (~(t457)); - t463 = (~(t461)); - t464 = *((unsigned int *)t444); - *((unsigned int *)t444) = (t464 & t462); - t465 = *((unsigned int *)t444); - *((unsigned int *)t444) = (t465 & t463); - goto LAB134; - -LAB135: xsi_set_current_line(45, ng0); - t472 = ((char*)((ng2))); - t473 = (t0 + 5768); - xsi_vlogvar_wait_assign_value(t473, t472, 0, 0, 1, 0LL); - goto LAB137; - -} - -static void Always_48_13(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - -LAB0: t1 = (t0 + 10232U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 11752); - *((int *)t2) = 1; - t3 = (t0 + 10264); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(48, ng0); - -LAB5: xsi_set_current_line(48, ng0); - t4 = (t0 + 2008U); - t5 = *((char **)t4); - t4 = (t0 + 6088); - xsi_vlogvar_wait_assign_value(t4, t5, 0, 0, 1, 0LL); - goto LAB2; - -} - -static void Always_49_14(char *t0) -{ - char t8[8]; - char t32[8]; - char t45[8]; - char t52[8]; - char t84[8]; - char t96[8]; - char t115[8]; - char t123[8]; - char t155[8]; - char t167[8]; - char t188[8]; - char t196[8]; - char t228[8]; - char t241[8]; - char t260[8]; - char t274[8]; - char t281[8]; - char t313[8]; - char t321[8]; - char t349[8]; - char t364[8]; - char t377[8]; - char t384[8]; - char t416[8]; - char t428[8]; - char t447[8]; - char t455[8]; - char t487[8]; - char t495[8]; - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t9; - char *t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - char *t23; - char *t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - unsigned int t28; - unsigned int t29; - char *t30; - char *t31; - unsigned int t33; - unsigned int t34; - unsigned int t35; - unsigned int t36; - unsigned int t37; - char *t38; - char *t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - char *t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - unsigned int t49; - unsigned int t50; - char *t51; - unsigned int t53; - unsigned int t54; - unsigned int t55; - char *t56; - char *t57; - char *t58; - unsigned int t59; - unsigned int t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - unsigned int t64; - unsigned int t65; - char *t66; - char *t67; - unsigned int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - unsigned int t73; - unsigned int t74; - unsigned int t75; - int t76; - int t77; - unsigned int t78; - unsigned int t79; - unsigned int t80; - unsigned int t81; - unsigned int t82; - unsigned int t83; - char *t85; - unsigned int t86; - unsigned int t87; - unsigned int t88; - unsigned int t89; - unsigned int t90; - char *t91; - char *t92; - unsigned int t93; - unsigned int t94; - unsigned int t95; - char *t97; - char *t98; - unsigned int t99; - unsigned int t100; - unsigned int t101; - unsigned int t102; - unsigned int t103; - char *t104; - char *t105; - char *t106; - unsigned int t107; - unsigned int t108; - unsigned int t109; - unsigned int t110; - unsigned int t111; - unsigned int t112; - unsigned int t113; - unsigned int t114; - char *t116; - unsigned int t117; - unsigned int t118; - unsigned int t119; - unsigned int t120; - unsigned int t121; - char *t122; - unsigned int t124; - unsigned int t125; - unsigned int t126; - char *t127; - char *t128; - char *t129; - unsigned int t130; - unsigned int t131; - unsigned int t132; - unsigned int t133; - unsigned int t134; - unsigned int t135; - unsigned int t136; - char *t137; - char *t138; - unsigned int t139; - unsigned int t140; - unsigned int t141; - unsigned int t142; - unsigned int t143; - unsigned int t144; - unsigned int t145; - unsigned int t146; - int t147; - int t148; - unsigned int t149; - unsigned int t150; - unsigned int t151; - unsigned int t152; - unsigned int t153; - unsigned int t154; - char *t156; - unsigned int t157; - unsigned int t158; - unsigned int t159; - unsigned int t160; - unsigned int t161; - char *t162; - char *t163; - unsigned int t164; - unsigned int t165; - unsigned int t166; - char *t168; - char *t169; - char *t170; - char *t171; - unsigned int t172; - unsigned int t173; - unsigned int t174; - unsigned int t175; - unsigned int t176; - char *t177; - char *t178; - char *t179; - unsigned int t180; - unsigned int t181; - unsigned int t182; - unsigned int t183; - unsigned int t184; - unsigned int t185; - unsigned int t186; - unsigned int t187; - char *t189; - unsigned int t190; - unsigned int t191; - unsigned int t192; - unsigned int t193; - unsigned int t194; - char *t195; - unsigned int t197; - unsigned int t198; - unsigned int t199; - char *t200; - char *t201; - char *t202; - unsigned int t203; - unsigned int t204; - unsigned int t205; - unsigned int t206; - unsigned int t207; - unsigned int t208; - unsigned int t209; - char *t210; - char *t211; - unsigned int t212; - unsigned int t213; - unsigned int t214; - unsigned int t215; - unsigned int t216; - unsigned int t217; - unsigned int t218; - unsigned int t219; - int t220; - int t221; - unsigned int t222; - unsigned int t223; - unsigned int t224; - unsigned int t225; - unsigned int t226; - unsigned int t227; - char *t229; - unsigned int t230; - unsigned int t231; - unsigned int t232; - unsigned int t233; - unsigned int t234; - char *t235; - char *t236; - unsigned int t237; - unsigned int t238; - unsigned int t239; - unsigned int t240; - char *t242; - char *t243; - unsigned int t244; - unsigned int t245; - unsigned int t246; - unsigned int t247; - unsigned int t248; - char *t249; - char *t250; - char *t251; - unsigned int t252; - unsigned int t253; - unsigned int t254; - unsigned int t255; - unsigned int t256; - unsigned int t257; - unsigned int t258; - unsigned int t259; - char *t261; - unsigned int t262; - unsigned int t263; - unsigned int t264; - unsigned int t265; - unsigned int t266; - char *t267; - char *t268; - unsigned int t269; - unsigned int t270; - unsigned int t271; - char *t272; - char *t273; - unsigned int t275; - unsigned int t276; - unsigned int t277; - unsigned int t278; - unsigned int t279; - char *t280; - unsigned int t282; - unsigned int t283; - unsigned int t284; - char *t285; - char *t286; - char *t287; - unsigned int t288; - unsigned int t289; - unsigned int t290; - unsigned int t291; - unsigned int t292; - unsigned int t293; - unsigned int t294; - char *t295; - char *t296; - unsigned int t297; - unsigned int t298; - unsigned int t299; - unsigned int t300; - unsigned int t301; - unsigned int t302; - unsigned int t303; - unsigned int t304; - int t305; - int t306; - unsigned int t307; - unsigned int t308; - unsigned int t309; - unsigned int t310; - unsigned int t311; - unsigned int t312; - char *t314; - unsigned int t315; - unsigned int t316; - unsigned int t317; - unsigned int t318; - unsigned int t319; - char *t320; - unsigned int t322; - unsigned int t323; - unsigned int t324; - char *t325; - char *t326; - char *t327; - unsigned int t328; - unsigned int t329; - unsigned int t330; - unsigned int t331; - unsigned int t332; - unsigned int t333; - unsigned int t334; - char *t335; - char *t336; - unsigned int t337; - unsigned int t338; - unsigned int t339; - int t340; - unsigned int t341; - unsigned int t342; - unsigned int t343; - int t344; - unsigned int t345; - unsigned int t346; - unsigned int t347; - unsigned int t348; - char *t350; - unsigned int t351; - unsigned int t352; - unsigned int t353; - unsigned int t354; - unsigned int t355; - char *t356; - char *t357; - unsigned int t358; - unsigned int t359; - unsigned int t360; - unsigned int t361; - char *t362; - char *t363; - unsigned int t365; - unsigned int t366; - unsigned int t367; - unsigned int t368; - unsigned int t369; - char *t370; - char *t371; - unsigned int t372; - unsigned int t373; - unsigned int t374; - char *t375; - char *t376; - unsigned int t378; - unsigned int t379; - unsigned int t380; - unsigned int t381; - unsigned int t382; - char *t383; - unsigned int t385; - unsigned int t386; - unsigned int t387; - char *t388; - char *t389; - char *t390; - unsigned int t391; - unsigned int t392; - unsigned int t393; - unsigned int t394; - unsigned int t395; - unsigned int t396; - unsigned int t397; - char *t398; - char *t399; - unsigned int t400; - unsigned int t401; - unsigned int t402; - unsigned int t403; - unsigned int t404; - unsigned int t405; - unsigned int t406; - unsigned int t407; - int t408; - int t409; - unsigned int t410; - unsigned int t411; - unsigned int t412; - unsigned int t413; - unsigned int t414; - unsigned int t415; - char *t417; - unsigned int t418; - unsigned int t419; - unsigned int t420; - unsigned int t421; - unsigned int t422; - char *t423; - char *t424; - unsigned int t425; - unsigned int t426; - unsigned int t427; - char *t429; - char *t430; - unsigned int t431; - unsigned int t432; - unsigned int t433; - unsigned int t434; - unsigned int t435; - char *t436; - char *t437; - char *t438; - unsigned int t439; - unsigned int t440; - unsigned int t441; - unsigned int t442; - unsigned int t443; - unsigned int t444; - unsigned int t445; - unsigned int t446; - char *t448; - unsigned int t449; - unsigned int t450; - unsigned int t451; - unsigned int t452; - unsigned int t453; - char *t454; - unsigned int t456; - unsigned int t457; - unsigned int t458; - char *t459; - char *t460; - char *t461; - unsigned int t462; - unsigned int t463; - unsigned int t464; - unsigned int t465; - unsigned int t466; - unsigned int t467; - unsigned int t468; - char *t469; - char *t470; - unsigned int t471; - unsigned int t472; - unsigned int t473; - unsigned int t474; - unsigned int t475; - unsigned int t476; - unsigned int t477; - unsigned int t478; - int t479; - int t480; - unsigned int t481; - unsigned int t482; - unsigned int t483; - unsigned int t484; - unsigned int t485; - unsigned int t486; - char *t488; - unsigned int t489; - unsigned int t490; - unsigned int t491; - unsigned int t492; - unsigned int t493; - char *t494; - unsigned int t496; - unsigned int t497; - unsigned int t498; - char *t499; - char *t500; - char *t501; - unsigned int t502; - unsigned int t503; - unsigned int t504; - unsigned int t505; - unsigned int t506; - unsigned int t507; - unsigned int t508; - char *t509; - char *t510; - unsigned int t511; - unsigned int t512; - unsigned int t513; - int t514; - unsigned int t515; - unsigned int t516; - unsigned int t517; - int t518; - unsigned int t519; - unsigned int t520; - unsigned int t521; - unsigned int t522; - char *t523; - unsigned int t524; - unsigned int t525; - unsigned int t526; - unsigned int t527; - unsigned int t528; - char *t529; - char *t530; - -LAB0: t1 = (t0 + 10480U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 11768); - *((int *)t2) = 1; - t3 = (t0 + 10512); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(49, ng0); - -LAB5: xsi_set_current_line(50, ng0); - t4 = (t0 + 4968); - t5 = (t4 + 56U); - t6 = *((char **)t5); - t7 = ((char*)((ng1))); - memset(t8, 0, 8); - t9 = (t6 + 4); - t10 = (t7 + 4); - t11 = *((unsigned int *)t6); - t12 = *((unsigned int *)t7); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t9); - t15 = *((unsigned int *)t10); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t9); - t19 = *((unsigned int *)t10); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB9; - -LAB6: if (t20 != 0) - goto LAB8; - -LAB7: *((unsigned int *)t8) = 1; - -LAB9: t24 = (t8 + 4); - t25 = *((unsigned int *)t24); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB10; - -LAB11: xsi_set_current_line(79, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng2))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB228; - -LAB225: if (t20 != 0) - goto LAB227; - -LAB226: *((unsigned int *)t8) = 1; - -LAB228: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB229; - -LAB230: xsi_set_current_line(85, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng4))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB236; - -LAB233: if (t20 != 0) - goto LAB235; - -LAB234: *((unsigned int *)t8) = 1; - -LAB236: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB237; - -LAB238: xsi_set_current_line(91, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng6))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB244; - -LAB241: if (t20 != 0) - goto LAB243; - -LAB242: *((unsigned int *)t8) = 1; - -LAB244: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB245; - -LAB246: xsi_set_current_line(97, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng7))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB252; - -LAB249: if (t20 != 0) - goto LAB251; - -LAB250: *((unsigned int *)t8) = 1; - -LAB252: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB253; - -LAB254: xsi_set_current_line(103, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng5))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB260; - -LAB257: if (t20 != 0) - goto LAB259; - -LAB258: *((unsigned int *)t8) = 1; - -LAB260: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB261; - -LAB262: xsi_set_current_line(109, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng8))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB268; - -LAB265: if (t20 != 0) - goto LAB267; - -LAB266: *((unsigned int *)t8) = 1; - -LAB268: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB269; - -LAB270: xsi_set_current_line(115, ng0); - t2 = (t0 + 4968); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = ((char*)((ng3))); - memset(t8, 0, 8); - t6 = (t4 + 4); - t7 = (t5 + 4); - t11 = *((unsigned int *)t4); - t12 = *((unsigned int *)t5); - t13 = (t11 ^ t12); - t14 = *((unsigned int *)t6); - t15 = *((unsigned int *)t7); - t16 = (t14 ^ t15); - t17 = (t13 | t16); - t18 = *((unsigned int *)t6); - t19 = *((unsigned int *)t7); - t20 = (t18 | t19); - t21 = (~(t20)); - t22 = (t17 & t21); - if (t22 != 0) - goto LAB276; - -LAB273: if (t20 != 0) - goto LAB275; - -LAB274: *((unsigned int *)t8) = 1; - -LAB276: t10 = (t8 + 4); - t25 = *((unsigned int *)t10); - t26 = (~(t25)); - t27 = *((unsigned int *)t8); - t28 = (t27 & t26); - t29 = (t28 != 0); - if (t29 > 0) - goto LAB277; - -LAB278: -LAB279: -LAB271: -LAB263: -LAB255: -LAB247: -LAB239: -LAB231: -LAB12: goto LAB2; - -LAB8: t23 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t23) = 1; - goto LAB9; - -LAB10: xsi_set_current_line(50, ng0); - -LAB13: xsi_set_current_line(51, ng0); - t30 = (t0 + 2008U); - t31 = *((char **)t30); - memset(t32, 0, 8); - t30 = (t31 + 4); - t33 = *((unsigned int *)t30); - t34 = (~(t33)); - t35 = *((unsigned int *)t31); - t36 = (t35 & t34); - t37 = (t36 & 1U); - if (t37 != 0) - goto LAB14; - -LAB15: if (*((unsigned int *)t30) != 0) - goto LAB16; - -LAB17: t39 = (t32 + 4); - t40 = *((unsigned int *)t32); - t41 = *((unsigned int *)t39); - t42 = (t40 || t41); - if (t42 > 0) - goto LAB18; - -LAB19: memcpy(t52, t32, 8); - -LAB20: memset(t84, 0, 8); - t85 = (t52 + 4); - t86 = *((unsigned int *)t85); - t87 = (~(t86)); - t88 = *((unsigned int *)t52); - t89 = (t88 & t87); - t90 = (t89 & 1U); - if (t90 != 0) - goto LAB28; - -LAB29: if (*((unsigned int *)t85) != 0) - goto LAB30; - -LAB31: t92 = (t84 + 4); - t93 = *((unsigned int *)t84); - t94 = *((unsigned int *)t92); - t95 = (t93 || t94); - if (t95 > 0) - goto LAB32; - -LAB33: memcpy(t123, t84, 8); - -LAB34: memset(t155, 0, 8); - t156 = (t123 + 4); - t157 = *((unsigned int *)t156); - t158 = (~(t157)); - t159 = *((unsigned int *)t123); - t160 = (t159 & t158); - t161 = (t160 & 1U); - if (t161 != 0) - goto LAB48; - -LAB49: if (*((unsigned int *)t156) != 0) - goto LAB50; - -LAB51: t163 = (t155 + 4); - t164 = *((unsigned int *)t155); - t165 = *((unsigned int *)t163); - t166 = (t164 || t165); - if (t166 > 0) - goto LAB52; - -LAB53: memcpy(t196, t155, 8); - -LAB54: memset(t228, 0, 8); - t229 = (t196 + 4); - t230 = *((unsigned int *)t229); - t231 = (~(t230)); - t232 = *((unsigned int *)t196); - t233 = (t232 & t231); - t234 = (t233 & 1U); - if (t234 != 0) - goto LAB68; - -LAB69: if (*((unsigned int *)t229) != 0) - goto LAB70; - -LAB71: t236 = (t228 + 4); - t237 = *((unsigned int *)t228); - t238 = (!(t237)); - t239 = *((unsigned int *)t236); - t240 = (t238 || t239); - if (t240 > 0) - goto LAB72; - -LAB73: memcpy(t321, t228, 8); - -LAB74: memset(t349, 0, 8); - t350 = (t321 + 4); - t351 = *((unsigned int *)t350); - t352 = (~(t351)); - t353 = *((unsigned int *)t321); - t354 = (t353 & t352); - t355 = (t354 & 1U); - if (t355 != 0) - goto LAB102; - -LAB103: if (*((unsigned int *)t350) != 0) - goto LAB104; - -LAB105: t357 = (t349 + 4); - t358 = *((unsigned int *)t349); - t359 = (!(t358)); - t360 = *((unsigned int *)t357); - t361 = (t359 || t360); - if (t361 > 0) - goto LAB106; - -LAB107: memcpy(t495, t349, 8); - -LAB108: t523 = (t495 + 4); - t524 = *((unsigned int *)t523); - t525 = (~(t524)); - t526 = *((unsigned int *)t495); - t527 = (t526 & t525); - t528 = (t527 != 0); - if (t528 > 0) - goto LAB150; - -LAB151: xsi_set_current_line(58, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB154; - -LAB155: if (*((unsigned int *)t2) != 0) - goto LAB156; - -LAB157: t5 = (t8 + 4); - t16 = *((unsigned int *)t8); - t17 = *((unsigned int *)t5); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB158; - -LAB159: memcpy(t45, t8, 8); - -LAB160: memset(t52, 0, 8); - t38 = (t45 + 4); - t63 = *((unsigned int *)t38); - t64 = (~(t63)); - t65 = *((unsigned int *)t45); - t68 = (t65 & t64); - t69 = (t68 & 1U); - if (t69 != 0) - goto LAB168; - -LAB169: if (*((unsigned int *)t38) != 0) - goto LAB170; - -LAB171: t43 = (t52 + 4); - t70 = *((unsigned int *)t52); - t71 = *((unsigned int *)t43); - t72 = (t70 || t71); - if (t72 > 0) - goto LAB172; - -LAB173: memcpy(t115, t52, 8); - -LAB174: t106 = (t115 + 4); - t134 = *((unsigned int *)t106); - t135 = (~(t134)); - t136 = *((unsigned int *)t115); - t139 = (t136 & t135); - t140 = (t139 != 0); - if (t140 > 0) - goto LAB188; - -LAB189: xsi_set_current_line(64, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB192; - -LAB193: if (*((unsigned int *)t2) != 0) - goto LAB194; - -LAB195: t5 = (t8 + 4); - t16 = *((unsigned int *)t8); - t17 = *((unsigned int *)t5); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB196; - -LAB197: memcpy(t45, t8, 8); - -LAB198: memset(t52, 0, 8); - t38 = (t45 + 4); - t63 = *((unsigned int *)t38); - t64 = (~(t63)); - t65 = *((unsigned int *)t45); - t68 = (t65 & t64); - t69 = (t68 & 1U); - if (t69 != 0) - goto LAB206; - -LAB207: if (*((unsigned int *)t38) != 0) - goto LAB208; - -LAB209: t43 = (t52 + 4); - t70 = *((unsigned int *)t52); - t71 = *((unsigned int *)t43); - t72 = (t70 || t71); - if (t72 > 0) - goto LAB210; - -LAB211: memcpy(t96, t52, 8); - -LAB212: t91 = (t96 + 4); - t114 = *((unsigned int *)t91); - t117 = (~(t114)); - t118 = *((unsigned int *)t96); - t119 = (t118 & t117); - t120 = (t119 != 0); - if (t120 > 0) - goto LAB220; - -LAB221: xsi_set_current_line(71, ng0); - -LAB224: xsi_set_current_line(73, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(74, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(75, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(76, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB222: -LAB190: -LAB152: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB12; - -LAB14: *((unsigned int *)t32) = 1; - goto LAB17; - -LAB16: t38 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t38) = 1; - goto LAB17; - -LAB18: t43 = (t0 + 2648U); - t44 = *((char **)t43); - memset(t45, 0, 8); - t43 = (t44 + 4); - t46 = *((unsigned int *)t43); - t47 = (~(t46)); - t48 = *((unsigned int *)t44); - t49 = (t48 & t47); - t50 = (t49 & 1U); - if (t50 != 0) - goto LAB21; - -LAB22: if (*((unsigned int *)t43) != 0) - goto LAB23; - -LAB24: t53 = *((unsigned int *)t32); - t54 = *((unsigned int *)t45); - t55 = (t53 & t54); - *((unsigned int *)t52) = t55; - t56 = (t32 + 4); - t57 = (t45 + 4); - t58 = (t52 + 4); - t59 = *((unsigned int *)t56); - t60 = *((unsigned int *)t57); - t61 = (t59 | t60); - *((unsigned int *)t58) = t61; - t62 = *((unsigned int *)t58); - t63 = (t62 != 0); - if (t63 == 1) - goto LAB25; - -LAB26: -LAB27: goto LAB20; - -LAB21: *((unsigned int *)t45) = 1; - goto LAB24; - -LAB23: t51 = (t45 + 4); - *((unsigned int *)t45) = 1; - *((unsigned int *)t51) = 1; - goto LAB24; - -LAB25: t64 = *((unsigned int *)t52); - t65 = *((unsigned int *)t58); - *((unsigned int *)t52) = (t64 | t65); - t66 = (t32 + 4); - t67 = (t45 + 4); - t68 = *((unsigned int *)t32); - t69 = (~(t68)); - t70 = *((unsigned int *)t66); - t71 = (~(t70)); - t72 = *((unsigned int *)t45); - t73 = (~(t72)); - t74 = *((unsigned int *)t67); - t75 = (~(t74)); - t76 = (t69 & t71); - t77 = (t73 & t75); - t78 = (~(t76)); - t79 = (~(t77)); - t80 = *((unsigned int *)t58); - *((unsigned int *)t58) = (t80 & t78); - t81 = *((unsigned int *)t58); - *((unsigned int *)t58) = (t81 & t79); - t82 = *((unsigned int *)t52); - *((unsigned int *)t52) = (t82 & t78); - t83 = *((unsigned int *)t52); - *((unsigned int *)t52) = (t83 & t79); - goto LAB27; - -LAB28: *((unsigned int *)t84) = 1; - goto LAB31; - -LAB30: t91 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t91) = 1; - goto LAB31; - -LAB32: t97 = (t0 + 2168U); - t98 = *((char **)t97); - memset(t96, 0, 8); - t97 = (t98 + 4); - t99 = *((unsigned int *)t97); - t100 = (~(t99)); - t101 = *((unsigned int *)t98); - t102 = (t101 & t100); - t103 = (t102 & 1U); - if (t103 != 0) - goto LAB38; - -LAB36: if (*((unsigned int *)t97) == 0) - goto LAB35; - -LAB37: t104 = (t96 + 4); - *((unsigned int *)t96) = 1; - *((unsigned int *)t104) = 1; - -LAB38: t105 = (t96 + 4); - t106 = (t98 + 4); - t107 = *((unsigned int *)t98); - t108 = (~(t107)); - *((unsigned int *)t96) = t108; - *((unsigned int *)t105) = 0; - if (*((unsigned int *)t106) != 0) - goto LAB40; - -LAB39: t113 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t113 & 1U); - t114 = *((unsigned int *)t105); - *((unsigned int *)t105) = (t114 & 1U); - memset(t115, 0, 8); - t116 = (t96 + 4); - t117 = *((unsigned int *)t116); - t118 = (~(t117)); - t119 = *((unsigned int *)t96); - t120 = (t119 & t118); - t121 = (t120 & 1U); - if (t121 != 0) - goto LAB41; - -LAB42: if (*((unsigned int *)t116) != 0) - goto LAB43; - -LAB44: t124 = *((unsigned int *)t84); - t125 = *((unsigned int *)t115); - t126 = (t124 & t125); - *((unsigned int *)t123) = t126; - t127 = (t84 + 4); - t128 = (t115 + 4); - t129 = (t123 + 4); - t130 = *((unsigned int *)t127); - t131 = *((unsigned int *)t128); - t132 = (t130 | t131); - *((unsigned int *)t129) = t132; - t133 = *((unsigned int *)t129); - t134 = (t133 != 0); - if (t134 == 1) - goto LAB45; - -LAB46: -LAB47: goto LAB34; - -LAB35: *((unsigned int *)t96) = 1; - goto LAB38; - -LAB40: t109 = *((unsigned int *)t96); - t110 = *((unsigned int *)t106); - *((unsigned int *)t96) = (t109 | t110); - t111 = *((unsigned int *)t105); - t112 = *((unsigned int *)t106); - *((unsigned int *)t105) = (t111 | t112); - goto LAB39; - -LAB41: *((unsigned int *)t115) = 1; - goto LAB44; - -LAB43: t122 = (t115 + 4); - *((unsigned int *)t115) = 1; - *((unsigned int *)t122) = 1; - goto LAB44; - -LAB45: t135 = *((unsigned int *)t123); - t136 = *((unsigned int *)t129); - *((unsigned int *)t123) = (t135 | t136); - t137 = (t84 + 4); - t138 = (t115 + 4); - t139 = *((unsigned int *)t84); - t140 = (~(t139)); - t141 = *((unsigned int *)t137); - t142 = (~(t141)); - t143 = *((unsigned int *)t115); - t144 = (~(t143)); - t145 = *((unsigned int *)t138); - t146 = (~(t145)); - t147 = (t140 & t142); - t148 = (t144 & t146); - t149 = (~(t147)); - t150 = (~(t148)); - t151 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t151 & t149); - t152 = *((unsigned int *)t129); - *((unsigned int *)t129) = (t152 & t150); - t153 = *((unsigned int *)t123); - *((unsigned int *)t123) = (t153 & t149); - t154 = *((unsigned int *)t123); - *((unsigned int *)t123) = (t154 & t150); - goto LAB47; - -LAB48: *((unsigned int *)t155) = 1; - goto LAB51; - -LAB50: t162 = (t155 + 4); - *((unsigned int *)t155) = 1; - *((unsigned int *)t162) = 1; - goto LAB51; - -LAB52: t168 = (t0 + 6088); - t169 = (t168 + 56U); - t170 = *((char **)t169); - memset(t167, 0, 8); - t171 = (t170 + 4); - t172 = *((unsigned int *)t171); - t173 = (~(t172)); - t174 = *((unsigned int *)t170); - t175 = (t174 & t173); - t176 = (t175 & 1U); - if (t176 != 0) - goto LAB58; - -LAB56: if (*((unsigned int *)t171) == 0) - goto LAB55; - -LAB57: t177 = (t167 + 4); - *((unsigned int *)t167) = 1; - *((unsigned int *)t177) = 1; - -LAB58: t178 = (t167 + 4); - t179 = (t170 + 4); - t180 = *((unsigned int *)t170); - t181 = (~(t180)); - *((unsigned int *)t167) = t181; - *((unsigned int *)t178) = 0; - if (*((unsigned int *)t179) != 0) - goto LAB60; - -LAB59: t186 = *((unsigned int *)t167); - *((unsigned int *)t167) = (t186 & 1U); - t187 = *((unsigned int *)t178); - *((unsigned int *)t178) = (t187 & 1U); - memset(t188, 0, 8); - t189 = (t167 + 4); - t190 = *((unsigned int *)t189); - t191 = (~(t190)); - t192 = *((unsigned int *)t167); - t193 = (t192 & t191); - t194 = (t193 & 1U); - if (t194 != 0) - goto LAB61; - -LAB62: if (*((unsigned int *)t189) != 0) - goto LAB63; - -LAB64: t197 = *((unsigned int *)t155); - t198 = *((unsigned int *)t188); - t199 = (t197 & t198); - *((unsigned int *)t196) = t199; - t200 = (t155 + 4); - t201 = (t188 + 4); - t202 = (t196 + 4); - t203 = *((unsigned int *)t200); - t204 = *((unsigned int *)t201); - t205 = (t203 | t204); - *((unsigned int *)t202) = t205; - t206 = *((unsigned int *)t202); - t207 = (t206 != 0); - if (t207 == 1) - goto LAB65; - -LAB66: -LAB67: goto LAB54; - -LAB55: *((unsigned int *)t167) = 1; - goto LAB58; - -LAB60: t182 = *((unsigned int *)t167); - t183 = *((unsigned int *)t179); - *((unsigned int *)t167) = (t182 | t183); - t184 = *((unsigned int *)t178); - t185 = *((unsigned int *)t179); - *((unsigned int *)t178) = (t184 | t185); - goto LAB59; - -LAB61: *((unsigned int *)t188) = 1; - goto LAB64; - -LAB63: t195 = (t188 + 4); - *((unsigned int *)t188) = 1; - *((unsigned int *)t195) = 1; - goto LAB64; - -LAB65: t208 = *((unsigned int *)t196); - t209 = *((unsigned int *)t202); - *((unsigned int *)t196) = (t208 | t209); - t210 = (t155 + 4); - t211 = (t188 + 4); - t212 = *((unsigned int *)t155); - t213 = (~(t212)); - t214 = *((unsigned int *)t210); - t215 = (~(t214)); - t216 = *((unsigned int *)t188); - t217 = (~(t216)); - t218 = *((unsigned int *)t211); - t219 = (~(t218)); - t220 = (t213 & t215); - t221 = (t217 & t219); - t222 = (~(t220)); - t223 = (~(t221)); - t224 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t224 & t222); - t225 = *((unsigned int *)t202); - *((unsigned int *)t202) = (t225 & t223); - t226 = *((unsigned int *)t196); - *((unsigned int *)t196) = (t226 & t222); - t227 = *((unsigned int *)t196); - *((unsigned int *)t196) = (t227 & t223); - goto LAB67; - -LAB68: *((unsigned int *)t228) = 1; - goto LAB71; - -LAB70: t235 = (t228 + 4); - *((unsigned int *)t228) = 1; - *((unsigned int *)t235) = 1; - goto LAB71; - -LAB72: t242 = (t0 + 2008U); - t243 = *((char **)t242); - memset(t241, 0, 8); - t242 = (t243 + 4); - t244 = *((unsigned int *)t242); - t245 = (~(t244)); - t246 = *((unsigned int *)t243); - t247 = (t246 & t245); - t248 = (t247 & 1U); - if (t248 != 0) - goto LAB78; - -LAB76: if (*((unsigned int *)t242) == 0) - goto LAB75; - -LAB77: t249 = (t241 + 4); - *((unsigned int *)t241) = 1; - *((unsigned int *)t249) = 1; - -LAB78: t250 = (t241 + 4); - t251 = (t243 + 4); - t252 = *((unsigned int *)t243); - t253 = (~(t252)); - *((unsigned int *)t241) = t253; - *((unsigned int *)t250) = 0; - if (*((unsigned int *)t251) != 0) - goto LAB80; - -LAB79: t258 = *((unsigned int *)t241); - *((unsigned int *)t241) = (t258 & 1U); - t259 = *((unsigned int *)t250); - *((unsigned int *)t250) = (t259 & 1U); - memset(t260, 0, 8); - t261 = (t241 + 4); - t262 = *((unsigned int *)t261); - t263 = (~(t262)); - t264 = *((unsigned int *)t241); - t265 = (t264 & t263); - t266 = (t265 & 1U); - if (t266 != 0) - goto LAB81; - -LAB82: if (*((unsigned int *)t261) != 0) - goto LAB83; - -LAB84: t268 = (t260 + 4); - t269 = *((unsigned int *)t260); - t270 = *((unsigned int *)t268); - t271 = (t269 || t270); - if (t271 > 0) - goto LAB85; - -LAB86: memcpy(t281, t260, 8); - -LAB87: memset(t313, 0, 8); - t314 = (t281 + 4); - t315 = *((unsigned int *)t314); - t316 = (~(t315)); - t317 = *((unsigned int *)t281); - t318 = (t317 & t316); - t319 = (t318 & 1U); - if (t319 != 0) - goto LAB95; - -LAB96: if (*((unsigned int *)t314) != 0) - goto LAB97; - -LAB98: t322 = *((unsigned int *)t228); - t323 = *((unsigned int *)t313); - t324 = (t322 | t323); - *((unsigned int *)t321) = t324; - t325 = (t228 + 4); - t326 = (t313 + 4); - t327 = (t321 + 4); - t328 = *((unsigned int *)t325); - t329 = *((unsigned int *)t326); - t330 = (t328 | t329); - *((unsigned int *)t327) = t330; - t331 = *((unsigned int *)t327); - t332 = (t331 != 0); - if (t332 == 1) - goto LAB99; - -LAB100: -LAB101: goto LAB74; - -LAB75: *((unsigned int *)t241) = 1; - goto LAB78; - -LAB80: t254 = *((unsigned int *)t241); - t255 = *((unsigned int *)t251); - *((unsigned int *)t241) = (t254 | t255); - t256 = *((unsigned int *)t250); - t257 = *((unsigned int *)t251); - *((unsigned int *)t250) = (t256 | t257); - goto LAB79; - -LAB81: *((unsigned int *)t260) = 1; - goto LAB84; - -LAB83: t267 = (t260 + 4); - *((unsigned int *)t260) = 1; - *((unsigned int *)t267) = 1; - goto LAB84; - -LAB85: t272 = (t0 + 2808U); - t273 = *((char **)t272); - memset(t274, 0, 8); - t272 = (t273 + 4); - t275 = *((unsigned int *)t272); - t276 = (~(t275)); - t277 = *((unsigned int *)t273); - t278 = (t277 & t276); - t279 = (t278 & 1U); - if (t279 != 0) - goto LAB88; - -LAB89: if (*((unsigned int *)t272) != 0) - goto LAB90; - -LAB91: t282 = *((unsigned int *)t260); - t283 = *((unsigned int *)t274); - t284 = (t282 & t283); - *((unsigned int *)t281) = t284; - t285 = (t260 + 4); - t286 = (t274 + 4); - t287 = (t281 + 4); - t288 = *((unsigned int *)t285); - t289 = *((unsigned int *)t286); - t290 = (t288 | t289); - *((unsigned int *)t287) = t290; - t291 = *((unsigned int *)t287); - t292 = (t291 != 0); - if (t292 == 1) - goto LAB92; - -LAB93: -LAB94: goto LAB87; - -LAB88: *((unsigned int *)t274) = 1; - goto LAB91; - -LAB90: t280 = (t274 + 4); - *((unsigned int *)t274) = 1; - *((unsigned int *)t280) = 1; - goto LAB91; - -LAB92: t293 = *((unsigned int *)t281); - t294 = *((unsigned int *)t287); - *((unsigned int *)t281) = (t293 | t294); - t295 = (t260 + 4); - t296 = (t274 + 4); - t297 = *((unsigned int *)t260); - t298 = (~(t297)); - t299 = *((unsigned int *)t295); - t300 = (~(t299)); - t301 = *((unsigned int *)t274); - t302 = (~(t301)); - t303 = *((unsigned int *)t296); - t304 = (~(t303)); - t305 = (t298 & t300); - t306 = (t302 & t304); - t307 = (~(t305)); - t308 = (~(t306)); - t309 = *((unsigned int *)t287); - *((unsigned int *)t287) = (t309 & t307); - t310 = *((unsigned int *)t287); - *((unsigned int *)t287) = (t310 & t308); - t311 = *((unsigned int *)t281); - *((unsigned int *)t281) = (t311 & t307); - t312 = *((unsigned int *)t281); - *((unsigned int *)t281) = (t312 & t308); - goto LAB94; - -LAB95: *((unsigned int *)t313) = 1; - goto LAB98; - -LAB97: t320 = (t313 + 4); - *((unsigned int *)t313) = 1; - *((unsigned int *)t320) = 1; - goto LAB98; - -LAB99: t333 = *((unsigned int *)t321); - t334 = *((unsigned int *)t327); - *((unsigned int *)t321) = (t333 | t334); - t335 = (t228 + 4); - t336 = (t313 + 4); - t337 = *((unsigned int *)t335); - t338 = (~(t337)); - t339 = *((unsigned int *)t228); - t340 = (t339 & t338); - t341 = *((unsigned int *)t336); - t342 = (~(t341)); - t343 = *((unsigned int *)t313); - t344 = (t343 & t342); - t345 = (~(t340)); - t346 = (~(t344)); - t347 = *((unsigned int *)t327); - *((unsigned int *)t327) = (t347 & t345); - t348 = *((unsigned int *)t327); - *((unsigned int *)t327) = (t348 & t346); - goto LAB101; - -LAB102: *((unsigned int *)t349) = 1; - goto LAB105; - -LAB104: t356 = (t349 + 4); - *((unsigned int *)t349) = 1; - *((unsigned int *)t356) = 1; - goto LAB105; - -LAB106: t362 = (t0 + 2008U); - t363 = *((char **)t362); - memset(t364, 0, 8); - t362 = (t363 + 4); - t365 = *((unsigned int *)t362); - t366 = (~(t365)); - t367 = *((unsigned int *)t363); - t368 = (t367 & t366); - t369 = (t368 & 1U); - if (t369 != 0) - goto LAB109; - -LAB110: if (*((unsigned int *)t362) != 0) - goto LAB111; - -LAB112: t371 = (t364 + 4); - t372 = *((unsigned int *)t364); - t373 = *((unsigned int *)t371); - t374 = (t372 || t373); - if (t374 > 0) - goto LAB113; - -LAB114: memcpy(t384, t364, 8); - -LAB115: memset(t416, 0, 8); - t417 = (t384 + 4); - t418 = *((unsigned int *)t417); - t419 = (~(t418)); - t420 = *((unsigned int *)t384); - t421 = (t420 & t419); - t422 = (t421 & 1U); - if (t422 != 0) - goto LAB123; - -LAB124: if (*((unsigned int *)t417) != 0) - goto LAB125; - -LAB126: t424 = (t416 + 4); - t425 = *((unsigned int *)t416); - t426 = *((unsigned int *)t424); - t427 = (t425 || t426); - if (t427 > 0) - goto LAB127; - -LAB128: memcpy(t455, t416, 8); - -LAB129: memset(t487, 0, 8); - t488 = (t455 + 4); - t489 = *((unsigned int *)t488); - t490 = (~(t489)); - t491 = *((unsigned int *)t455); - t492 = (t491 & t490); - t493 = (t492 & 1U); - if (t493 != 0) - goto LAB143; - -LAB144: if (*((unsigned int *)t488) != 0) - goto LAB145; - -LAB146: t496 = *((unsigned int *)t349); - t497 = *((unsigned int *)t487); - t498 = (t496 | t497); - *((unsigned int *)t495) = t498; - t499 = (t349 + 4); - t500 = (t487 + 4); - t501 = (t495 + 4); - t502 = *((unsigned int *)t499); - t503 = *((unsigned int *)t500); - t504 = (t502 | t503); - *((unsigned int *)t501) = t504; - t505 = *((unsigned int *)t501); - t506 = (t505 != 0); - if (t506 == 1) - goto LAB147; - -LAB148: -LAB149: goto LAB108; - -LAB109: *((unsigned int *)t364) = 1; - goto LAB112; - -LAB111: t370 = (t364 + 4); - *((unsigned int *)t364) = 1; - *((unsigned int *)t370) = 1; - goto LAB112; - -LAB113: t375 = (t0 + 2808U); - t376 = *((char **)t375); - memset(t377, 0, 8); - t375 = (t376 + 4); - t378 = *((unsigned int *)t375); - t379 = (~(t378)); - t380 = *((unsigned int *)t376); - t381 = (t380 & t379); - t382 = (t381 & 1U); - if (t382 != 0) - goto LAB116; - -LAB117: if (*((unsigned int *)t375) != 0) - goto LAB118; - -LAB119: t385 = *((unsigned int *)t364); - t386 = *((unsigned int *)t377); - t387 = (t385 & t386); - *((unsigned int *)t384) = t387; - t388 = (t364 + 4); - t389 = (t377 + 4); - t390 = (t384 + 4); - t391 = *((unsigned int *)t388); - t392 = *((unsigned int *)t389); - t393 = (t391 | t392); - *((unsigned int *)t390) = t393; - t394 = *((unsigned int *)t390); - t395 = (t394 != 0); - if (t395 == 1) - goto LAB120; - -LAB121: -LAB122: goto LAB115; - -LAB116: *((unsigned int *)t377) = 1; - goto LAB119; - -LAB118: t383 = (t377 + 4); - *((unsigned int *)t377) = 1; - *((unsigned int *)t383) = 1; - goto LAB119; - -LAB120: t396 = *((unsigned int *)t384); - t397 = *((unsigned int *)t390); - *((unsigned int *)t384) = (t396 | t397); - t398 = (t364 + 4); - t399 = (t377 + 4); - t400 = *((unsigned int *)t364); - t401 = (~(t400)); - t402 = *((unsigned int *)t398); - t403 = (~(t402)); - t404 = *((unsigned int *)t377); - t405 = (~(t404)); - t406 = *((unsigned int *)t399); - t407 = (~(t406)); - t408 = (t401 & t403); - t409 = (t405 & t407); - t410 = (~(t408)); - t411 = (~(t409)); - t412 = *((unsigned int *)t390); - *((unsigned int *)t390) = (t412 & t410); - t413 = *((unsigned int *)t390); - *((unsigned int *)t390) = (t413 & t411); - t414 = *((unsigned int *)t384); - *((unsigned int *)t384) = (t414 & t410); - t415 = *((unsigned int *)t384); - *((unsigned int *)t384) = (t415 & t411); - goto LAB122; - -LAB123: *((unsigned int *)t416) = 1; - goto LAB126; - -LAB125: t423 = (t416 + 4); - *((unsigned int *)t416) = 1; - *((unsigned int *)t423) = 1; - goto LAB126; - -LAB127: t429 = (t0 + 2168U); - t430 = *((char **)t429); - memset(t428, 0, 8); - t429 = (t430 + 4); - t431 = *((unsigned int *)t429); - t432 = (~(t431)); - t433 = *((unsigned int *)t430); - t434 = (t433 & t432); - t435 = (t434 & 1U); - if (t435 != 0) - goto LAB133; - -LAB131: if (*((unsigned int *)t429) == 0) - goto LAB130; - -LAB132: t436 = (t428 + 4); - *((unsigned int *)t428) = 1; - *((unsigned int *)t436) = 1; - -LAB133: t437 = (t428 + 4); - t438 = (t430 + 4); - t439 = *((unsigned int *)t430); - t440 = (~(t439)); - *((unsigned int *)t428) = t440; - *((unsigned int *)t437) = 0; - if (*((unsigned int *)t438) != 0) - goto LAB135; - -LAB134: t445 = *((unsigned int *)t428); - *((unsigned int *)t428) = (t445 & 1U); - t446 = *((unsigned int *)t437); - *((unsigned int *)t437) = (t446 & 1U); - memset(t447, 0, 8); - t448 = (t428 + 4); - t449 = *((unsigned int *)t448); - t450 = (~(t449)); - t451 = *((unsigned int *)t428); - t452 = (t451 & t450); - t453 = (t452 & 1U); - if (t453 != 0) - goto LAB136; - -LAB137: if (*((unsigned int *)t448) != 0) - goto LAB138; - -LAB139: t456 = *((unsigned int *)t416); - t457 = *((unsigned int *)t447); - t458 = (t456 & t457); - *((unsigned int *)t455) = t458; - t459 = (t416 + 4); - t460 = (t447 + 4); - t461 = (t455 + 4); - t462 = *((unsigned int *)t459); - t463 = *((unsigned int *)t460); - t464 = (t462 | t463); - *((unsigned int *)t461) = t464; - t465 = *((unsigned int *)t461); - t466 = (t465 != 0); - if (t466 == 1) - goto LAB140; - -LAB141: -LAB142: goto LAB129; - -LAB130: *((unsigned int *)t428) = 1; - goto LAB133; - -LAB135: t441 = *((unsigned int *)t428); - t442 = *((unsigned int *)t438); - *((unsigned int *)t428) = (t441 | t442); - t443 = *((unsigned int *)t437); - t444 = *((unsigned int *)t438); - *((unsigned int *)t437) = (t443 | t444); - goto LAB134; - -LAB136: *((unsigned int *)t447) = 1; - goto LAB139; - -LAB138: t454 = (t447 + 4); - *((unsigned int *)t447) = 1; - *((unsigned int *)t454) = 1; - goto LAB139; - -LAB140: t467 = *((unsigned int *)t455); - t468 = *((unsigned int *)t461); - *((unsigned int *)t455) = (t467 | t468); - t469 = (t416 + 4); - t470 = (t447 + 4); - t471 = *((unsigned int *)t416); - t472 = (~(t471)); - t473 = *((unsigned int *)t469); - t474 = (~(t473)); - t475 = *((unsigned int *)t447); - t476 = (~(t475)); - t477 = *((unsigned int *)t470); - t478 = (~(t477)); - t479 = (t472 & t474); - t480 = (t476 & t478); - t481 = (~(t479)); - t482 = (~(t480)); - t483 = *((unsigned int *)t461); - *((unsigned int *)t461) = (t483 & t481); - t484 = *((unsigned int *)t461); - *((unsigned int *)t461) = (t484 & t482); - t485 = *((unsigned int *)t455); - *((unsigned int *)t455) = (t485 & t481); - t486 = *((unsigned int *)t455); - *((unsigned int *)t455) = (t486 & t482); - goto LAB142; - -LAB143: *((unsigned int *)t487) = 1; - goto LAB146; - -LAB145: t494 = (t487 + 4); - *((unsigned int *)t487) = 1; - *((unsigned int *)t494) = 1; - goto LAB146; - -LAB147: t507 = *((unsigned int *)t495); - t508 = *((unsigned int *)t501); - *((unsigned int *)t495) = (t507 | t508); - t509 = (t349 + 4); - t510 = (t487 + 4); - t511 = *((unsigned int *)t509); - t512 = (~(t511)); - t513 = *((unsigned int *)t349); - t514 = (t513 & t512); - t515 = *((unsigned int *)t510); - t516 = (~(t515)); - t517 = *((unsigned int *)t487); - t518 = (t517 & t516); - t519 = (~(t514)); - t520 = (~(t518)); - t521 = *((unsigned int *)t501); - *((unsigned int *)t501) = (t521 & t519); - t522 = *((unsigned int *)t501); - *((unsigned int *)t501) = (t522 & t520); - goto LAB149; - -LAB150: xsi_set_current_line(53, ng0); - -LAB153: xsi_set_current_line(54, ng0); - t529 = ((char*)((ng4))); - t530 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t530, t529, 0, 0, 3, 0LL); - xsi_set_current_line(55, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(56, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB152; - -LAB154: *((unsigned int *)t8) = 1; - goto LAB157; - -LAB156: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - goto LAB157; - -LAB158: t6 = (t0 + 2168U); - t7 = *((char **)t6); - memset(t32, 0, 8); - t6 = (t7 + 4); - t19 = *((unsigned int *)t6); - t20 = (~(t19)); - t21 = *((unsigned int *)t7); - t22 = (t21 & t20); - t25 = (t22 & 1U); - if (t25 != 0) - goto LAB161; - -LAB162: if (*((unsigned int *)t6) != 0) - goto LAB163; - -LAB164: t26 = *((unsigned int *)t8); - t27 = *((unsigned int *)t32); - t28 = (t26 & t27); - *((unsigned int *)t45) = t28; - t10 = (t8 + 4); - t23 = (t32 + 4); - t24 = (t45 + 4); - t29 = *((unsigned int *)t10); - t33 = *((unsigned int *)t23); - t34 = (t29 | t33); - *((unsigned int *)t24) = t34; - t35 = *((unsigned int *)t24); - t36 = (t35 != 0); - if (t36 == 1) - goto LAB165; - -LAB166: -LAB167: goto LAB160; - -LAB161: *((unsigned int *)t32) = 1; - goto LAB164; - -LAB163: t9 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t9) = 1; - goto LAB164; - -LAB165: t37 = *((unsigned int *)t45); - t40 = *((unsigned int *)t24); - *((unsigned int *)t45) = (t37 | t40); - t30 = (t8 + 4); - t31 = (t32 + 4); - t41 = *((unsigned int *)t8); - t42 = (~(t41)); - t46 = *((unsigned int *)t30); - t47 = (~(t46)); - t48 = *((unsigned int *)t32); - t49 = (~(t48)); - t50 = *((unsigned int *)t31); - t53 = (~(t50)); - t76 = (t42 & t47); - t77 = (t49 & t53); - t54 = (~(t76)); - t55 = (~(t77)); - t59 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t59 & t54); - t60 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t60 & t55); - t61 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t61 & t54); - t62 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t62 & t55); - goto LAB167; - -LAB168: *((unsigned int *)t52) = 1; - goto LAB171; - -LAB170: t39 = (t52 + 4); - *((unsigned int *)t52) = 1; - *((unsigned int *)t39) = 1; - goto LAB171; - -LAB172: t44 = (t0 + 5128); - t51 = (t44 + 56U); - t56 = *((char **)t51); - memset(t84, 0, 8); - t57 = (t56 + 4); - t73 = *((unsigned int *)t57); - t74 = (~(t73)); - t75 = *((unsigned int *)t56); - t78 = (t75 & t74); - t79 = (t78 & 1U); - if (t79 != 0) - goto LAB178; - -LAB176: if (*((unsigned int *)t57) == 0) - goto LAB175; - -LAB177: t58 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t58) = 1; - -LAB178: t66 = (t84 + 4); - t67 = (t56 + 4); - t80 = *((unsigned int *)t56); - t81 = (~(t80)); - *((unsigned int *)t84) = t81; - *((unsigned int *)t66) = 0; - if (*((unsigned int *)t67) != 0) - goto LAB180; - -LAB179: t88 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t88 & 1U); - t89 = *((unsigned int *)t66); - *((unsigned int *)t66) = (t89 & 1U); - memset(t96, 0, 8); - t85 = (t84 + 4); - t90 = *((unsigned int *)t85); - t93 = (~(t90)); - t94 = *((unsigned int *)t84); - t95 = (t94 & t93); - t99 = (t95 & 1U); - if (t99 != 0) - goto LAB181; - -LAB182: if (*((unsigned int *)t85) != 0) - goto LAB183; - -LAB184: t100 = *((unsigned int *)t52); - t101 = *((unsigned int *)t96); - t102 = (t100 & t101); - *((unsigned int *)t115) = t102; - t92 = (t52 + 4); - t97 = (t96 + 4); - t98 = (t115 + 4); - t103 = *((unsigned int *)t92); - t107 = *((unsigned int *)t97); - t108 = (t103 | t107); - *((unsigned int *)t98) = t108; - t109 = *((unsigned int *)t98); - t110 = (t109 != 0); - if (t110 == 1) - goto LAB185; - -LAB186: -LAB187: goto LAB174; - -LAB175: *((unsigned int *)t84) = 1; - goto LAB178; - -LAB180: t82 = *((unsigned int *)t84); - t83 = *((unsigned int *)t67); - *((unsigned int *)t84) = (t82 | t83); - t86 = *((unsigned int *)t66); - t87 = *((unsigned int *)t67); - *((unsigned int *)t66) = (t86 | t87); - goto LAB179; - -LAB181: *((unsigned int *)t96) = 1; - goto LAB184; - -LAB183: t91 = (t96 + 4); - *((unsigned int *)t96) = 1; - *((unsigned int *)t91) = 1; - goto LAB184; - -LAB185: t111 = *((unsigned int *)t115); - t112 = *((unsigned int *)t98); - *((unsigned int *)t115) = (t111 | t112); - t104 = (t52 + 4); - t105 = (t96 + 4); - t113 = *((unsigned int *)t52); - t114 = (~(t113)); - t117 = *((unsigned int *)t104); - t118 = (~(t117)); - t119 = *((unsigned int *)t96); - t120 = (~(t119)); - t121 = *((unsigned int *)t105); - t124 = (~(t121)); - t147 = (t114 & t118); - t148 = (t120 & t124); - t125 = (~(t147)); - t126 = (~(t148)); - t130 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t130 & t125); - t131 = *((unsigned int *)t98); - *((unsigned int *)t98) = (t131 & t126); - t132 = *((unsigned int *)t115); - *((unsigned int *)t115) = (t132 & t125); - t133 = *((unsigned int *)t115); - *((unsigned int *)t115) = (t133 & t126); - goto LAB187; - -LAB188: xsi_set_current_line(58, ng0); - -LAB191: xsi_set_current_line(60, ng0); - t116 = ((char*)((ng5))); - t122 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t122, t116, 0, 0, 3, 0LL); - xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(62, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(63, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB190; - -LAB192: *((unsigned int *)t8) = 1; - goto LAB195; - -LAB194: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - goto LAB195; - -LAB196: t6 = (t0 + 2168U); - t7 = *((char **)t6); - memset(t32, 0, 8); - t6 = (t7 + 4); - t19 = *((unsigned int *)t6); - t20 = (~(t19)); - t21 = *((unsigned int *)t7); - t22 = (t21 & t20); - t25 = (t22 & 1U); - if (t25 != 0) - goto LAB199; - -LAB200: if (*((unsigned int *)t6) != 0) - goto LAB201; - -LAB202: t26 = *((unsigned int *)t8); - t27 = *((unsigned int *)t32); - t28 = (t26 & t27); - *((unsigned int *)t45) = t28; - t10 = (t8 + 4); - t23 = (t32 + 4); - t24 = (t45 + 4); - t29 = *((unsigned int *)t10); - t33 = *((unsigned int *)t23); - t34 = (t29 | t33); - *((unsigned int *)t24) = t34; - t35 = *((unsigned int *)t24); - t36 = (t35 != 0); - if (t36 == 1) - goto LAB203; - -LAB204: -LAB205: goto LAB198; - -LAB199: *((unsigned int *)t32) = 1; - goto LAB202; - -LAB201: t9 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t9) = 1; - goto LAB202; - -LAB203: t37 = *((unsigned int *)t45); - t40 = *((unsigned int *)t24); - *((unsigned int *)t45) = (t37 | t40); - t30 = (t8 + 4); - t31 = (t32 + 4); - t41 = *((unsigned int *)t8); - t42 = (~(t41)); - t46 = *((unsigned int *)t30); - t47 = (~(t46)); - t48 = *((unsigned int *)t32); - t49 = (~(t48)); - t50 = *((unsigned int *)t31); - t53 = (~(t50)); - t76 = (t42 & t47); - t77 = (t49 & t53); - t54 = (~(t76)); - t55 = (~(t77)); - t59 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t59 & t54); - t60 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t60 & t55); - t61 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t61 & t54); - t62 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t62 & t55); - goto LAB205; - -LAB206: *((unsigned int *)t52) = 1; - goto LAB209; - -LAB208: t39 = (t52 + 4); - *((unsigned int *)t52) = 1; - *((unsigned int *)t39) = 1; - goto LAB209; - -LAB210: t44 = (t0 + 2808U); - t51 = *((char **)t44); - memset(t84, 0, 8); - t44 = (t51 + 4); - t73 = *((unsigned int *)t44); - t74 = (~(t73)); - t75 = *((unsigned int *)t51); - t78 = (t75 & t74); - t79 = (t78 & 1U); - if (t79 != 0) - goto LAB213; - -LAB214: if (*((unsigned int *)t44) != 0) - goto LAB215; - -LAB216: t80 = *((unsigned int *)t52); - t81 = *((unsigned int *)t84); - t82 = (t80 & t81); - *((unsigned int *)t96) = t82; - t57 = (t52 + 4); - t58 = (t84 + 4); - t66 = (t96 + 4); - t83 = *((unsigned int *)t57); - t86 = *((unsigned int *)t58); - t87 = (t83 | t86); - *((unsigned int *)t66) = t87; - t88 = *((unsigned int *)t66); - t89 = (t88 != 0); - if (t89 == 1) - goto LAB217; - -LAB218: -LAB219: goto LAB212; - -LAB213: *((unsigned int *)t84) = 1; - goto LAB216; - -LAB215: t56 = (t84 + 4); - *((unsigned int *)t84) = 1; - *((unsigned int *)t56) = 1; - goto LAB216; - -LAB217: t90 = *((unsigned int *)t96); - t93 = *((unsigned int *)t66); - *((unsigned int *)t96) = (t90 | t93); - t67 = (t52 + 4); - t85 = (t84 + 4); - t94 = *((unsigned int *)t52); - t95 = (~(t94)); - t99 = *((unsigned int *)t67); - t100 = (~(t99)); - t101 = *((unsigned int *)t84); - t102 = (~(t101)); - t103 = *((unsigned int *)t85); - t107 = (~(t103)); - t147 = (t95 & t100); - t148 = (t102 & t107); - t108 = (~(t147)); - t109 = (~(t148)); - t110 = *((unsigned int *)t66); - *((unsigned int *)t66) = (t110 & t108); - t111 = *((unsigned int *)t66); - *((unsigned int *)t66) = (t111 & t109); - t112 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t112 & t108); - t113 = *((unsigned int *)t96); - *((unsigned int *)t96) = (t113 & t109); - goto LAB219; - -LAB220: xsi_set_current_line(64, ng0); - -LAB223: xsi_set_current_line(67, ng0); - t92 = ((char*)((ng2))); - t97 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t97, t92, 0, 0, 3, 0LL); - xsi_set_current_line(68, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(69, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(70, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB222; - -LAB227: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB228; - -LAB229: xsi_set_current_line(79, ng0); - -LAB232: xsi_set_current_line(80, ng0); - t23 = ((char*)((ng4))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB231; - -LAB235: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB236; - -LAB237: xsi_set_current_line(85, ng0); - -LAB240: xsi_set_current_line(86, ng0); - t23 = ((char*)((ng6))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB239; - -LAB243: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB244; - -LAB245: xsi_set_current_line(91, ng0); - -LAB248: xsi_set_current_line(92, ng0); - t23 = ((char*)((ng7))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB247; - -LAB251: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB252; - -LAB253: xsi_set_current_line(97, ng0); - -LAB256: xsi_set_current_line(98, ng0); - t23 = ((char*)((ng3))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB255; - -LAB259: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB260; - -LAB261: xsi_set_current_line(103, ng0); - -LAB264: xsi_set_current_line(104, ng0); - t23 = ((char*)((ng8))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB263; - -LAB267: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB268; - -LAB269: xsi_set_current_line(109, ng0); - -LAB272: xsi_set_current_line(110, ng0); - t23 = ((char*)((ng3))); - t24 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t24, t23, 0, 0, 3, 0LL); - xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB271; - -LAB275: t9 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t9) = 1; - goto LAB276; - -LAB277: xsi_set_current_line(115, ng0); - -LAB280: xsi_set_current_line(116, ng0); - t23 = (t0 + 2008U); - t24 = *((char **)t23); - memset(t32, 0, 8); - t23 = (t24 + 4); - t33 = *((unsigned int *)t23); - t34 = (~(t33)); - t35 = *((unsigned int *)t24); - t36 = (t35 & t34); - t37 = (t36 & 1U); - if (t37 != 0) - goto LAB284; - -LAB282: if (*((unsigned int *)t23) == 0) - goto LAB281; - -LAB283: t30 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t30) = 1; - -LAB284: t31 = (t32 + 4); - t38 = (t24 + 4); - t40 = *((unsigned int *)t24); - t41 = (~(t40)); - *((unsigned int *)t32) = t41; - *((unsigned int *)t31) = 0; - if (*((unsigned int *)t38) != 0) - goto LAB286; - -LAB285: t49 = *((unsigned int *)t32); - *((unsigned int *)t32) = (t49 & 1U); - t50 = *((unsigned int *)t31); - *((unsigned int *)t31) = (t50 & 1U); - memset(t45, 0, 8); - t39 = (t32 + 4); - t53 = *((unsigned int *)t39); - t54 = (~(t53)); - t55 = *((unsigned int *)t32); - t59 = (t55 & t54); - t60 = (t59 & 1U); - if (t60 != 0) - goto LAB287; - -LAB288: if (*((unsigned int *)t39) != 0) - goto LAB289; - -LAB290: t44 = (t45 + 4); - t61 = *((unsigned int *)t45); - t62 = *((unsigned int *)t44); - t63 = (t61 || t62); - if (t63 > 0) - goto LAB291; - -LAB292: memcpy(t84, t45, 8); - -LAB293: t92 = (t84 + 4); - t107 = *((unsigned int *)t92); - t108 = (~(t107)); - t109 = *((unsigned int *)t84); - t110 = (t109 & t108); - t111 = (t110 != 0); - if (t111 > 0) - goto LAB301; - -LAB302: xsi_set_current_line(121, ng0); - t2 = (t0 + 2008U); - t3 = *((char **)t2); - memset(t8, 0, 8); - t2 = (t3 + 4); - t11 = *((unsigned int *)t2); - t12 = (~(t11)); - t13 = *((unsigned int *)t3); - t14 = (t13 & t12); - t15 = (t14 & 1U); - if (t15 != 0) - goto LAB305; - -LAB306: if (*((unsigned int *)t2) != 0) - goto LAB307; - -LAB308: t5 = (t8 + 4); - t16 = *((unsigned int *)t8); - t17 = *((unsigned int *)t5); - t18 = (t16 || t17); - if (t18 > 0) - goto LAB309; - -LAB310: memcpy(t45, t8, 8); - -LAB311: t38 = (t45 + 4); - t63 = *((unsigned int *)t38); - t64 = (~(t63)); - t65 = *((unsigned int *)t45); - t68 = (t65 & t64); - t69 = (t68 != 0); - if (t69 > 0) - goto LAB319; - -LAB320: xsi_set_current_line(126, ng0); - -LAB323: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 3, 0LL); - xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - -LAB321: -LAB303: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5928); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB279; - -LAB281: *((unsigned int *)t32) = 1; - goto LAB284; - -LAB286: t42 = *((unsigned int *)t32); - t46 = *((unsigned int *)t38); - *((unsigned int *)t32) = (t42 | t46); - t47 = *((unsigned int *)t31); - t48 = *((unsigned int *)t38); - *((unsigned int *)t31) = (t47 | t48); - goto LAB285; - -LAB287: *((unsigned int *)t45) = 1; - goto LAB290; - -LAB289: t43 = (t45 + 4); - *((unsigned int *)t45) = 1; - *((unsigned int *)t43) = 1; - goto LAB290; - -LAB291: t51 = (t0 + 2808U); - t56 = *((char **)t51); - memset(t52, 0, 8); - t51 = (t56 + 4); - t64 = *((unsigned int *)t51); - t65 = (~(t64)); - t68 = *((unsigned int *)t56); - t69 = (t68 & t65); - t70 = (t69 & 1U); - if (t70 != 0) - goto LAB294; - -LAB295: if (*((unsigned int *)t51) != 0) - goto LAB296; - -LAB297: t71 = *((unsigned int *)t45); - t72 = *((unsigned int *)t52); - t73 = (t71 & t72); - *((unsigned int *)t84) = t73; - t58 = (t45 + 4); - t66 = (t52 + 4); - t67 = (t84 + 4); - t74 = *((unsigned int *)t58); - t75 = *((unsigned int *)t66); - t78 = (t74 | t75); - *((unsigned int *)t67) = t78; - t79 = *((unsigned int *)t67); - t80 = (t79 != 0); - if (t80 == 1) - goto LAB298; - -LAB299: -LAB300: goto LAB293; - -LAB294: *((unsigned int *)t52) = 1; - goto LAB297; - -LAB296: t57 = (t52 + 4); - *((unsigned int *)t52) = 1; - *((unsigned int *)t57) = 1; - goto LAB297; - -LAB298: t81 = *((unsigned int *)t84); - t82 = *((unsigned int *)t67); - *((unsigned int *)t84) = (t81 | t82); - t85 = (t45 + 4); - t91 = (t52 + 4); - t83 = *((unsigned int *)t45); - t86 = (~(t83)); - t87 = *((unsigned int *)t85); - t88 = (~(t87)); - t89 = *((unsigned int *)t52); - t90 = (~(t89)); - t93 = *((unsigned int *)t91); - t94 = (~(t93)); - t76 = (t86 & t88); - t77 = (t90 & t94); - t95 = (~(t76)); - t99 = (~(t77)); - t100 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t100 & t95); - t101 = *((unsigned int *)t67); - *((unsigned int *)t67) = (t101 & t99); - t102 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t102 & t95); - t103 = *((unsigned int *)t84); - *((unsigned int *)t84) = (t103 & t99); - goto LAB300; - -LAB301: xsi_set_current_line(116, ng0); - -LAB304: xsi_set_current_line(117, ng0); - t97 = ((char*)((ng4))); - t98 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t98, t97, 0, 0, 3, 0LL); - xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB303; - -LAB305: *((unsigned int *)t8) = 1; - goto LAB308; - -LAB307: t4 = (t8 + 4); - *((unsigned int *)t8) = 1; - *((unsigned int *)t4) = 1; - goto LAB308; - -LAB309: t6 = (t0 + 2808U); - t7 = *((char **)t6); - memset(t32, 0, 8); - t6 = (t7 + 4); - t19 = *((unsigned int *)t6); - t20 = (~(t19)); - t21 = *((unsigned int *)t7); - t22 = (t21 & t20); - t25 = (t22 & 1U); - if (t25 != 0) - goto LAB312; - -LAB313: if (*((unsigned int *)t6) != 0) - goto LAB314; - -LAB315: t26 = *((unsigned int *)t8); - t27 = *((unsigned int *)t32); - t28 = (t26 & t27); - *((unsigned int *)t45) = t28; - t10 = (t8 + 4); - t23 = (t32 + 4); - t24 = (t45 + 4); - t29 = *((unsigned int *)t10); - t33 = *((unsigned int *)t23); - t34 = (t29 | t33); - *((unsigned int *)t24) = t34; - t35 = *((unsigned int *)t24); - t36 = (t35 != 0); - if (t36 == 1) - goto LAB316; - -LAB317: -LAB318: goto LAB311; - -LAB312: *((unsigned int *)t32) = 1; - goto LAB315; - -LAB314: t9 = (t32 + 4); - *((unsigned int *)t32) = 1; - *((unsigned int *)t9) = 1; - goto LAB315; - -LAB316: t37 = *((unsigned int *)t45); - t40 = *((unsigned int *)t24); - *((unsigned int *)t45) = (t37 | t40); - t30 = (t8 + 4); - t31 = (t32 + 4); - t41 = *((unsigned int *)t8); - t42 = (~(t41)); - t46 = *((unsigned int *)t30); - t47 = (~(t46)); - t48 = *((unsigned int *)t32); - t49 = (~(t48)); - t50 = *((unsigned int *)t31); - t53 = (~(t50)); - t76 = (t42 & t47); - t77 = (t49 & t53); - t54 = (~(t76)); - t55 = (~(t77)); - t59 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t59 & t54); - t60 = *((unsigned int *)t24); - *((unsigned int *)t24) = (t60 & t55); - t61 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t61 & t54); - t62 = *((unsigned int *)t45); - *((unsigned int *)t45) = (t62 & t55); - goto LAB318; - -LAB319: xsi_set_current_line(121, ng0); - -LAB322: xsi_set_current_line(122, ng0); - t39 = ((char*)((ng2))); - t43 = (t0 + 4968); - xsi_vlogvar_wait_assign_value(t43, t39, 0, 0, 3, 0LL); - xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5288); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 5448); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - xsi_set_current_line(125, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 5608); - xsi_vlogvar_wait_assign_value(t3, t2, 0, 0, 1, 0LL); - goto LAB321; - -} - -static void Always_135_15(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - char *t6; - char *t7; - char *t8; - unsigned int t9; - unsigned int t10; - unsigned int t11; - unsigned int t12; - unsigned int t13; - char *t14; - char *t15; - char *t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - unsigned int t21; - unsigned int t22; - unsigned int t23; - unsigned int t24; - char *t25; - -LAB0: t1 = (t0 + 10728U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(135, ng0); - t2 = (t0 + 11784); - *((int *)t2) = 1; - t3 = (t0 + 10760); - *((char **)t3) = t2; - *((char **)t1) = &&LAB4; - -LAB1: return; -LAB4: xsi_set_current_line(135, ng0); - -LAB5: xsi_set_current_line(135, ng0); - t5 = (t0 + 5448); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t4, 0, 8); - t8 = (t7 + 4); - t9 = *((unsigned int *)t8); - t10 = (~(t9)); - t11 = *((unsigned int *)t7); - t12 = (t11 & t10); - t13 = (t12 & 1U); - if (t13 != 0) - goto LAB9; - -LAB7: if (*((unsigned int *)t8) == 0) - goto LAB6; - -LAB8: t14 = (t4 + 4); - *((unsigned int *)t4) = 1; - *((unsigned int *)t14) = 1; - -LAB9: t15 = (t4 + 4); - t16 = (t7 + 4); - t17 = *((unsigned int *)t7); - t18 = (~(t17)); - *((unsigned int *)t4) = t18; - *((unsigned int *)t15) = 0; - if (*((unsigned int *)t16) != 0) - goto LAB11; - -LAB10: t23 = *((unsigned int *)t4); - *((unsigned int *)t4) = (t23 & 1U); - t24 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t24 & 1U); - t25 = (t0 + 4808); - xsi_vlogvar_wait_assign_value(t25, t4, 0, 0, 1, 0LL); - goto LAB2; - -LAB6: *((unsigned int *)t4) = 1; - goto LAB9; - -LAB11: t19 = *((unsigned int *)t4); - t20 = *((unsigned int *)t16); - *((unsigned int *)t4) = (t19 | t20); - t21 = *((unsigned int *)t15); - t22 = *((unsigned int *)t16); - *((unsigned int *)t15) = (t21 | t22); - goto LAB10; - -} - -static void Cont_137_16(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 10976U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(137, ng0); - t2 = (t0 + 5928); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 12600); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 11800); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_139_17(char *t0) -{ - char t3[8]; - char t21[8]; - char t37[8]; - char t45[8]; - char *t1; - char *t2; - char *t4; - unsigned int t5; - unsigned int t6; - unsigned int t7; - unsigned int t8; - unsigned int t9; - char *t10; - char *t11; - char *t12; - unsigned int t13; - unsigned int t14; - unsigned int t15; - unsigned int t16; - unsigned int t17; - unsigned int t18; - unsigned int t19; - unsigned int t20; - char *t22; - unsigned int t23; - unsigned int t24; - unsigned int t25; - unsigned int t26; - unsigned int t27; - char *t28; - char *t29; - unsigned int t30; - unsigned int t31; - unsigned int t32; - unsigned int t33; - char *t34; - char *t35; - char *t36; - char *t38; - unsigned int t39; - unsigned int t40; - unsigned int t41; - unsigned int t42; - unsigned int t43; - char *t44; - unsigned int t46; - unsigned int t47; - unsigned int t48; - char *t49; - char *t50; - char *t51; - unsigned int t52; - unsigned int t53; - unsigned int t54; - unsigned int t55; - unsigned int t56; - unsigned int t57; - unsigned int t58; - char *t59; - char *t60; - unsigned int t61; - unsigned int t62; - unsigned int t63; - int t64; - unsigned int t65; - unsigned int t66; - unsigned int t67; - int t68; - unsigned int t69; - unsigned int t70; - unsigned int t71; - unsigned int t72; - char *t73; - char *t74; - char *t75; - char *t76; - char *t77; - unsigned int t78; - unsigned int t79; - char *t80; - unsigned int t81; - unsigned int t82; - char *t83; - unsigned int t84; - unsigned int t85; - char *t86; - -LAB0: t1 = (t0 + 11224U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(139, ng0); - t2 = (t0 + 2168U); - t4 = *((char **)t2); - memset(t3, 0, 8); - t2 = (t4 + 4); - t5 = *((unsigned int *)t2); - t6 = (~(t5)); - t7 = *((unsigned int *)t4); - t8 = (t7 & t6); - t9 = (t8 & 1U); - if (t9 != 0) - goto LAB7; - -LAB5: if (*((unsigned int *)t2) == 0) - goto LAB4; - -LAB6: t10 = (t3 + 4); - *((unsigned int *)t3) = 1; - *((unsigned int *)t10) = 1; - -LAB7: t11 = (t3 + 4); - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t14 = (~(t13)); - *((unsigned int *)t3) = t14; - *((unsigned int *)t11) = 0; - if (*((unsigned int *)t12) != 0) - goto LAB9; - -LAB8: t19 = *((unsigned int *)t3); - *((unsigned int *)t3) = (t19 & 1U); - t20 = *((unsigned int *)t11); - *((unsigned int *)t11) = (t20 & 1U); - memset(t21, 0, 8); - t22 = (t3 + 4); - t23 = *((unsigned int *)t22); - t24 = (~(t23)); - t25 = *((unsigned int *)t3); - t26 = (t25 & t24); - t27 = (t26 & 1U); - if (t27 != 0) - goto LAB10; - -LAB11: if (*((unsigned int *)t22) != 0) - goto LAB12; - -LAB13: t29 = (t21 + 4); - t30 = *((unsigned int *)t21); - t31 = (!(t30)); - t32 = *((unsigned int *)t29); - t33 = (t31 || t32); - if (t33 > 0) - goto LAB14; - -LAB15: memcpy(t45, t21, 8); - -LAB16: t73 = (t0 + 12664); - t74 = (t73 + 56U); - t75 = *((char **)t74); - t76 = (t75 + 56U); - t77 = *((char **)t76); - memset(t77, 0, 8); - t78 = 1U; - t79 = t78; - t80 = (t45 + 4); - t81 = *((unsigned int *)t45); - t78 = (t78 & t81); - t82 = *((unsigned int *)t80); - t79 = (t79 & t82); - t83 = (t77 + 4); - t84 = *((unsigned int *)t77); - *((unsigned int *)t77) = (t84 | t78); - t85 = *((unsigned int *)t83); - *((unsigned int *)t83) = (t85 | t79); - xsi_driver_vfirst_trans(t73, 0, 0); - t86 = (t0 + 11816); - *((int *)t86) = 1; - -LAB1: return; -LAB4: *((unsigned int *)t3) = 1; - goto LAB7; - -LAB9: t15 = *((unsigned int *)t3); - t16 = *((unsigned int *)t12); - *((unsigned int *)t3) = (t15 | t16); - t17 = *((unsigned int *)t11); - t18 = *((unsigned int *)t12); - *((unsigned int *)t11) = (t17 | t18); - goto LAB8; - -LAB10: *((unsigned int *)t21) = 1; - goto LAB13; - -LAB12: t28 = (t21 + 4); - *((unsigned int *)t21) = 1; - *((unsigned int *)t28) = 1; - goto LAB13; - -LAB14: t34 = (t0 + 5288); - t35 = (t34 + 56U); - t36 = *((char **)t35); - memset(t37, 0, 8); - t38 = (t36 + 4); - t39 = *((unsigned int *)t38); - t40 = (~(t39)); - t41 = *((unsigned int *)t36); - t42 = (t41 & t40); - t43 = (t42 & 1U); - if (t43 != 0) - goto LAB17; - -LAB18: if (*((unsigned int *)t38) != 0) - goto LAB19; - -LAB20: t46 = *((unsigned int *)t21); - t47 = *((unsigned int *)t37); - t48 = (t46 | t47); - *((unsigned int *)t45) = t48; - t49 = (t21 + 4); - t50 = (t37 + 4); - t51 = (t45 + 4); - t52 = *((unsigned int *)t49); - t53 = *((unsigned int *)t50); - t54 = (t52 | t53); - *((unsigned int *)t51) = t54; - t55 = *((unsigned int *)t51); - t56 = (t55 != 0); - if (t56 == 1) - goto LAB21; - -LAB22: -LAB23: goto LAB16; - -LAB17: *((unsigned int *)t37) = 1; - goto LAB20; - -LAB19: t44 = (t37 + 4); - *((unsigned int *)t37) = 1; - *((unsigned int *)t44) = 1; - goto LAB20; - -LAB21: t57 = *((unsigned int *)t45); - t58 = *((unsigned int *)t51); - *((unsigned int *)t45) = (t57 | t58); - t59 = (t21 + 4); - t60 = (t37 + 4); - t61 = *((unsigned int *)t59); - t62 = (~(t61)); - t63 = *((unsigned int *)t21); - t64 = (t63 & t62); - t65 = *((unsigned int *)t60); - t66 = (~(t65)); - t67 = *((unsigned int *)t37); - t68 = (t67 & t66); - t69 = (~(t64)); - t70 = (~(t68)); - t71 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t71 & t69); - t72 = *((unsigned int *)t51); - *((unsigned int *)t51) = (t72 & t70); - goto LAB23; - -} - - -extern void work_m_00000000000498029938_2356217838_init() -{ - static char *pe[] = {(void *)NetDecl_23_0,(void *)NetDecl_24_1,(void *)Cont_27_2,(void *)Cont_28_3,(void *)Cont_29_4,(void *)Cont_30_5,(void *)Cont_31_6,(void *)Cont_32_7,(void *)Cont_34_8,(void *)Cont_35_9,(void *)Cont_36_10,(void *)Always_38_11,(void *)Always_42_12,(void *)Always_48_13,(void *)Always_49_14,(void *)Always_135_15,(void *)Cont_137_16,(void *)Cont_139_17}; - xsi_register_didat("work_m_00000000000498029938_2356217838", "isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.didat deleted file mode 100644 index 6b88162d734554cddb1ac0f92c2f985451343524..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7940 zcmeI0U2GIp6vr=!ihy5;ieFF*VpFBNv%76~5oOnHL8Q>SORXY0Y}w%f98Da8-K z5RqU^6M4`F6MVu46B9M@#Y7&APsT^Xi;0OZ#P~oAiSa?}|9|J6WthxPcf%$|!%2R7 z?#DU*xpU{vz0=NJS~@d(?#sd=rPQA{;|G=mClfVt$WL!l&XqP zAZi}wUUPn)NpkP)C{z27`OCVUeg7BZJ@ekS0G5?niB(vgF^_YES5D_LF>A`A!x+E$ z+x$Az>jc+29*%8$9dvGl#?CtE+ysqW9dx)2_FaPx?}u}{6n^hD=x`j=!Pct!>!8EE zXsE2ySo1oR@hpc%v8+@3dglG`zE;3b*Foo2XdEr;)P7$w9`46)`*eaPsnYw!dEtHG zXjG?sI;bva@}7#$9mseeP*bVe?~C)x+&kevsDloUP4!V7ba?iCTnC+1(D$4wK_a^sB;uVJvzF*1|6O|)OiU;=JdUSMq4LUq`I4S3#!}Cz) zhwW%mGT$gxG|n^69VUN)q|D57Uq}$5- zfoj=;o^7Pu`|lU{ENC1jjb*NDeVzui%X4s}-FW86dFF5DnxpyKy>rYyH%cjaM%Bb~ zoIyHluXJ5`|NQ#3#>@AOU#HUeYt;{WE}Wx!>C2kuyXk#DrJa8t5V4^9mHm$~{JVsR zi4N=Xj98ZTO4sE&^6ojj22JK!>f-C&F5{Cii$2G|YX-HmFX;Ko7}-x<)(O`jJ##p( zg#G-MV;j$QdhX3^=W}2@pFKVQ9$^mmUDo;iP0#OVdVc@XHyHo0@s04*TL-E&@B7Z2 zw1H*sH=1`{vM+65*&8Qog!9V2w1H)BoSc6_af}s{wHp-Y)swR@C{DEKW$i5#C-25W zaq=C?=Rwj2xU240;ufR5nmAcQX~Yx1$#-4Q_`LHXe?Ke~C;I%hA%j)_C};xB;4$zx*a-LyWq}xogI3T6 z+CdVez$UO6JPDoxTR<9QK?mprTfsK49XtzmfG)5Lbb}u79LRw@*bREY^PmsB0A2)p zz)OJtPktG^0&K7k6hJ@V{SN{M><0(H5Eurpf)OwZ#y}B_g9&gDl)xmI0@L6Sm;r~u zEI0yQ1FwTOz?MjmAl+L+| znS5s#s~y|(mhmy;={k4mi$az|Dyd!0REIM#YRBSs^hzaRTPizVoU&u>cFdHLcHERw zcET;iqjsw)S$3N##q4%dO4vzLYPD0Q)Mi^zl^LBW%ud?zq-|MJNyKa`R<58YUaq8U zD0ewSuG;3|$>FiclwB%}*(}=q u&QObU*lA(&%y?-eGG<4wSR$2-#!@LvQY_xu7PH!u@np;3@L++%etvHN diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000000498029938_2356217838.nt64.obj deleted file mode 100644 index 347dc718071b772a4b23e96807389f164dbba043..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 26707 zcmeHw5116ym2b_^!t}Ie1`q*_yE9IUpb=&m{tP5)mv&2`O&kRT741eCVU#};nAVAj zI;5x7^3oBb8-0oUGVYozdGYPy>SiJCngMwZ%8L%VE2w!dm~0Zu(a5sd3>wY6-|ybK z)m=S*dWP@4?|b>a)W>w)I_I8q&pr3tbI<)##oBuV2Cv`TY#6g-DqzfPZE9^8I8%AYgf0fRv`I%6u zBwZG+ii%4EX8LG}n2`Q?Nw6{+j0DWx#QumsN;}=@=`#%}zm?vfyx|2_oYipV^!N8i zgN6tO%bmqYQ(lR<1rJg(U$vd92o~b#=_nj|Ygt58n1QGWQg=~%Z{Hy^^HQoFibyrY zQMFnt8xL2-L|HUc7PW&*vMU2I5sHS&hyK>oIGLAarR~mpbqKp}(IEU!@xU==l)M-|#m0xK<(_PEMxYM8iOB zj!cnLa*C|FL0Vj`DtJnGK*2S61*dnT=g9`Ml(mSmz0T>h325TdfQSV4zI!^F(X=Vv zZfLfkv17s*(9Gp1;79z?&AjtMubFFo(p5p00=1mu(OF_p=_dH4o8U>;HZti#l#V}I zy2lEo+uyEqHyyGRNLS_2S>oXnrvMLoO9BY>4BcBl1Dm1QCVgfrAw%`ncfm5(TctlJ z=&i3I2Yc&bS@^PWBOAg|f7WVBaCTES^PI zRXtghXI`qv?11zT#l#;?RBHKZbogt~;iZSsHejGBf~CWs z5B)@U^;1=MQ$ApZ+tvLZ&Y7UP`bt;z5%4hL*~I~qo^q<0{sl~RpV{|XEc3eZlwU)J zfi6QrRDG?i73iicMof&4WnN3&NWE7}FNwOsO9rE&jDEyEfW8e6ya>)=iS!0!16LP} z)|5x7x$EdfVj-j&wHIk{GN_{VV7>Q7gOxE51cSYg(N(eF-e<_C)@K~O--!mx!~`=` zY1%zvycwDpt=?x=PpGbR=7OKZ1uLDk(#>pp{}|XPqpht*%I|6Z97xa8D5LEN_W;Oi z2)jL7jxvzW%Bs9R=`?UC>)i=LZM_}gE?Llk;pRbPq5(fDOL;_Q%h~X^$$~cg4WfnO zV%Z(x9Td8|Bi!zCr7VPr#(-}$`wDE6?9;eg*+*pl9Q*qKmF({(8tmJ_g1w~C8y(pw zjfGsV8Kbzaz?~T)a*U*$s{oO`Zz)lEW8^TMt|NRD#SYQ$9w$Su-%2Ckbef@a{*-ANjk5U+Igk-x8$wSoX86uPg4^&HH4q< zPTV3sl{pjr6Sx0sL-;en=EShV;5Pl3m zUPBm>jD2Tvih=Ntd3{RJz@bd(6cB1tQd0#MRbWl;LuOjjZ>6cKY@R`VL=&Jv9U~Ug zoTFgCSyUJA2y%Df&WoaS;a)i3SqTxyJ&8N>Fu%vW2|&qx6|vwhv7?xy?RUBVPszP- zj@Co=oLX_2f$ZB^c=0*fw=r>|%$>OB`WM$Rzso5VIdX1giQGEouyZs{5QgYtza~R( zj@EFJUCh#5Y}X77(l$S3~_=}xYY4iZ4OEAm|~xzkB` zUHkIaLl?X`=^iESxm4HT1~acI^xOR zaI5)esIqIN*h7vKgRbVk{rlWlaT?fgW#3(3Z$w~GFi@NYf%QNkrUZdUYge%vgD<|O z&cIypZfc^+rwIue)bXUQ&pDkFSo%Mig`o!Rbkh5=C{GEcVjghE#NNNmtK>?IeFc@A zl3&TkD*qo>a`#DAa{5RriPiCg*qlqE-3ls+T^X+>(^Y4vN=5)I7$;LLSU0{sf2?V} z2jfiY&ipY(w8=`G>|WWFTvZxnd&y4J`@kSQXse2~x?F*W>_H3Z`7eozDRMCz3qR80 zV)lVp@JQ4~r<)+Xti3N9e2vTG_IOmY#;H@`p6W<@2iX?;@4-s5@AX*lEwk@XEO;PR z-4n%{$;TY5((kXg>{VgQvRk<;@zwB3%ia)9vIVkFzdHjOPG4-aF0#ahblsNy-k$q- z7Z*|K{f9LGDe-VSHeA`YWc^eCCeBa)vc&H0JDmA6wYqn)-ee^Yw?}5vWoQP~&n!D# z#*Mk`Dch+rd#oiWnxJTcq6vzY-oO3@bkKEFj(?F}LJEU7u^`i*in?JNwnDMhC=N_e zl1^tWbXPO?@JVfzDB+xVzGj~YHgE^D_gQm=d9AtJT(*40wG2|%Ga9lF#Rr)%<2V02w`Z~U6HUQ99HoYEu;S8VF$FqOkiS%L-2wPd06@}{vH-axOVFkqU zfcrk7;_8Hc;{S^6z zn-VvgtIQkCo6N)unf{8*aRA+7>3QPWr=$prvv&o=IPSE>5UBlHR`&RwtGvP}bv%2~ zV*nILZHg5E(>`DZdt&xpm>vZ;>&H>>Ln&r3)W=^eC`cimEM$SdV^G{7l40mv_mTfS%nRwDRP%zhc$S!Ue< zQC?pb4em8FFS9P#mwWEa!RoRbP}XI2VJW*#t`q^UI8bBv(^sODb%mvHK*ET!w@O1S`I^}tf5a|A~zJRYL#d9je~a-euA(Yn22LVaZr#|w0`d@@)e z9o>i;oZqq}1i9>KzLDPPXUqc`*jMA?T>Khl|GyAYuafApN5;8b7M&&n4!jDdYp-HE zpHrX1xHF<>zBsyka&fvV5Xa2yeh{fm;#ZhO#KTE5y#O8U$9S|b$07wMh*i9!q)N9W z%1s!zHsiLMs+nQ}{Yjf|-|gH}ln58%9?$-hWUwTg0MV-ON;Ca=l=V5F%=9Hlor4rh zWhpH6C^{xf?O_MS#f>|{*dxlh1-q~BwalT4Oc@sI*qo>FOeLs zf^o4d++vE-IKqHNQ-tyi?z7l>VxyglJ6W_tAZcT>C16>&O}1LtMe9l#R3Tc8cdt@U zG7~Db2t4v4o8x;H1Avhl0m5{oWT1fc1tULDKmcT+)2{bBi7OOgR_t_-Kza1EMIU{3 z5=Tzgxm>B~EH{)In$CXDrHN~rcSlb1MbaQUl_93{tW$m+2gqo@r!qSa^~p`z2(-sc z&J4`Ms7?a>4zz+8kzR>oM>JWQDKEc&OkIlj^~#(XE7KO`aRCo$)|BxG#}znA5{!#S zl&9o@FdT=m%do{f!+HN>DGr%aoZf$+EPV3G()(Y7Wwf9qEGUl=4|9yKe%Y+9#2Jpi zQk*JXPR{FiCNlzj%$z;M=#zoUkXSK`r?bku!+{6f!+~cbFzDDJ@!O@*`PMMFA|fr3 zM+C=nGO7;nM1{ebz->77B98~EPRL?JsR(+#Ahi^ku+jdA*H@214LF}zQ<0}RdihW& zr?yL-3shxUvTVf^;=*XKL>R%+-tA~C_I#;NxzWxip9*@j!7@`+uycZQxlfNhAITQ! zaXC20@Ju}t;A7&v0Zd$^4a{3Eez$`%|&g!+ZAY8-hQk{X@E;IW?p?u^pv@R<$?|^%r zK3m)Z2kNsTvmaSysDBU%@@8h)JknjiN=}S-UL;y5v}JFXCr??Kh|8Nz(ulOlNL-!& zV(c%^;8tXA28J>Ct&+K-Vqvv-&O22)u<f-c1gliZJV`4%qR2fAwz69Qau*N7{TRdi;8Oyw#y1;n}lX{3s zb}YO1ugQ;3rV`ZDM`a&~qW{KY!SUz-p)#nFE;1rrBnRD4d9-@38h5KhW_8eMQH&!l zW9OT4se8L@AHNR13QXQP+){N87lEQ#J<+-CcT&D8%|_sv7EOTPqQ3-;w&+S=c@5t9 zAz<0~6LDv=Fn7+vCgVw3n6!9U7}2z_V_--NJ4(*DXkmm6UdU6RVj<5;=89?wVsBH% z8M^^hQPtm)J4!hUPAp{??L25{Hl?c6SJfF;1{rtRv2_M$sz~d6M5=vtL$y;;N*_3TajTHD19oA zx~k1TK+x(zuk5J(k}MjBD2bt=Jb(nt>EfLd^MN)OhoEScsj-?VQF@TQ@D_1;kYEgb z8_77OAxwLasq`Q#oU7FS32P&jH;-c(d_!Y2I8{p>3r=+!l~ZKFGT-g4QzXEz?D?ELBN9We!e0eNqFyt=?#)5p1qLmjHtV4gdg`v7wF=O zM)-=xnflxQe!Z^ZeDB|L58dOa%!)scqEmbw@Vr2lAv`bt1T{4m;n2l&&iOLNc06 z#yHh`TxN)c#$W)dF0U?gf|4PYH53bHo_xj(ue+4Bp|fqrU2$}#sA@&z#4CTfzx^9c&U)>4w6tbcN+gvT0AIg#yH~3{eR;hzav~B`W-N!*hnxyf9Zq zWSk4olh9r>19WDf7LS1o=Qpb`>#hkgO2^@Rf$52=gBgO4Kmcq9p~^+hUB}pTvdx^$ z=tO34vXJg}r5P-B*x~3t!J4x5KFR|4kEr z@StY3w8%!j>$K-LEPy7acsOqZU_k`82eIR}Lo!vM^Uo5S)-fxmyu1pg>I+fLOSFZV zLQ2wBGv2OLJx1WJZ5T6IZ*S^BFn3M3cdysSICE5`_dXQ_C1n+#*K&mxg1=%Ls;vH4 zn&=`5=`K9^O!P8{+J9L4)%;})giyYv7C6U2tQ4-0CO#@FjcJsLw%+e7pj(Onh|I~ z(=5|8BUz+kzy9#w3cIpX?rLp0c=@z;tzuq8Yda;`0IjXik`-U#^K4+HrWu9SuG2K5 z(AxEyrl{6b>o|LUF+ghzf2nMZyJJ4Bty0X3Xl<7y8=$otwPd5v+D)3KsMeHkr<+J< zno(#iscA;4we-&iXzf;*AIo12UOuhete6+k+5t&6Kx=EXWTVj9T1_(wtu<+yQE2TJ zO;c2B?#R33odH_=7R--YTL)e~t*uwgi)gJ&k`2&WvmzdqU%OS)6xCYJuid6;ifS!q zpBpsINVPWk=m4#~4D+MbHiDN=Ynv4FB3c{5);4R&Mqz6$nx?4MavJ!OrWu9SwrHA> zYVDo>JwR(8!ThK-?k@W`ZCU}r5E9P{?(D{~cGC{XVliGWL%LDXaO?aVk;lqzSGytk z@1@7HPfDPgP@EoKf@3Ih@s3dt%8=8Fip)hwo9S>Ek(}I`t&s}>oOtoV8||hzHSA3; zDl%2Ta1U%c?u^Wslly=;BGV-|1l!~iYYoASFy%_sB>AK>yF0xgX4vQLh<#gfI=<)2 zDA(O5a-%y-siP4Yc=>0GSWHFGMUk$>UGDZJk#KkWmLplX+s7ymp`Xzwqu8XGDXGXD zU_P|a-Qe%D>w5qwHX9i<#%ouEBk%;dUcF7zjKZeBrfEiD(;cKaiLJP?Kb5mTPD?mX za2~_5jTt;WoHs$-rB-ri7Bb5bo_BTa#5YHDo+n0lQvezkuK{zNOE3wrIG<$>vXWLK z=d;+a%b(A3TRDF+JHvTYNkd9X)4QAWeDaCX`vj+}oDS(}awsHUyVi5nMv4mSU~lzV zM+$&q)-hxZe7hnZfraEe*d3atXlv)Js!h`rwJJ9tguy zfxF=l(JhDF$lUpi)P~A z|53G%o|2NSa#(yFla8jXx|GwYDR zy*&iLhQ-4ifp|`_*w(yu&g$DWlHBzr>31*GT?@x5r%WfmQ`)&ktBzITgAo)H$0~8a zl47R!uY4izRO1n}wLI1MgaOePr@s(3TE7@q$3QVd-E+Q_eJ*~D{x=A?K&Gth52Wl^ zt&pqOv>04!*c1!Xzb>&~>3bv7mrC}U`rsm2X&0D2k}q}@wU#|41F;v|*xcNmPEoNKONtTf0>2y!?u*&4^NelX0lu$YUlzkL+sq583T&HHWG)Be z?y*z_>36w05#z+X^sh?zF`UdR6`9${#G!K`?sSdJ#)TBQcXbJXaxkAqELMLcmdB8o z3ryQxrlcf{hem739pA{vyoirFkURDZzTJcg>x!LhkE8N7*;K+?n6w-m1CZ=5m)< za5r4;#}soZ7h#3mUv;@3cDXaZ>-=OL|MT|&EZFf>OT;gt6?^u)e|A)Re%*?S=0}e`?7LEuqi&OJ&9l8`aqJ9<_!a7DdbeVGaG9gW3%N3eD= z&J2}SAHafT^;pML)a@>{v)Zi!0sbm1l2v#{4|FXo4A(HVU=|9K#JyN8CM!8eLdL2V zd>T8s)b$}uVi7khN%U!uojf7SS=PQIY>grsup}2Q7wXsn*Eg2 z&J4E}1o&%FA!{)k3!`!>j0QZ4JiMaf@_@Kg9r*r89r%vcb)&aL>+w0IZZq?7>U6pL z+Cr%m9Sfr~>yaE-Tbz_dT5JiZVedGOh#s!UtU$)h?Tv-Lu0y0oa1v zlB^x~Le>}1$AdMe6BZ`0EuPeKIehRf&d(7gh~mK%a*TkH%-vYX?m?H`Ba$6M5%8=P z7l#_`h4Jj=*cEFCEwHk;2JE{GzMa6&208c1V|hxkYX6}7Qt4luZEDM(`a)cnwnzW}!6gxEHO5`(7()a`oWT$m$^{o-ij_i5}_F zVHExv@OwX&cusjjtXY8hAmZ1f8DIq)u%?m4X^SF)*EsjnkAs_(x|=jfzsS!Fp?Z1h z0ro0I-r$NH@r%41;NU_rUVUE>YZf(Q`FX+WnBn{e=f^m{BY5>fSuv9FoqL$>`2GQQ z?eRL%5kM^a@$gQ0e^zyU`=7$Q<+C!#xcy|9PAhId<+_MhtnBy8rh$@2$6K$-3)BHb zr#u_KM*r)S5ivDW+3zt>m#I@#%9{oVt4!TP<^ zS$y`CZE}vmZBVxk!mWdF>mb}Z2)7P+?g3K<_qfTR{w6d7SJI@(<*%e!*~cYN2K5uI z>{on2{d|z6Yx08nF%_AafU(xob8?Gs%Ah_M(d)_&@}du3D2XEIsW;qLeGt$i5&S;<=S-f{5&Hzvb#qx_547rI#V40-bY{Ucd;>o`Y ztA-6uDL7IvL!-yBS#zZETY{*8aq2wy4d(@9aGM+{!;xQJm?-1a=YjWZ#EnzGUM9~{ zn=YE1{jBsW7W|FulUTbD+bYim#{c=OFfzMdK%~`s zpSj?q&}LsmX4>p4EP=BFdA~a#7l>cSgN%J%mI;jX*FyOcwL~Z0VuJBekih~>JIU$e zF3Coms3ol6EnY7=+V-n{%-qC;Z9nHdSQ%`=$1w3KkN>;3yWz)BhV)ro^6u&ZK1=Gs z^-ZwiPN139nN2?jD<;5iAXA7DLVe2B?b+SP1vzkDf*n@9##_3rsvoSjox@)hQt9*m zCFb6DCo&$1+ND@#*y~K>y8jgc&nZU34XlOi;;{4S6p2w+mAMBx!dt9Z5X}GRs|tv; zP;?GjqP_10b3&omAc`y$EaHAy6yGjJjtTjK$V6wOP{V7U9BB=MyDq%WS2v^0y|bZs zxoE>J#t0~|af*9)#W65O4|+9kZQeMmHL+#&#srgzo150pY-($oN$?$;x7;>sW1=>9 z%~{Y;JA1)``i8{p`nmIF*UfLJZCdDMh>A!~VO6{lvqbk7iM{-$TPF+NY6Hw0~8mvFF2@6m~9B3OfxIR#)CD&!nrgWFdjlmQFJ1uC|*X2qe8&=)WeqHvtJ6E z@>1Gi$~S(g zhE*Y@WM1RJHhHjV%QSWlQmV9N%QfsCq!cWJl;Zr!wHkXK#xhmzg-9uEvj=NMN|if* zrS=hLU1u1a+XjrMy_AF0ObNq}QH8$}1CG#5ReGr@UaHDVP4`l@UJB;y(nh=#lbr~2J*}yq%$8fkC2`DkoknLCLYyXLU!jv z8VKpihtv~tz=QCq9Bs`AE+_L8Kp5NQAkzS0)R%+I0fh0Og5>|tC_orvO2%Dp7~dp& z@5=vQQKZZzJ-|Wfc~}1bh$8h$tbR97&&u|kr-LPnP}eB+-f9Rnr7D3*3Fq~Bre;$sWnkozaUXJ z-+P#!sGH|L)Xz;U(8)Q82A!Op(7EgD5?=8VPg59jm{*74pnY)Pa}#I0w>!oqlQnKKC>`Q3s|j4gq-`n954k z&r8&SWukdwOCr&>wK=h+X -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v"; -static int ng1[] = {0, 0}; -static int ng2[] = {1, 0}; -static unsigned int ng3[] = {4194304U, 0U}; -static unsigned int ng4[] = {678490U, 0U}; - - - -static void Initial_77_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5408U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(77, ng0); - -LAB4: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(78, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(78, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(79, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(79, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(80, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(80, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(81, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(81, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(82, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(82, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(83, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(83, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(84, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(84, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(85, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(85, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(86, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(86, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(87, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(87, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(88, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(88, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB49; - goto LAB1; - -LAB49: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB50; - goto LAB1; - -LAB50: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB51; - goto LAB1; - -LAB51: xsi_set_current_line(89, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(89, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB52; - goto LAB1; - -LAB52: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB53; - goto LAB1; - -LAB53: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB54; - goto LAB1; - -LAB54: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB55; - goto LAB1; - -LAB55: xsi_set_current_line(90, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(90, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB56; - goto LAB1; - -LAB56: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB57; - goto LAB1; - -LAB57: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB58; - goto LAB1; - -LAB58: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB59; - goto LAB1; - -LAB59: xsi_set_current_line(91, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(91, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB60; - goto LAB1; - -LAB60: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB61; - goto LAB1; - -LAB61: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB62; - goto LAB1; - -LAB62: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB63; - goto LAB1; - -LAB63: xsi_set_current_line(92, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(92, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB64; - goto LAB1; - -LAB64: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB65; - goto LAB1; - -LAB65: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB66; - goto LAB1; - -LAB66: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB67; - goto LAB1; - -LAB67: xsi_set_current_line(93, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(93, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB68; - goto LAB1; - -LAB68: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB69; - goto LAB1; - -LAB69: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB70; - goto LAB1; - -LAB70: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB71; - goto LAB1; - -LAB71: xsi_set_current_line(94, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(94, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB72; - goto LAB1; - -LAB72: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB73; - goto LAB1; - -LAB73: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB74; - goto LAB1; - -LAB74: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB75; - goto LAB1; - -LAB75: xsi_set_current_line(95, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(95, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB76; - goto LAB1; - -LAB76: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB77; - goto LAB1; - -LAB77: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB78; - goto LAB1; - -LAB78: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB79; - goto LAB1; - -LAB79: xsi_set_current_line(96, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(96, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB80; - goto LAB1; - -LAB80: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB81; - goto LAB1; - -LAB81: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB82; - goto LAB1; - -LAB82: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB83; - goto LAB1; - -LAB83: xsi_set_current_line(97, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(97, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB84; - goto LAB1; - -LAB84: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB85; - goto LAB1; - -LAB85: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB86; - goto LAB1; - -LAB86: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB87; - goto LAB1; - -LAB87: xsi_set_current_line(98, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(98, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB88; - goto LAB1; - -LAB88: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB89; - goto LAB1; - -LAB89: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB90; - goto LAB1; - -LAB90: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB91; - goto LAB1; - -LAB91: xsi_set_current_line(99, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(99, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB92; - goto LAB1; - -LAB92: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB93; - goto LAB1; - -LAB93: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB94; - goto LAB1; - -LAB94: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB95; - goto LAB1; - -LAB95: xsi_set_current_line(100, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(100, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB96; - goto LAB1; - -LAB96: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB97; - goto LAB1; - -LAB97: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB98; - goto LAB1; - -LAB98: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB99; - goto LAB1; - -LAB99: xsi_set_current_line(101, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(101, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB100; - goto LAB1; - -LAB100: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB101; - goto LAB1; - -LAB101: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB102; - goto LAB1; - -LAB102: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB103; - goto LAB1; - -LAB103: xsi_set_current_line(102, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(102, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB104; - goto LAB1; - -LAB104: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB105; - goto LAB1; - -LAB105: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB106; - goto LAB1; - -LAB106: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB107; - goto LAB1; - -LAB107: xsi_set_current_line(103, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(103, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB108; - goto LAB1; - -LAB108: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB109; - goto LAB1; - -LAB109: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB110; - goto LAB1; - -LAB110: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB111; - goto LAB1; - -LAB111: xsi_set_current_line(104, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(104, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB112; - goto LAB1; - -LAB112: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB113; - goto LAB1; - -LAB113: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB114; - goto LAB1; - -LAB114: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB115; - goto LAB1; - -LAB115: xsi_set_current_line(105, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(105, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB116; - goto LAB1; - -LAB116: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB117; - goto LAB1; - -LAB117: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB118; - goto LAB1; - -LAB118: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB119; - goto LAB1; - -LAB119: xsi_set_current_line(106, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(106, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB120; - goto LAB1; - -LAB120: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB121; - goto LAB1; - -LAB121: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB122; - goto LAB1; - -LAB122: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB123; - goto LAB1; - -LAB123: xsi_set_current_line(107, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(107, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB124; - goto LAB1; - -LAB124: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB125; - goto LAB1; - -LAB125: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB126; - goto LAB1; - -LAB126: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB127; - goto LAB1; - -LAB127: xsi_set_current_line(108, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(108, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB128; - goto LAB1; - -LAB128: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB129; - goto LAB1; - -LAB129: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB130; - goto LAB1; - -LAB130: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB131; - goto LAB1; - -LAB131: xsi_set_current_line(109, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(109, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB132; - goto LAB1; - -LAB132: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB133; - goto LAB1; - -LAB133: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB134; - goto LAB1; - -LAB134: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB135; - goto LAB1; - -LAB135: xsi_set_current_line(110, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(110, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB136; - goto LAB1; - -LAB136: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB137; - goto LAB1; - -LAB137: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB138; - goto LAB1; - -LAB138: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB139; - goto LAB1; - -LAB139: xsi_set_current_line(111, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(111, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB140; - goto LAB1; - -LAB140: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB141; - goto LAB1; - -LAB141: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB142; - goto LAB1; - -LAB142: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB143; - goto LAB1; - -LAB143: xsi_set_current_line(112, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(112, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB144; - goto LAB1; - -LAB144: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB145; - goto LAB1; - -LAB145: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB146; - goto LAB1; - -LAB146: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB147; - goto LAB1; - -LAB147: xsi_set_current_line(113, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(113, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB148; - goto LAB1; - -LAB148: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB149; - goto LAB1; - -LAB149: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB150; - goto LAB1; - -LAB150: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB151; - goto LAB1; - -LAB151: xsi_set_current_line(114, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(114, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB152; - goto LAB1; - -LAB152: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB153; - goto LAB1; - -LAB153: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB154; - goto LAB1; - -LAB154: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB155; - goto LAB1; - -LAB155: xsi_set_current_line(115, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(115, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB156; - goto LAB1; - -LAB156: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB157; - goto LAB1; - -LAB157: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB158; - goto LAB1; - -LAB158: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB159; - goto LAB1; - -LAB159: xsi_set_current_line(116, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(116, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB160; - goto LAB1; - -LAB160: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB161; - goto LAB1; - -LAB161: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB162; - goto LAB1; - -LAB162: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB163; - goto LAB1; - -LAB163: xsi_set_current_line(117, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(117, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB164; - goto LAB1; - -LAB164: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB165; - goto LAB1; - -LAB165: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB166; - goto LAB1; - -LAB166: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB167; - goto LAB1; - -LAB167: xsi_set_current_line(118, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(118, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB168; - goto LAB1; - -LAB168: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB169; - goto LAB1; - -LAB169: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB170; - goto LAB1; - -LAB170: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB171; - goto LAB1; - -LAB171: xsi_set_current_line(119, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(119, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB172; - goto LAB1; - -LAB172: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB173; - goto LAB1; - -LAB173: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB174; - goto LAB1; - -LAB174: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB175; - goto LAB1; - -LAB175: xsi_set_current_line(120, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(120, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB176; - goto LAB1; - -LAB176: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB177; - goto LAB1; - -LAB177: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB178; - goto LAB1; - -LAB178: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB179; - goto LAB1; - -LAB179: xsi_set_current_line(121, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(121, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB180; - goto LAB1; - -LAB180: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB181; - goto LAB1; - -LAB181: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB182; - goto LAB1; - -LAB182: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB183; - goto LAB1; - -LAB183: xsi_set_current_line(122, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(122, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB184; - goto LAB1; - -LAB184: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB185; - goto LAB1; - -LAB185: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB186; - goto LAB1; - -LAB186: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB187; - goto LAB1; - -LAB187: xsi_set_current_line(123, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(123, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB188; - goto LAB1; - -LAB188: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB189; - goto LAB1; - -LAB189: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB190; - goto LAB1; - -LAB190: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB191; - goto LAB1; - -LAB191: xsi_set_current_line(124, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(124, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB192; - goto LAB1; - -LAB192: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB193; - goto LAB1; - -LAB193: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB194; - goto LAB1; - -LAB194: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB195; - goto LAB1; - -LAB195: xsi_set_current_line(125, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(125, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB196; - goto LAB1; - -LAB196: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB197; - goto LAB1; - -LAB197: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB198; - goto LAB1; - -LAB198: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB199; - goto LAB1; - -LAB199: xsi_set_current_line(126, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(126, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB200; - goto LAB1; - -LAB200: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB201; - goto LAB1; - -LAB201: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB202; - goto LAB1; - -LAB202: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB203; - goto LAB1; - -LAB203: xsi_set_current_line(127, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(127, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB204; - goto LAB1; - -LAB204: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB205; - goto LAB1; - -LAB205: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB206; - goto LAB1; - -LAB206: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB207; - goto LAB1; - -LAB207: xsi_set_current_line(128, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(128, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB208; - goto LAB1; - -LAB208: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB209; - goto LAB1; - -LAB209: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB210; - goto LAB1; - -LAB210: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB211; - goto LAB1; - -LAB211: xsi_set_current_line(129, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(129, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB212; - goto LAB1; - -LAB212: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB213; - goto LAB1; - -LAB213: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB214; - goto LAB1; - -LAB214: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB215; - goto LAB1; - -LAB215: xsi_set_current_line(130, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(130, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB216; - goto LAB1; - -LAB216: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB217; - goto LAB1; - -LAB217: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB218; - goto LAB1; - -LAB218: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB219; - goto LAB1; - -LAB219: xsi_set_current_line(131, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(131, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB220; - goto LAB1; - -LAB220: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB221; - goto LAB1; - -LAB221: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB222; - goto LAB1; - -LAB222: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB223; - goto LAB1; - -LAB223: xsi_set_current_line(132, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(132, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB224; - goto LAB1; - -LAB224: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB225; - goto LAB1; - -LAB225: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB226; - goto LAB1; - -LAB226: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB227; - goto LAB1; - -LAB227: xsi_set_current_line(133, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(133, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB228; - goto LAB1; - -LAB228: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB229; - goto LAB1; - -LAB229: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB230; - goto LAB1; - -LAB230: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB231; - goto LAB1; - -LAB231: xsi_set_current_line(134, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(134, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB232; - goto LAB1; - -LAB232: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB233; - goto LAB1; - -LAB233: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB234; - goto LAB1; - -LAB234: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB235; - goto LAB1; - -LAB235: xsi_set_current_line(135, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(135, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB236; - goto LAB1; - -LAB236: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB237; - goto LAB1; - -LAB237: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB238; - goto LAB1; - -LAB238: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB239; - goto LAB1; - -LAB239: xsi_set_current_line(136, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(136, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB240; - goto LAB1; - -LAB240: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB241; - goto LAB1; - -LAB241: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB242; - goto LAB1; - -LAB242: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB243; - goto LAB1; - -LAB243: xsi_set_current_line(137, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(137, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB244; - goto LAB1; - -LAB244: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB245; - goto LAB1; - -LAB245: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB246; - goto LAB1; - -LAB246: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB247; - goto LAB1; - -LAB247: xsi_set_current_line(138, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(138, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB248; - goto LAB1; - -LAB248: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB249; - goto LAB1; - -LAB249: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB250; - goto LAB1; - -LAB250: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB251; - goto LAB1; - -LAB251: xsi_set_current_line(139, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(139, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB252; - goto LAB1; - -LAB252: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB253; - goto LAB1; - -LAB253: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB254; - goto LAB1; - -LAB254: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB255; - goto LAB1; - -LAB255: xsi_set_current_line(140, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(140, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB256; - goto LAB1; - -LAB256: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB257; - goto LAB1; - -LAB257: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB258; - goto LAB1; - -LAB258: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB259; - goto LAB1; - -LAB259: xsi_set_current_line(141, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 2888); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(141, ng0); - t2 = (t0 + 5216); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB260; - goto LAB1; - -LAB260: goto LAB1; - -} - -static void Initial_144_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5656U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(144, ng0); - -LAB4: xsi_set_current_line(145, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(146, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(147, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(148, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(149, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 30000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(150, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(151, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(152, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(153, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(154, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(154, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(154, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(155, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(156, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(157, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(158, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(159, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(160, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(162, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(163, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(164, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(165, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(166, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(166, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(166, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(167, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(168, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(169, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(170, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(171, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(172, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(174, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(175, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(176, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(177, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(178, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(179, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(179, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: xsi_set_current_line(180, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(181, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(182, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(183, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB19; - goto LAB1; - -LAB19: xsi_set_current_line(184, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(185, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB20; - goto LAB1; - -LAB20: xsi_set_current_line(187, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(188, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(189, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(190, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(191, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB21; - goto LAB1; - -LAB21: xsi_set_current_line(191, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB22; - goto LAB1; - -LAB22: xsi_set_current_line(191, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB23; - goto LAB1; - -LAB23: xsi_set_current_line(192, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(193, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(194, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(195, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB24; - goto LAB1; - -LAB24: xsi_set_current_line(196, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(197, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB25; - goto LAB1; - -LAB25: xsi_set_current_line(199, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(200, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(201, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB26; - goto LAB1; - -LAB26: xsi_set_current_line(202, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(203, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(204, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB27; - goto LAB1; - -LAB27: xsi_set_current_line(204, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB28; - goto LAB1; - -LAB28: xsi_set_current_line(205, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(206, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(207, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(208, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB29; - goto LAB1; - -LAB29: xsi_set_current_line(209, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(210, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB30; - goto LAB1; - -LAB30: xsi_set_current_line(212, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(213, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(214, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(215, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(216, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB31; - goto LAB1; - -LAB31: xsi_set_current_line(216, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB32; - goto LAB1; - -LAB32: xsi_set_current_line(216, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB33; - goto LAB1; - -LAB33: xsi_set_current_line(217, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(218, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(219, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(220, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB34; - goto LAB1; - -LAB34: xsi_set_current_line(221, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(222, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB35; - goto LAB1; - -LAB35: xsi_set_current_line(224, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(225, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(226, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(227, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(228, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB36; - goto LAB1; - -LAB36: xsi_set_current_line(228, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB37; - goto LAB1; - -LAB37: xsi_set_current_line(228, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB38; - goto LAB1; - -LAB38: xsi_set_current_line(229, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(230, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(231, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(232, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB39; - goto LAB1; - -LAB39: xsi_set_current_line(233, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(234, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB40; - goto LAB1; - -LAB40: xsi_set_current_line(236, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB41; - goto LAB1; - -LAB41: xsi_set_current_line(236, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB42; - goto LAB1; - -LAB42: xsi_set_current_line(236, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB43; - goto LAB1; - -LAB43: xsi_set_current_line(238, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(239, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(240, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(241, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(242, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB44; - goto LAB1; - -LAB44: xsi_set_current_line(242, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB45; - goto LAB1; - -LAB45: xsi_set_current_line(242, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB46; - goto LAB1; - -LAB46: xsi_set_current_line(243, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3368); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(244, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3528); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(245, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3688); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(246, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 20000LL); - *((char **)t1) = &&LAB47; - goto LAB1; - -LAB47: xsi_set_current_line(247, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3848); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(248, ng0); - t2 = (t0 + 5464); - xsi_process_wait(t2, 40000LL); - *((char **)t1) = &&LAB48; - goto LAB1; - -LAB48: goto LAB1; - -} - -static void Initial_251_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - -LAB0: t1 = (t0 + 5904U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(251, ng0); - -LAB4: xsi_set_current_line(252, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(253, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(254, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 10000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(255, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(255, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(255, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(256, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(257, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(258, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(259, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4328); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(260, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(260, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(260, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: xsi_set_current_line(261, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(262, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 120000LL); - *((char **)t1) = &&LAB17; - goto LAB1; - -LAB17: xsi_set_current_line(263, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4488); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(264, ng0); - t2 = (t0 + 5712); - xsi_process_wait(t2, 200000LL); - *((char **)t1) = &&LAB18; - goto LAB1; - -LAB18: goto LAB1; - -} - -static void Initial_267_3(char *t0) -{ - char t4[8]; - char *t1; - char *t2; - char *t3; - char *t5; - -LAB0: t1 = (t0 + 6152U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(267, ng0); - -LAB4: xsi_set_current_line(268, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 10000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(269, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(270, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(271, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(272, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(273, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB6; - goto LAB1; - -LAB6: xsi_set_current_line(274, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(275, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(276, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(277, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(278, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB7; - goto LAB1; - -LAB7: xsi_set_current_line(279, ng0); - t2 = ((char*)((ng3))); - t3 = ((char*)((ng2))); - memset(t4, 0, 8); - xsi_vlog_unsigned_rshift(t4, 24, t2, 24, t3, 32); - t5 = (t0 + 3048); - xsi_vlogvar_assign_value(t5, t4, 0, 0, 21); - xsi_set_current_line(280, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(281, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(282, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(283, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB8; - goto LAB1; - -LAB8: xsi_set_current_line(284, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(285, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(286, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(287, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(288, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB9; - goto LAB1; - -LAB9: xsi_set_current_line(289, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(290, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(291, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(292, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(293, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB10; - goto LAB1; - -LAB10: xsi_set_current_line(294, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(295, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(296, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(297, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(298, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB11; - goto LAB1; - -LAB11: xsi_set_current_line(299, ng0); - t2 = ((char*)((ng3))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(300, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(301, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(302, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(303, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB12; - goto LAB1; - -LAB12: xsi_set_current_line(305, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB13; - goto LAB1; - -LAB13: xsi_set_current_line(305, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB14; - goto LAB1; - -LAB14: xsi_set_current_line(305, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB15; - goto LAB1; - -LAB15: xsi_set_current_line(306, ng0); - t2 = ((char*)((ng4))); - t3 = (t0 + 3048); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 21); - xsi_set_current_line(307, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 4008); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(308, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 4168); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(309, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3208); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(310, ng0); - t2 = (t0 + 5960); - xsi_process_wait(t2, 160000LL); - *((char **)t1) = &&LAB16; - goto LAB1; - -LAB16: goto LAB1; - -} - - -extern void work_m_00000000001578517288_1330392502_init() -{ - static char *pe[] = {(void *)Initial_77_0,(void *)Initial_144_1,(void *)Initial_251_2,(void *)Initial_267_3}; - xsi_register_didat("work_m_00000000001578517288_1330392502", "isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.didat deleted file mode 100644 index e4593a80d46d1f4f7f43115eb72ecd3033b3a1fb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7300 zcmeI%d2AF_9Ki9%4FU=%2w3hzxw==EZjFhl3lSoSv{X>hb=xj*6qF^C9a0#d)f={y)-I)P>ROZt+}yf?G&_nYhW?aX%W zp2m}>E?pI^qmTT(wQaNOw)N=HSg8R>HdSgq*1+44Ht~mO*Kej&UyMc) z%diIPu?sDlE0qB$C9EH>%%;zLqu=5-N@>e!XSA=@B15Up2-#**ixQ51GX{}o2gjI2 zeG$61;#je~rBcnPrN}nTT$k;7zfwz)Yp08}1-r0nIc&X6>ITNT^E0TSxcRxrdm#yOL#)Z;z(&VWgk_P`qo-il~mGhhcIM+2deg2 z+iCu9ik!2Y+Z;%{`U;-d=}DYiqh5BbT>Ja%_Q~YwZO6)ef5gZmxy>T`cNTp-eb^}H zBFFZq-9DM)>1)Tz-^7A6^7OM~nS#`!H1cHHu`&%VOCyiRj%A8eFQk#jYsWUBUXex~ zpB;M!_3AY8_=!DLMaGXcz8HAZX$&QC_1vJ;$bl*$`?>h=@%JWqtg*{t4teG}^62Oj z&121n2?xnyb>tovHS1vqlg`E23liFM@q@)dtf zk=T4lE&0q+Q;rTsCm9a~NaZ*>8ad?Hu1YaSCnJZE8v`iq%HH0 zF8T_*V{CWMJ8m&+jTq}Y%ueVQv(AWdem}XzY%pSEPSV9K=2JT8bCg=Pabj%M*(zcO+&9rAGwD? z{oyID^?oF+v}J#~=v~#$drH;LdrH;LdrH;LdrFz}-b#BC*}{Y&zY|;zc|W`Y!;uGh z*Bb$OU%L_`F$(hTbv3TRXvllib%@}4L{W$$6eET)koTMt#Bl>kA@40^kRK<;V*(~Z z-bE^qL?tF+GUWYYDyHE^Ovg>Q88dJTZpCf59WyZtci>LU#vI&*yKxWhh5W{GKOVq? zcnA+ee$$(W`He2!0#9NAp29*rjYU|DC3pr)u?)}RIXsW$co8q*Wvsv}Scz45 z6|doSti~I76Kn7m-iFNY@8UhYkG1#!>+m7gV*@_I$M^*D?D!cz#~1h#8}Svs#y8l6 z&G;7IVGFk6d;EZH_z~Oj6L#Qd{DPg>g>EkY}bwXbky1s2Q3={?^Yz3$#Ql$TQ42XpJ^F7xIkK4()LsG9b?& z9nlG$A_~V z)%G$x`)-2Dl3y}^7!)7$nm=lPQ;V(=(tFBcEqdn3fDH`JF?~v`Xc_LYyGn$fpl+|4C0zg zYc?|^tp96Ck9bAAtoklTq!}rUm1M@I#4=^GoTA5hioRfWDCo=fhe8owAm9z;_=8@5 MW^ueYnv`7s0iUJ~YXATM diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000001578517288_1330392502.nt64.obj deleted file mode 100644 index dd74913c7e07244285888e87f637f95a0d548253..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 54031 zcmchg2Y3}l+lCJvrHCjBScr;(h0u#A3L+RaDq_PLT7*a^p;$o?G{)Gm_uhL08w&Pb z5XFWa5PPru_w19IocZLiyYpWrmy$d4&b`mRGkZ2CCxMKdQ#WJY-hVZd*)*cMnf$Wi z>17e?kC|s@GWUbs&eg}e*3V?}GJ}TZj~rGuENfn0Gv}O4=2nhn-szf(Ww_w()_63zK%y2;&Z9gz$RUgb+ z)P5l|M;DYI(Y9AXd0E@O1?4BSEhs1-+jdZ4`N+0I3ZbC|Z5iH1!`lbhF_j0kFovPblxoROs%ayyK zaZ!}kAetV(2EEfO4bm%bMNR9(YMVa16I(#pj=0UaCQ{t8)rmf|m)%;Ija9RUW7+DO zICGUn%htC^9b2oubb3U`*4ahVithcxgG@nx(@1l4S8#4ZZA9* zz8amC(;#_{zi-PUA?5RvV(biu(9o%-xoY}cJADXO+5ApZ+_hSLJ+`94nddQ+o94U=dGGERw9SVxZL|Gm z((1)VzsQhz0Ia2J&AiyoTualMd5N95mY$=#S9AuK+SyUddHb%R)|pJ;XakcUQb*?)z_2pIjgm=EC#!7re0yE=33h7 zsaM*mxmWAA=H|rRz40o)kBYTy3N!8fkF&bkd}J<-+^bwkRMxe4ErwgN^w;8bHZb;D zyxz{twP38OuEiVd%(b*#eJ$Q-XXjqsOL;nCuf=G3{IxhLz0wUO>sq{t^KuJYkp5b{ z+4hxdWvef+TkOJB7c6RQ^NijG7tnIwVKR0{NUd!hAx0ZWtV*DQ6XQ$@gqv!Y}X0PaI zdi)hV7bUBo`~RmO^MG2*r(Uigzn=%}Nv>rDs&17J+NtraKV+xoZvAC0imY3u`AQ_~ zVV3;zG+)TX+$x6?m34VOk{y-)R{5w6%(Y_f2KbnrnQOt^%*XA_L|6S2c6RR7ozS@Y z!iuKHUsyY*SJpwvx)v93URiq>_cZWd*W#15uUsozegAvPPR+Hn)l(PRskv9HUnB2Y zT;%tW{91h4i(2EY^6hoKYw_9tiE5wXR{5MwOLWmZZ)Zo#u*P%i9i3Z;JZ74wMlbL_ zdpr5i+*;PMIsUEXMdnA3%=kTe$xh9^M^~(4&PGo}qv`Qi^f4$|m*>mo{Qn=%DqpcD zxt0~Ex>cH2l@hl8nw^@v^=o-4CF@rCy5C3g%kvE{YK@mbPolDJm2YK7rN31!wt=}; z%-sOrwli~GD{kfzJ2TN$|BjuVdv$kjP<>%V)8j9!_0uaK@##;*V0x`ecw*ay;>X5N7l9Yf!{~+Yw<%bYK_<8ZM>S1buBK-j!J(meryAC zt(d#QKCv@%Ets46shyeVTKvq;&b_)1*R8%5qv`S2;_URwV3e$D@pI1W|8p&VVf)Ip zveg&Zmv(BdrLCU2+)mBCT4zMB`9`0w@aC=X`$&E*uJoeTcrCVxqV(Bkm6`5s%o<@`ULAof3Frd&wst- z{HqzOeg>5M5%Qat<1Mkx;lN2=avrj#?{VonTY2o`8eeGM$2)Bv>HFH#Dd36wn!cq~ z^~yrkqcqzXx*;#_uJM)5vyUU>s(}SZ@chw{~Ds@-aj`9|8Q0QJ6F=nrN21of_C5U?I z=o;&4Sa4M8u6`-@y4pWfh*~E&Ds@*^4)+%DfY7}%V$5E34UY1=N)Ywjuo~;? z$l$2dUHvfB>*~PJy)t6VUY!;k<#&}JYSZ8-+Q_;GCWyMHD0Bf+cQw$DI*3zE_(a<8 z%7`(0_1;llS1F?Wt`bB|430|ORlVS-)LmVAq_=_JaFpLwf~ZAD)L2)C z21ljt>YKy8t_}&^DayGjr>GC0cLs{~QM^L@A)>gp^%idQkh_R5Gcd$mk3;17lwP$ct>aITA!|RG~oQ3U`5o7kMG&sudDnV4k;3(S2dR!%l zx?*7H0;cY&iyy^ziNp5Fh%tNh>;SK;6j6Rx38Ib&j`H^^LDcuV*H~9`{3w1-A#AUV z7_(QK1V{OMl_2Ww{@w!qmt74Aj!NCt(%rnS_@SJzy)t6VUQGy&^1Dh9RW~?_HnQ%4 z38F6P7rKC{yUO>Y__46Cy)t6VUM=kFb(JE@?Dhtb3*41%-)cDZ7GGfeL ztrr~S?^S}R>$`ai_+NIlV{laJu3qWtbu}S$uZ$S8S0jR>{H_v2{nn+%x~dG0O5N3# ze$>R!y)t6VUOm*=Tfh`iepd;i3WK9)BkLZRAnIdIF6-Nx#z^=>=7{N{rutEnl1DK! zE6Rv5d(|j7%I_*c)YTom1^h3&>K+`Gx~muRy{;yQ?v)W^_Npj2%I_*c)K491tgG_i zsMK9;?njk|?v)W^_UgVJyah}V<#&}JsvtOuHnQ#(38FsOzQ($m>_?S_?v)W^_NqZ} zl)qOAqAuUgTfqOatIokusk?e+Td%7rp?hV-n7ukYILhxTLDYBcYpko;!BMHZYVAi& z4c#jv#_ZKy?Ysp{5#@K4AZqvEDB8%n2PTMmcbgjPYP=scEp)Gp7_(P(f}{MsN)UDN z*4_gCmtA!Tj!NCtQ*FJjribp85o7l1kl-l4s{~Q2wyLqNP7aPr-PJ~Z)Uly^WyF}h zx@}8u0aHZzT_uR>8yrO&S@*yMQH!^zv96BsqmB#RDOt-S?2DRi%l7_(RV1xNW^C5Za0RgHCZY;aWSuA2H$GeY;uh%tM0 zT}y8PQ$+b)C5Y-397P*h&n*%}y}WUabv4|Nn#rjKJ-0Ao%wGMvk=Ip_)EpuI9;%wFvs9OZYFAZpo$HP+RX;HcDHt?Ngf61rDL zjM=NJHt-fOMU>xFf~ao6QM8fuxJnT9eDfOX>L@>IR_IU>st%9RccXekYZvjsW-76!;>{b8ZD8H)&QSYofh?*H3 zJ*^-o=|tBTOQGGfeL zogW{TE$v>-*QQ)Jl<)xFwsz z>oEWRm0AU}77bZgFl*Ix^NY0l7L=E@Ehs2Iq3xi8^094)6qb){JG2lQT}WY~ey>); z=sOVg3uZ4UtG#+z)@wVBqTT63S7sksw8jiXJ6gcY`}3Q%<0D?srRmCgJjXG;uQ&uxUBl zt&A32vp0-p^IQDPmBVk|&DFU3ReLS$;dAxvW^QC>=5G4}p1)+Zy)lMs+nv+cww(&h zKRCo2yVpLC_vZ4;>o<>!E>l=p&n&@w!v*Cl?8UUU%fnl)mQ@ST9p5ZKu2m~6|3NF( z%C(i_8gUEI+Rn_qR8_~^#LmpUROU%h)>3VX;g*WiUdt8I%QTjKg=`k3x%FL3%PZCL z=I-=zv}}JDUUUJrv9oixU3J_%J2Q9NlX)tW)%F$`p>1zz({gPwU6@CKdfqCUUH$7V zV;>l8?crnF-rCO0-S+W3*U4&o8;sDl+u25{9^tvVcb9E@cX~;7ds{m@w(afg%-n6C z$}^O#wztPf*!I6}gFD!?T>X02tocoxtCv(iBw}|v-yS};?T&V4?zStLVt?;=XEVL( zGh#(<<*@XMxe!vO{KUf{Yd^X~N3fsF)7^Bsn!d7DIM=qg%dlG{tmRXZw}IX5?AZP2 zVQ2n(alI!+eG4kg^PURxyr;rE@2N1)d!W&U6ejW*zz{P>9{Xk8XfM={SoWSHw&)jqCT;Hu^UqUuCfJ>FH#BTm)pT=ia8ecO$_ z59wTfgJd-2CZ%&}1?gP2hg9w7s)eq4l&hAx>I_#s*Hv$F)q7oak*h9s)fKMVgwGRN zwGAMhX-7!sG62$<4uW*1!(DZ{tIl%OxvqMftIl`T58c>jkkZ(kshID>!K{7stWc=dBHsRqY<7!Apc>7KuQ^XF<(j9Mns+ZG_c=HA zCM5TBSN+pf>vL(V+7gnR59xS)Ty>xu8v`kK86@KuS2bVZ=y=;g>Zl_mV{b^tFi05_ zAsNR)GOmPV$+#Yp z@jN7BZ*HENR|3hM;l|E@V=yFRCd7Z-Dz4<6-22_wLP+jQklgPfxjXR#95VKY z80IKPKr+U=>Zz`JzN=pD#-4<9ydDJTc!iLTcQ~Zu&4BoijM==CaSbHnAxOqEkc?L$ z{=@i*cQU5(vq+kE0VMZUH}(J|_gPo{z*U#K>L0G!j8CUJUK>bmdpEWZq}-<RCkjKd)rGa(tZ`LwQid63*5Zmb_9_W)Ndan&+co#U#P zyXtMOdcPZc7gFxNJatgoNJwW;3MuU(NN0KlBx4>V<5ft;Qb@)sSFO!}s!bqO+we|q zcUSG}s)Jp1w5v{Y)tQjaaMg#tKgN)4}89P8S20_X=7?Lp=l5sjD<044LBW~Q(W~_NXE^OGVX+Aya35q z2C0v4AsHLw*|AoTjP8((y>|01iqb;nl1tg;r zB;!Cx8HYhKrb5a%6OwTmB;yG;_B15pV@SrYu3CRfYitK8qZ1^f5Rx$*QpO}m8FSs( zWsr=AAQ>;a>idw4%vLs|J|tsHNJcM68GAr7#<;Obkc>)5#&xcGFC^n_NPWBy$@mSD z(X_42*bI`<&yDQ?$ru62IM!89hh*FiDdS#9#w(DF&mi^jBP3(vt?k%mkc=H68T+~F zQIL#NAZ45m$+#YpF&|RK^N@_M-Pn(ijPmR z>Y0#?yCG#f2+4Q@lCd08AHPB}HrdXOZ2`&X1IakRRfj_|=0M6g6OwT=B;#>N881UJ zzIS84LNYek-WuCNGI~KWj)0Ug9FlPoq>Kw78P`EFo^@j{Lo!xCGFH24(;ckQ1yV*Y zNXCJXjAI~W91AJq3O9BgB;yH4#$s3f43g2XgUx6P$=D8((HBz2evph(H+C!}V=g4) zHdmbw$@mabAD=-oGWpin2vWw@kc>Uu*nW_VF_1D&cGYtr8TUiVm=DQ#8_ zGLD91oB}E1VyIchJpa21lJTM&dmWPTH6)`>XKOTvWb}ZPu`?uN2qa@X)GW$45t4C@ z8@maTu@I8+uB$GGWHjz#Gnzv(@*x?!L&`V+k}=JVoe0Ue1d?%=t3D3N_ylSmo#}E& zM%}K~XbmZ2TS&$}ZtMU^#so+ivt9K9NXEmEG9HIyEQMrz2PtDUBx9>?c5GWn#sEmh z;jVfNB;zbd85ck@?uKMM11V!MB;$8Cwi=SLNq1{>hGg`GWQ>HAaSSA54y26BAsM$p zGG29Kiy;}`Loyolu*OD^jNXtk`a&{}fMiUDlyNd7;|4c&8zkddNX7@Qx(brftf$S` z2$InSl2HID;}A&3@owy7NX8YAjQd>mDM-c_kos5!$!OTi8kUgMSlyM%UjEkXG(b)Zv zj29ps?_Eg7-)^i!HWORpQ><-Bo4Jl(h)GEq218N=7)sT$4AQ^AEv3DUEKSQk} zqtQ;*Xbs8O1yaWDkc^>_jH!?^W;@^L0Fp5hl5rfQ zjB-fE9d7JCNXDy>jL%*5CrC!iel}xsNJei+#vn);MUaeHZmb-VaRVgd5m$WylJO0s zK7N8^G~3M@+d#_b4#_ywjTJ#Mj)#3APQGV1Ma#~ML0+CehAW87o}%H%P{& z18v5Zkc?d*83#hj7y-#R&5cz;GH!unJmIRZKr()S)W>g-j1Bj&#&(c0c7$Xc>BdGt zGG;)^nCq(7Lo%L&l<^8AV?1J4uWKian<7>8COEexE_+R0Fv=G zq&_}}WURBN9a|5Qu{|WCpQ{dnWRyY5I1Z9=Atd8=NEwenGCp!+pF=Wg?PZOPAsO31 zGWLR$F$j_|7E;D3kc@L784tLzM<5wXAQ@k~>R*tIyuEG4Hjs?nAQ?j-WsHJkRJgHo zAsKf-G8Ve(8<31&AocMVB%@`aHS!^4^nqjyb7P|*8M7c|T;i%XLo!~1l<@{6;~Pju z-FRk| z*4Px1(FJN2W%Pq&6uYr8kTOn#WL)8@w?i^sgOu?$B;yB2M#I52V`E6hPHwCpB;!a( zMyacw0?D`$Y95{G?U0P;AQ>M*>f>uj#`*`?v5g@aT_G8Jy6O-}#tBgKDB~1J#+8ta z`ypj4gk*f_#=eGRtaG3>+CVb$AsK@qWekC2ltIck1CntGB;zqRwh)r>5hUYhSFL-H zHQGbU$cJR?1<4o+DPsbpjPu>tC6J5;Z>QYF?Kaeu&9&C*~NJbAx83Q00C2nj2 zq>Ktk#x<^bHzeaNNEu5Z8NWa>8XsaaHi2a9>c$2@GKN7irn%}INXD&@GVX?CyadVk z1X3U0Lo!+nv16M+GI~NX_HorCpqA0qG!s(B97x8skc@{RWjqVDjEt|`*!Pf(CWl&M zD@aBcNXEgCGLC>+MMs$qDdQ|i#ubo^C*9byP^-xJ6q50~t2R8$8aqJB=mN>u7it~3 zBOzs!Ldv+vja>oBmT> z6Ch=r2g$ewlJT?~dl8cHB_!i-S8ZHmjZTmNEv5A zGH!)rEP#~pDkS4aH}*Ruqs0hoY!Auk4aqnPQpQL~#!N^V7eX>_fMh)H#$JVFd;_22laP!huKEQeW8Gq#(F~HY10-WNNE!P> zGN!n(;~^OrK{D=e)kh&2%OLgf1tg>P(bi}QDWe@EV{bRMKO|!uq>NdvdLAU>K}Z>o zLNeZgWPAfD<8MgD7NhJ~J4i-AQ^W;G8RF~coUNGs~h_plF@3k zH9A5vc7bFJhmR3n_=RnH15R!2(B;z?q$6Er)_|uKm8fT5oAQ@dD8M{F;j)s&m7E;FPkc=xK z8FxT3UUy?lAQ?YGGS(Syjh2v%ogroH2FW-Il2HaJV-_UiCO38mB;$EV#z(ID4J4!a z1e?(klF<#4u@|I_Lm?R_y0KZ1jH@6S54h?gNXBwVeS8DSSa+f|@*rh&gk&7x#twyK zOo5b9;i{KHG9HJNu?Ui}43hB+)GTAZcCI(c8rwn|>j=r%8Tu{0hlv zQfiG&AsKz4=26B#NXBqT#&k#-r$I7qb7S{FGG2yceCnz{Kr%Kevl*L0GI~KW_Jx#j zBqZZxH+C8%<2p#jJXd`VlCcU>A3s1cnohAsTSysQAsL6bu_GZF$3n_D+f}cGWIP2a z<2gviXON6PAZ4sG)fye3s$59Mevph}S1p5-aWSNfDFUXYBVAsHt? z%BX-^M%Uk6ZtMX_#_N!bFJ1K)sAXidKGtUBK{9rRWDJIsF$|J1+l^H~t)ipc1j%^J zRbPT+d88abeoDIpi&yCH4 zWGseceC4WtKr%Kz$!4^LWb}n(91JO=7?M%$#?FRh+y=>b(p6uBWc&oFk3S$88_lrB z4v;c-f@BoAv0_NZ$&fNGa@89l881M}cny-V3X)NKrp;I%lF{9b?F7j<1d=h%RZoCq zTn#DXMo7j}kc@XA_3MaWjqGS_}Gno z3CXB)iZxn6GTK8j3L#|-hGdL~lyNE~<9tZQLvHLbNXEO6jBj1_A4tZQvus9tNXG7v zjKd&hlt3~n-Prk%jJqHiPrK?{kc{6T_3;lRqxGrQ=maTaS4hSPH&z14m<=i8GFQD7 zlJN?pjJF^e-$63!&$byYAQ?Nlv0WhUWUNpv7tScn?52-iwgVU@4^I{o}^!oowg;pmFM)KtuOVgp1?hNsXV|fJklfyG zY!0NOyaMScpSkLfkdCr(g+0pVkd9L5#)=>vLOM#*Gwo4YKsri$H&zDe zD33xq${ViwF{Go^tF%XH3{`am$=%0Q?}K!d=iS&_kdE?&tN!Jx4bHOFR*>9|kk+)f zs}{QIa95q=s&n1g<&e(rE;sfkq%-Y*w#{H`qrY!nE7Q=C$!yC1wW9MCsk7{M|GPR>QUO7`Vu{ghb_pUw6Xl?$l6O|9*&+Yi% zSamW*<41Ke!+HF#IgE5RBj(K*(VQ;kBQvr3I3xMU{7V8lj;ZrSLB{+W1JxrZGh+UA z0hiU)%rochvbvd(UT!46tav(4@}oD?Qk`1Ivy$eY53GL6=id+*R`p?YoLYVPs9yC1 zD1UgVIi2V&>Y|oeeg7?ga`l1C>ei|CkUyd7qjR!GOe9V)QJ3lu`8N`Z zI#++#vu9DK>W{kg=vmY?Q&dzkZemf<^wN@|$;G2eO3R8T7wI2xSW|_631Y;Qvf|Qg zt+cqTXvCDsllj*gipG|VFV3z_9Xnyv)M1m0hLx6 -#ifdef __GNUC__ -#include -#else -#include -#define alloca _alloca -#endif -static const char *ng0 = "C:/Xilinx/14.7/ISE_DS/ISE/verilog/src/glbl.v"; -static unsigned int ng1[] = {1U, 0U}; -static unsigned int ng2[] = {0U, 0U}; - - - -static void NetDecl_16_0(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - unsigned int t8; - unsigned int t9; - char *t10; - unsigned int t11; - unsigned int t12; - char *t13; - unsigned int t14; - unsigned int t15; - char *t16; - -LAB0: t1 = (t0 + 6960U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(16, ng0); - t2 = (t0 + 1960U); - t3 = *((char **)t2); - t2 = (t0 + 8648); - t4 = (t2 + 56U); - t5 = *((char **)t4); - t6 = (t5 + 56U); - t7 = *((char **)t6); - memset(t7, 0, 8); - t8 = 1U; - t9 = t8; - t10 = (t3 + 4); - t11 = *((unsigned int *)t3); - t8 = (t8 & t11); - t12 = *((unsigned int *)t10); - t9 = (t9 & t12); - t13 = (t7 + 4); - t14 = *((unsigned int *)t7); - *((unsigned int *)t7) = (t14 | t8); - t15 = *((unsigned int *)t13); - *((unsigned int *)t13) = (t15 | t9); - xsi_driver_vfirst_trans(t2, 0, 0U); - t16 = (t0 + 8520); - *((int *)t16) = 1; - -LAB1: return; -} - -static void Cont_48_1(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7208U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(48, ng0); - t2 = (t0 + 3640); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8712); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8536); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_49_2(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7456U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(49, ng0); - t2 = (t0 + 3800); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8776); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8552); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Cont_50_3(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - char *t5; - char *t6; - char *t7; - char *t8; - char *t9; - unsigned int t10; - unsigned int t11; - char *t12; - unsigned int t13; - unsigned int t14; - char *t15; - unsigned int t16; - unsigned int t17; - char *t18; - -LAB0: t1 = (t0 + 7704U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(50, ng0); - t2 = (t0 + 3960); - t3 = (t2 + 56U); - t4 = *((char **)t3); - t5 = (t0 + 8840); - t6 = (t5 + 56U); - t7 = *((char **)t6); - t8 = (t7 + 56U); - t9 = *((char **)t8); - memset(t9, 0, 8); - t10 = 1U; - t11 = t10; - t12 = (t4 + 4); - t13 = *((unsigned int *)t4); - t10 = (t10 & t13); - t14 = *((unsigned int *)t12); - t11 = (t11 & t14); - t15 = (t9 + 4); - t16 = *((unsigned int *)t9); - *((unsigned int *)t9) = (t16 | t10); - t17 = *((unsigned int *)t15); - *((unsigned int *)t15) = (t17 | t11); - xsi_driver_vfirst_trans(t5, 0, 0); - t18 = (t0 + 8568); - *((int *)t18) = 1; - -LAB1: return; -} - -static void Initial_52_4(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 7952U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(52, ng0); - -LAB4: xsi_set_current_line(53, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3640); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(54, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(55, ng0); - t2 = (t0 + 7760); - xsi_process_wait(t2, 100000LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(56, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3640); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - xsi_set_current_line(57, ng0); - t2 = ((char*)((ng2))); - t3 = (t0 + 3960); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - goto LAB1; - -} - -static void Initial_60_5(char *t0) -{ - char *t1; - char *t2; - char *t3; - char *t4; - -LAB0: t1 = (t0 + 8200U); - t2 = *((char **)t1); - if (t2 == 0) - goto LAB2; - -LAB3: goto *t2; - -LAB2: xsi_set_current_line(60, ng0); - -LAB4: xsi_set_current_line(61, ng0); - t2 = ((char*)((ng1))); - t3 = (t0 + 3800); - xsi_vlogvar_assign_value(t3, t2, 0, 0, 1); - xsi_set_current_line(62, ng0); - t2 = (t0 + 8008); - xsi_process_wait(t2, 0LL); - *((char **)t1) = &&LAB5; - -LAB1: return; -LAB5: xsi_set_current_line(63, ng0); - t3 = ((char*)((ng2))); - t4 = (t0 + 3800); - xsi_vlogvar_assign_value(t4, t3, 0, 0, 1); - goto LAB1; - -} - - -extern void work_m_00000000004134447467_2073120511_init() -{ - static char *pe[] = {(void *)NetDecl_16_0,(void *)Cont_48_1,(void *)Cont_49_2,(void *)Cont_50_3,(void *)Initial_52_4,(void *)Initial_60_5}; - xsi_register_didat("work_m_00000000004134447467_2073120511", "isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat"); - xsi_register_executes(pe); -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.didat deleted file mode 100644 index 26bed1960a5adf0d258f4b057e3474c5763db508..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5500 zcmeH~OKe+36ox0Ig+PH8C=bzsN~w4jzjB?VP}-!naZKFW@^us>Dh+9C8mrEO#H0aL zhy_AngNnq4UDOS1kh+KvtBM5+gjgaGu_{s*pl(=%6^QWvb7!pa$oP?q1PG3Fa?YHY z^WB;8xidGHe(t~U#>Z<@eL{#Ex8M(?2z_T;pqF5&*R)-^Nr?4Zh1hqS5UY0z@g?*} z=rVHW5ntIQ#1-iBZXrH_egWNp_TMGM9;gRB1)YXc*3j2UzQvg9&bZ;bg)lk-|Dx8< z_XzP7^qSU-_o5Fvjrp%bKLlqt=Jz)23iLP3V>@!!V6W>rF=mu=GrqCrxwI7Soe^x0 zYGX^3C6fI{xyAH%Xf^S67DH7IZ96fHR%6(QWh*&?ePEk&rF;|^_17?HIY+x~`&LR< z?jS~OLbj{Xo0~NB`HVP}?2XM06^Hwekyj6Xx`T6j&Y2O{!cf1Cb1nMyQIS66VVgxp z_Z!1J=Gb}0H)FzB@;ToEl)ez0MR&qHu45;Zxy_%&gI-*4#D9& z$#t>jUTJV{hS!~#{o#8=4l}FT-^%{*NF#@JvlxQIV~8Bq(OV%n_vl<4u0=1Cn^Q8xG^-sQxZE%wzHmBqah@9t=2yGN1YVlY=a)zBBwR|zY*nc{~q+u>ZnnJ7_++mS|5L3e7ZFW zb3cIg!nm)A5Y!*Pd3s^o?=U#GpL%5McNnb8UwdRc;xJf~JF&67tmS~i;Qh0=N5-QL zgLluN9vKH62JfAt4uj{J`95k@ACEZ<-Z>{6#seJ~k2?(BH>VuN{tk>M90u>2^A3Y! z+O6eDhrxU1O^3mAxgFzahrw^2_Z`M?2gV_X!S9{R4r8PP;~9s+-|;htG1h?*aTtGR zQ{5q$0Bew9=7J?D38F!qG4npUadY>%agAxZv&kUK+?(CpcZQ?xDm3^U#zvr~ZtVNc zoHy=N1?}{OHcVC^=$N|YOOlE9F2RCp=i#}$h1!rU8*cpYjfwKiwkF>=W3^G zktIPB{3#Vuax#}LkBM@_%lajeE&C!nnGwZOJ}u_u>+`ZcGcStyyv!F;<5|QD+2b;s zKb{wx6H&N`BdDrbdh9iBiUj zlrnzC9^sGWUa;E}#q?;oDPiJdInxC7Gx@khL^ILc9B6KOR&&D7l(;w>U}84K#B7v_ zY2L|7JzY6dlkq_r6REk`y7Y!+TziLQBJh$iIUsVg)p~WRCX)&2H5!94ne43;P(EGB z>bvHI>uR_fWHMUW>SA>!T9*q`Gm@@6U73zlmMam4FU&2RkIu+gL%jHa=Xpck;E+tj Uh6dt^STY`uoUNXns`EjA01*Z;L;wH) diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/m_00000000004134447467_2073120511.nt64.obj deleted file mode 100644 index f12de327da8825f4e9549a664cad6771d63511bf..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 2810 zcmbVOO>7%g5S~rkHa!4QXlVI2)RiI-Ydf(M7nNeuAZ?L_EFwgtBE2~F)>+{=W_RQI z&;wvmx>_0Wb3)<}At5*xi9^#0jea?vhIlQ(8D#`X}I z$i>1_mKHYDMa%LG{xBL=eEb#_HdM1e;RURfkuNM+DrB|R^$D##&StHBPXSY>tFX;i zT3046!*gD9UXNuo$Btz+=WI;VoNBC~Ip1mRuSTLs&^k``G0h!MAaecrUU=L6`Cg*U z*;rJ8F}IF^au<2@sU^*ybRcG^in0sGDj>Vb0ub$i}h9DWqAgE+T&-+-n$H#%Ftf9A0S{YrUis9((A z+0M6*c-t9F56Zlx&vmxA(bHbw9rzL9yUui73y-10Yv+_+hp*op*x|g(O+>F3(Cekk zb7*#y+v&c22x+u;H|(L#)$U_7$rSQP-H$JzBiPvaC%M5noLn}eZNhyACHJ>2jM-aTxQ(@xhqdSO`@C%4XC9$?!;-x{v6SydDshA(5 zfVhr_1{II&mUw;;apK_}#G_&2FLC35_*=y9s?}N_@dsV`YZxSuRe%0>Na`(rKB!3j zDLGNopM5hKma!bSg;`t{48@|pr0PvwW%HR8^NskjNCc8fPNh<*nbh=*m`uz}B_|W< zWKt~~xElSm#4+NoF+?Yxjn5lZqt=WkQ|e6o@j(xLh*8F_0ZG5_ z1KW>4((iAehwzI~9!-=Hr+{Qc8AxV!fMmosf!_~-->-pf1Sci^UICJsKLwJRzW|b% ze*@zBA~cS(ma9=9xmpC0tM3P^p9HJF1grb-e<@?ffMo0(kc>roudfP?1c<%4*NW#Y zQ8chk1SkQ-C!vsf+NkP4EH^tu71YZ6zEwDuMWHASc|KC_j-sxs=|m#KI*KP00pauH zr2aer~51~LA&d#ua-#sze_?GHNz%x5~ES9is^(% z_q6n+NRc?PQnN)mA*OtoIw~f+Fe8#AUe@hdy;K#+X^|iyjODrzP0J9bUNJ0NH-)^s zZnuJ4u(WFHRyfSkZBbe^O&zqjy!7x|1D95#Xo{j`8I_u76sxO!>veObq+6CaQ{<|8 VTPd3ct~}8=ZJ3rVY_nLi=s#!LD6s$l diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c deleted file mode 100644 index bb4913d..0000000 --- a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.c +++ /dev/null @@ -1,36 +0,0 @@ -/**********************************************************************/ -/* ____ ____ */ -/* / /\/ / */ -/* /___/ \ / */ -/* \ \ \/ */ -/* \ \ Copyright (c) 2003-2009 Xilinx, Inc. */ -/* / / All Right Reserved. */ -/* /---/ /\ */ -/* \ \ / \ */ -/* \___\/\___\ */ -/***********************************************************************/ - -#include "xsi.h" - -struct XSI_INFO xsi_info; - - - -int main(int argc, char **argv) -{ - xsi_init_design(argc, argv); - xsi_register_info(&xsi_info); - - xsi_register_min_prec_unit(-12); - work_m_00000000000498029938_2356217838_init(); - work_m_00000000001578517288_1330392502_init(); - work_m_00000000004134447467_2073120511_init(); - - - xsi_register_tops("work_m_00000000001578517288_1330392502"); - xsi_register_tops("work_m_00000000004134447467_2073120511"); - - - return xsi_run_simulation(argc, argv); - -} diff --git a/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj b/cpld/XC95144XL/isim/t_ram_isim_beh.exe.sim/work/t_ram_isim_beh.exe_main.nt64.obj deleted file mode 100644 index c3f254646f6deeaed2e90eeb435e0c209d00e688..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 1328 zcmZ`&ON-M`6h3{32r9lG3#E%L2Go#OC#eXI8wn^_Tqy|Gw9V9uO;VEEnIbNP0VNb+ zz~A8y5L^uC-o=Ou@dvnYC2rhU&$(&Rl(YwO?svZP-N(s2xBvPGkln8WT#~2`itr{v z;?(Wq@B_cEl{7w%LjyWbmA)(7lv?YzpmU&f<9MxLo_IyNXnDa7=7#e8fRDy4un-yn`F=kPm`h==(R>B<73<+u?N+F5{_A;#Y?z z`co2JLr+!K0Vl#~iEg1kBhel7RB|2eqQ4-~J@gkPdWim*M32#*m8hF@A)<4VQ?~gq zyOe)3fPuV|I_4I}e3u9FumTOJE_EACs8!yeryg5avD2_oQM1TpsMuw-b(%tl(Yb1s z2if#|EOr;Yjar5NLfNh&MgNwp3XByi!@5?Skd)LhnNcMGx3dt(lzCKQUX+-3CFXt3 z&|f9{USf{sry`AskzkSQGahrFb-ibbH}Pa0N)Pgm!rHh$V)K{_*7ss;yCNNV10D-6 zk{!4*;q!ov@Y%9)z{N_12uJZ!VjM6$)_CX&9^!7LSD~71Th-fb!)ChC+SE16M#$y* PCGpCqwobhAy`|#+(|hAU diff --git a/cpld/XC95144XL/isim/work/@f@s@b.sdb b/cpld/XC95144XL/isim/work/@f@s@b.sdb deleted file mode 100644 index 9307131089b982f6fb20af5eda96fdd7967de504..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4782 zcmb7|%WfQ15Qcj^3BhsN9((Ngmc$d=i4eEMX2Hc$z{>zqBw)h=2{2^E6R>jPpv(ds zSpmsFgqU%F2r1GCXAv1G@&n`N$_KC@x7W(%=t1cfL%*U7J z<{Hn<4=?3wH*a0bCl*fJYOlVYU%xlKbz?GbZLK%IzIW}Ldj3^&ef8J8)o!+4%g+y2 zvYHCFYjYUof`tb!mM*(3ro;U|kM94)^%#Fla2vDVt6{OV(78K5ZT^Vut*rmkEUQ&z z!*`*!zGoWPXR__d?rd)C{9vntc>BXFd)y}?9o_ij&%##U$7F977bixFuwCO(TLi?N z&5hf3v7lYsF|(1f{rTI=m$&z<-GXFo^|Wp!t^F36l7^@$4lH9MWgIB#eYm;tT}&J- zZ11c*+`wjss%%i*Z0tsR=#G2_w- z7r0t(-9)jXF0pHS!EBtB+RPh%HGB7ae=Ey&-ss9csn`R$OIoCEN4A$fYR7%f`SVN- z886088b+LxMO^Wu!y_X+kBt|+@rWlK9#0%Rk4+dB7D9^<5>h~^7Yp+`L8KFyqZeb7 z39O#LrVL9+g+cke<*62R;Zw0%C@#pieTO(4Kg8jE7wsyMoP@{&1@Pdo$Qs^pcv_$9%CGl6Jz2OQ*or~|u<$bm5+2`R#$=;gpRA&S7Pkc0@l$PgUDMtxwd z_0I>bmY!@iLma~;a~yKv&qX>}LP|SMrQ>@>%|2WCihxv&sk9;}T+!y_qd)IyU=x>8ApL#j9q zWwKMua0xpl{lHFD&5MD=aA8p3KmaDOgaFbH1fZJ?0v>XV7OHDNBJUEtp$3nm4K@WMF>9a8U%sxo3+oKlS;~3fpafnM< z9Q-L)4F})rR2Pcl;LGrl6+i;%1d9*8Yy^-Pt;2nM@cm);_aU(jyWMG5ApuyeFr7N- z6vIj7=@SM=;)}Q{FuLw5g10$O->IkwIv|XsoKR%h;plKrC%D4kaCo0t2ZWG;c!Fe&qieMirfDG-FdcuHVQ`Pg;5DF zD=HR7G9>o%J0xE#DhPSz5_yD?r)Hky5mrz`9%1e)Vfgu- z7w+?%OXLv-pEodhg!w$e@bjlcxX*Jwkw+N(dXYz%&m#;!f1-pd&)&r6BU!@Om40C2 zLpyCh3{2b?9WewbCoUEaiaaQU4=iSkFil+Pnz+(6gQOEf6W3!@bjjkrufdY$UZ zi7SI9u5=Q_6IV6@NNn{T?w@+%zU(R_0NoI#)k>!rO!lBz>7&X+SCekH*d247u*?{WBrgb#1dA_ty)JUo1|a3q+_ YHTyBqm^Ms;z~w)qNWfN)*#A`h2OL&ITL1t6 diff --git a/cpld/XC95144XL/isim/work/glbl.sdb b/cpld/XC95144XL/isim/work/glbl.sdb deleted file mode 100644 index 7c1c0536d325fba33fc2ff7da853fdf8599074b2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 4565 zcmb7|&u>&!6vyARAP7upJ5y%*d)i`=2+DL&+Tdu7x-d~7(T#DTR%0S@<2b?m%egn{< zv3fTy>*rLGw723qkh*G_$HNy`LnH!WM^|JF9%dT`@N$oIm^K-1JMnrY|9}4?Tt>p z1V|nX6bX@}XWOOD&_Pbe%mJHWBY`bF19^6*XY+C}h$OJ3e-^8vWJnwk8Br35(xb$( zBQm@s5T!?nT`7@5nQ9V<(xZhk?lK2##+?MV^bC|bLz63cHgiw{TY8jT&9fPh64=tC zY`)B74l-wQN?=RRK&dli-s9OkCnl-{w)AMbdku*LA`?~uQF@fv=ZMT)2}J2pV!tCY zg(VQBM~MTD$XJ#@lpZDWeGUgT`mq2}dX!jmL_aijI+G0WHzGKb5YT^M#V}5p^3_v%iGFn)UjMt^sP~`5nB}Qdx)EKg9Nc=ta8JTIetFGq{SHv{DeAb!CrL1@sosXBu2x2qSYqn zoDUN*Yz0QBmm;BL14BJNlzh2R$1K#TNGRC|#djdK9FO2+1DDTUK5c1XfY}nlO+>=U z1}8{VdulvF>TbH=1mda5Mxtf2`BuxPL~R8|t6zzPk_`;s tCfV}U2u?O|`7PzsmKFw>Emk*m@C*r_B>c06U(Ac&*NS%G?6iOA{09U(A%p+` diff --git a/cpld/XC95144XL/isim/work/t_fsb.sdb b/cpld/XC95144XL/isim/work/t_fsb.sdb deleted file mode 100644 index 5bee2cfdd2464678c67390f66f5ac243b22bfe62..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9442 zcmaKyS&Lj%6ov1#|z+N!; zM{HQNYI4nqOE>g3Z{5DBcjVf|+vhf(*PEI@X1L|3-e5R0{oeehZOeOaPS0%ox;L1c z9-P}-z4WMN(uXgf(@RNCFBahA4X~oL^aJ1j`tAEa_}riWlqh)9D?jHH;ju-?7VP?b z$7kiE#HKr%=J$Qzjw>8nvUbVLh^eUK3suI{%sq5<|EixNyP{(UDLdJ*!<0Rtuyk_h zx^+h`iwm#p$jOXcRY+R-WykDCQMkJE+_htN&(1QFQQY4&OD1Uaq)s{eQrs4GVyix#6>N-Y=AxVg$7ZbcV9TXK#A1VV17fzUh^ zazGSvcMXK*sgPkPQOJ!q5Sph#Mx~IKfq~FG6|$oWc~KY$%~K(xRLD!j zKxm!{nJvUbDO??d=F^3*V>Cx@;g~?p8_2-cd|cF#BQCHG->S)J%t91!{o6TKLEE@G{hV?Fd2C3-RRT&r3yr~cwZFNU7m zRqN%{_Y=JsdM;V5ms5X9q8CHYU90tS>Mu?7V(7VYwO&sBWrJW zkM~th{f&uU41K(>a_E`sO+nwUFz%YcUfmLEc{hsT74L=|Yv5JqV0ekeGjwa>C6;-~ zwVjtaJ_KH3(d)LvODyw}V_o{HbG^i(*X@aySmq^XU*`A_^d%O(?nu1EGA}vSrLQ{I zODx{QI}GN&g3FR{3X_at6onU@^vvWIo9 zmss?=Kk*XFyyWc593O(d#NxajNW8@Giu00V-SuVN2NS&*`uN_IQ~yw+7egQ4n{w(O zPV{2v7nj1_E!7MAAV<#gKa%Lh(8u?t9D3&ZXwdg7ES}fLLM`W246pd!l+&xu!SE7` zXXx?7ODyw}YrDS8@geXMi)ZMG#7hjXI72zSn8A~YUJQMlp&ZYJ{;5PShCa?v4m~q` zI_Ucq7H9ZOsAYy?c*PmY=~d@oc!|XsKAU)nWnOY^*Oxgy1YTlshR-ElVtBB#NrG$CSGEhmt5OB#NrIMCSGEhmt5QRWsVPl zmss?AJMj|ByyRGyJ*;!R#Nv5*C-D-)EB^aU&c5Ca`hM{ji}TtRYMGZ<)|Z@K=JZ71 zB^LK^Ch-!>yyRGyJ*;!R#G==1;w6@O$=R1VJ_LP<#d*D-c!}W^=OxFw>&v!+cX^D2f{ ze80%C*Lc-A7+zxW4DC$3#4<0rw(~N_hrmlLdVQ97iDh1LtV>^Yu9sN!+Ld^TWnOaj zWsVO)Ut-Z~cj6_MdC9RZebu>MV$tjK#7ivmlCv*!d<3rGwSo9huUSgS- z9P83oo$DnQy}nAk#4;~A`!dIepf9oL^>yMUmU+psE`8OxUSje0+&77r7+&%BoSc2l z2YtWzi^cc+x1pBzyja$koL=ViMBpVB_wc*KODyw}V_o*J&h-+jz2NxECH~}Quzj*O On3?4NQ!Xoi-SjU6I-fZJ diff --git a/cpld/XC95144XL/t_cnt_isim_beh.exe b/cpld/XC95144XL/t_cnt_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/t_cnt_isim_beh1.wdb b/cpld/XC95144XL/t_cnt_isim_beh1.wdb deleted file mode 100644 index 042703ca4e54bd50f67eb8facb26e40a237acde7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7779 zcmeI0TWDNG7{{k-wchLf(yAwkHfn7*w_c(}lk9FcvD+l>Zfw2vn5Nqhnp=}i>jm*a zP#+WoeGt?>2x<}WP4vOrljxhGh@uZ(3KbEopimz~|G%>{Iy)hzf@mN1gKxj{edjm- zGiPSbIg|X@_tqm_FLvJetJr58M4ui>b{TtAJ@n1ZOqe+CfO*;H1UporCOQCy8CC1G}}(Rxd7|Njp+J& z$nJyb?}hS)sHw>||1(5Ubc&J{wy;u>VZKa`%;j>rd4-%|jN}YmgB-~xjx5{%$|(1u;m1g| z&!zFL)!C?=@mNTQYiJ21*Yabe+F@z@WOX`~FQ#**)$t=bzer;Vjb+!%7t=XQ4E^$B zqrb0y{D@9T8pBp+g+lO zolTbUBh6ox^G;+go$(BC&dMk6K#pINuav_&K4cqp4)z^6?^Za*6ARfU)nMP3^Ztb; z$3pf>)$~d=AIW)dz>;IIMAw!+a_&=kB!_i#6|(dZ_6vD~9G3SJ7P9n_=lBPCkDU8* z??PCvk5lJAF|bGEX*o6bISpAj{AYPa4r{+?HRC{?=O6Z{JS(TBFMVR6F3&jZZ}QD@ zSogQ-meQLS3F&&Mg<)tLXZr!+ESec?r8hY@cQ67Z&RB?;~_q ziM?47UH6#`S-wrM9b&gwmVRL&%ld}x5t~;;_Z&GEvaB80L9tsEVYvq^WLZ0~JH+-Y z!g3E-$g*~ry9u!aim>c6Fj%6S3-%qbb7BV-VX0vu%iaX`0kO9z!cxOR_9E3_9~N6s zgr$asY@{0O(_)K?uoug*kZn*6_Mq63BCOjFglwZ_-w-=wS+~y!*(S?=Aofb+ZAD%ODtsBN5K9n_BKUW<`N6pt5t(tCg^rWSl(4w z$X=rw>_vj^P=t--Sjetc4R*DlI~8FYj;ZIojnyGAwGqM#j$u#7nt zvTId?9Tn822+NpjSP~<;^~-w&cCVmrMcAw4FwPyzJCXPALxRww&ir8Xf!uEc`>0qL z&M`mOLhR#WnHN|h=2-fLHs1zxpHkskMOfwsTZla%ma&GV4dz(aUv%*o#4>)c%q0d( zbbVmVU|&^%Jp(NI*h1{$~8~E<1{vcjI zKK{pn=f};LfBaw0o%fDo-TWTr^b527OxAs;@;&nO^{JuR^2}`NzTwI8o>XRPWNxB7 zS(#1c#wxvYJ5$B%nwIvK)X4Psu2iKwTS-;ok;%&1egCLoy1!Iyv3+cOZ1SE|YscCR zslH-1&J+o$edU?4@u|_&?951NbbRNy;J7k1UHwTeXsW-3^6o-;uTdD98C5q;X>6iA zHCO3&2R&wUI={uFyVE78?vXtP!Rk-0STMO#(d4#e&0ry)G1KwfbX=L3HiP+moF7PU z$*De&>xpyup1es*X`p&|bE!KQmohb3dP~i@K0n)9^s_>-R87@^cuc)kCz41fl7mD) z-91eX?tzj;iW^x zY=OJ>1zgQnaW!Ac)vR7&ARTY(%anRe>CdCNxr))ssGYIe>9tchf0lJ3t$|d?Oih+e z-{e?jYP&6D+$wC~uc_Fmel=6|K@TGTp8U2oR4#T~Vk^)2zbYUK~N6@WAV diff --git a/cpld/XC95144XL/t_cs_beh.prj b/cpld/XC95144XL/t_cs_beh.prj deleted file mode 100644 index 5557bbe..0000000 --- a/cpld/XC95144XL/t_cs_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog work "../CS.v" -verilog work "../test/t_cs.v" -verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_cs_isim_beh.exe b/cpld/XC95144XL/t_cs_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/t_cs_isim_beh.wdb b/cpld/XC95144XL/t_cs_isim_beh.wdb deleted file mode 100644 index 02dfc72bd49658b7f414f1fff4b87fb5bec048fe..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7995 zcmeI0YiwLc700J>lBTqwX$U2RQm*X;QfRX4XY4dDXLswkacbjT*P$(39NSIZZq}~7 z?n|gi#Zw4Xks?qeD2hNuP*nn?AXT)WB2ZNcDg{1N5f3#;m4FWw1{H+(68^utGwbm* z>$RnR0db_`Gv~~2{&()&*||6QYu``4c;GwT`+k34^RwUlW8+VaxyoRtlfTBCy}Hu) z%Bo8Ioy~jKRr1U4u+8_aGJ`)iiPJuPp&@CX`@&TQ$Ff;tT;GB94d&9B)k*yZb(1?s zhcU3pIAQ6LHHqGZKden+Qr90e;KTY-JldzWtX-Iw)wxog`(Pd+|4!A>Ue3C?wQ=|B z*2t|7I&KZZwfpXf^an*5bjmCZwY^UDkE`6u3; z4BAu~YImYB+XX`L6e~Nxn1Zb}c(qfuhOXnDv$b2i+C^LYs8?IPrgDv)UM+2FX|Hy# zt+jZyFWFkFS37TOZC>q?t+ji#>(*AT+u_x^Y^~F)joaETuXftjy1m+qwzk`={n^&` zc(qOX9kZr;z1jg=+vnAawx&|m&b_ccX|s2)u~m0oRGwxPHI8M!>Gl(Q-o{!id(<8? z=0Y8oz3O!5Yp{d=x-RMQ*>!e<)S83OMs-+z({2u3D_{p#z}~+C_S6d4vnybKP>1#R z!z*=I_Je!I^L1FBg|={F8|-^1d~WU>;aqx`WpAy)y0eLB5p%Q#J9wkLlFl&B8f?4< z>&`Wz(=FFv-F%6TJ>{|d2BWAkinw4si>_#F`VZKlHz~5pACz;Io8{cjhvXcWksRxi zb5u6UIaZqF93{>2+vHp293xDRqhr5qpPpa$$o|Xa(YN$D@D0`K`RDXJw{9@z7aJ?S z;XTH@b))8@h?Cc|_X=RXIL3Xv;hvG&uBpqIugSkI=WFHtHYy~>dayQ_`}|bOscCW2;{;=h>izF2_q4Bh?;N@iTICKCIl` zhnmS)#-Zh2f3DxX`p6^sC273L1!UXg)EVmxecCSa8p8Y-DgQfju{sBovmUi{xCVPP zjFD=qH1K-+0DF|z)8U1LjvpiC8#VA|t8-9!Jsmzk(D7rWyio&pSe=aWdOF(HiH;x9 zNo(M4tCL-Z&iky6AJN$>jXSJPZW%i4Kkmzqk@6$b7_mCHE2p{C^J34V}8FKgfvR;N#SJ)I^o==d>G{-g#zWp$>M zv$boj4}F|9VT@EeBaNr6&a`Eg(n(v!kLY|$8qZmshnAtkXDajYW2F3t(s;q@%vffr z`LtTbkLbK4jaRMC>@sxt^kqJNjFkUI8n0WOvSpT<5APs!{K)zLtekfq&(axZ7SdKe zd6&U{Uw)Gu*6|^`ReiAM<-9xLxGom5+f;-7vE1!zcg>LHtcHC_&N+`RITo@XQH{0u zr96_uk`tY|>u^8xy(({%!>pBK=n@^v{lNZO-Xw>0pJXA+{lLB^ZetF)-<0R&uBPUqHKPuP|4m+yyPBGBV|i!5 z{zE=2hoy#vbKt!I`)~POa#(5@EbHgy%X=5LK?^XV2ulqMbvZX+*NZ)>2ulqM*^R2f zZWj9qMc5nUSjh4j1=}q4ZbeweVNv?AU-9_(ku9Sw}2nn^c4S zk=O}ESk@5>*=E&XUlx0xA}s5Og)F~a*o$J1E5h>I#zL0!4E9fA?^lG41(g(GSsN^5cd7=yQhoVcoXrzb4^PZY z7N?G@ zev^^bK&5#{p{F-4WEW+b!;AX*{Om~H&xZ1aN~#IOlch3~NFtL+8i{_UXRt6ll=DXF zK5}+wLgWwi-R|eZgV~u+70wk=NEy>vLA8{)2g0ax=? zT+NqqHLEu?kcmh7vV}vYP;Jf4m5m}Y+|sIw1gd#k+>%VG_{ivEvvEs%-0G)YaT{r7s#K0! z+v0YAXlqB@vC!EWcUF_Obi8XJX^XoSlJ>ZpqWd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb b/cpld/XC95144XL/t_fsb_dtack_isim_beh.wdb deleted file mode 100644 index 6d28f5b3f8cdb7d5ca63402da0c0450bc9dc5ec7..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6370 zcmeH~ZHQde8OKjd8l$x~YSg}@dUw}o>Pu#4UwjSi&dy9GV!#5I%I zZFV+RDcBFCLJ4hJC@pOXqM%aRQc6KTv?5qANCSmH38EkLgNR6tB0}+l{(twLvz|S1 z+!chj& zS!uk*wjZ|fgU{HWY#1}~oH3`%XDvmpajaA@1_nD@-oI!}G?wxocYX99YZG?&$#u!N zP@A@6F1ld#dYhkLljt#*|Ag~U1#4WpXW#m(HAy{A{WGmg*ghVuP5K#g;>3wHfBeaM z^T-d=HP_>tk+@)Ub zmp1n$FZVZ_`?8liZF5R3*@lguPp;V~ZtiXmyS9Vf{voEj%9uaf&XB$559cO5?2+`! zm}k4NZVs?c=gltc$LVgg#c^ZpP_y@B`fSWMvdQgT*rzM$ELrC-SoU}qcH)xsPV51d zG&NfgdcGp>>+9)@(jNaa>gkEjQR1sMxml6Bd`*tRWpX4hm$U4VoTcuOv%I}>mb6dK zZH}JaaQ_ScT4=nh@wy5o%Lze~}#-X)UPM_=ly4Q|$eL@;9awFLlayZ61 zL!WCGhi!)+BjsL`#yeJLL~ZKPO^16hw=hP^y@!Tf%bdOZB%SlbpyS6#?RC;P-|CF2 zz0!R6%Aw=ONbL(X@G`5DS9>KLZS6$IkLdJj;I&pqzl1C5TxfOth)%yWwp*RzDs(Qk zI(|fFL>jxT&V6cA_HK2ikMB|#Bju{nxXbDsu*@gv^jpS{=rp8p!0NPCp_8?YAJLhY z#)DSp;3{+mEaOLX9+JiptJ7YE&X8sNh|W)?am?z>twLwJW&FrF{H&Zan?34`?`_u>fcR6Q29P5jP>=nwvo|Nae2 zoOxfqTTZ>)nU5|t!O3&J!SWDea&qo9BxK?6wYvGZWf_l!EawyK*h91B^_DA+f|PAS4tb1Y;zcVPc5c3KgZ?=}{)S1AX(LC}mMY$V4*mUk`KO9eF* zVSD6Q$nqWrd!?X#im<(MEM%`y4)$h2`xRlS4HmN3DhE3z=w3xwYJ-LBb;`j`37S=e z-6F?AcAIjrhXw6Ygr$xuVPeF!a`K!ju-{jsVsq3H#<^oTYuC$vCKf&N)DdG2wEZ!# zzYq&UA9cjKvA-63wfbR+m}AwIXmkFf`=}Ds50;u^-Pk9@QWIFlV2*Y3r4H!+UM%lB zu+$vu#{Nkx?;^11V~!<<_IYx&pAtK$NIoOSV2SEVSo&a}QQ`(g+RO#(#y%(Z7WKpO zeuafBHADBf*l#MrGH=YW;K(4@O^db=wJUgtf_Z~v(G*V+nRc^ zSHGi}zd19x&^)w|d9cxL9>^5trWX%3+nt3>X|}U-aVk?QUe`a^pP8O-&15>wg-)gu z?^~FPXF84P1KSRNs^L3oqv?pfv#r_ocQe_cZ8v1fwPIYT5i*CHhh|%I`!frNrZf9n zQ!T-9XKp_I@NR4T>vo}@%kMJn+b41+S02md>t>XXJRdvq6?NrmrrK=GJYdSXe9fSo zew1oeQ>xcYX;0BiR4WBDA1}_worCjcqEd+~N`z+>1uJYW3)v+S~RSS39)Nl-skN*+wfK9*p~!?UG#U{>B3f zaW<&(x)o=ymvpkX678WI erR_CulK4l>;eKf+&7~O+NPk)8`hMLZ$$SU|WC|$& diff --git a/cpld/XC95144XL/t_fsb_isim_beh.exe b/cpld/XC95144XL/t_fsb_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/t_fsb_isim_beh.wdb b/cpld/XC95144XL/t_fsb_isim_beh.wdb deleted file mode 100644 index e69de29..0000000 diff --git a/cpld/XC95144XL/t_fsb_isim_beh1.wdb b/cpld/XC95144XL/t_fsb_isim_beh1.wdb deleted file mode 100644 index 018d4cb4c02d9ec0e219e4fb0f436320ac0bcea4..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12116 zcmeI0e~?vW8OL7{6a;e-^C#L_z#kxR_wFw2uA;K+y)G+v*>!&a=F}B%k*($K&Te@!SWcGB+7C0wCZ=pkYlgm`bKZA5_gxNGC;w;~ zp5eLAd7k%kzUMvf`=0ll<OqKnU*;apfvVQCid*hVwIc5&D6PV%as=}Oh@||yw z37=V>+a$ki@HlYwajf~r?a}kCDRRe#t_#;Z;@ zqc?!gwY4#^+V55(B zc3Z28)%Mz&N+Y)Wq*j*J%CR>luqW<}=7K%S z&D)m~Si5(H`W(Wo6~`U^@SzVL0$_5Cj4%6@m|n zh?b5qQ+3QbI8{!^Pm^<@56PMHK+g3l zv#hf@;cQ4an-I>%gR|M-+}yT(<2OEV-(xG^(ntCo%FDVsv+yKiuGJ0Ud*vI|*=H4T z@^TgkxYRl<<8YrHbaKWAV>#a|e?ZRP5V~v_6+&Y??K2kEVQBDUpxPty$8B5midABb zap#)Mn=`J3#bcnnMi;D?bB(){#|<5{nOn5zAGhVlK(*&p+$%?i?ZDlK4#Sr_bIu&| zh|uQR9ZMd_A5zDkumi??QjZPgsIh2OI~I?D@;%bnYjx7f%jsM#28+i)`AgFHz168! zUQTD57%UzGlhZ8%#G#2#)mb6#bcoScxgt1J%xyMvc|EPI);UwkLGrF;HGF4SmyvcD;Vf>6{=2op=nCw@71|)ww}= zIUOEvbmB2keyKFpTAdq}m(yV%L?<2thqF5Q9!U2FmY|#zR);CgtUHc=pkW$3Xd`(s;`1d|r7u9o`Y> z#ABfRS!ujrb#7K(PRH#n@rcgPrSV&<^M!Yzhn+#Gn(8f%3F8 zF0eYcDKDq9K@2+a7%0z3<6^6`Te*8T=`b|jM;s7otC0IKP;HqsF0(pMTgIl!O5eep zUnTcrpjwYk>bEEEvCP4ASU2dzW1zgKlkESsnP)6>Fdg1a=)_~7{2HCK&7SzIWe%pp zI|ZG143yullkTx6{>U;1)8P*RbmEb3fL(IFC7DZS%nkF8V>9Kjd*oB)u#Wdxz7b)6 zB6oW@*TsC6Z%EkZ;x&ZBhaSoRl+ft>bnk>ofHI$Du3*QBb^AWwBbd)JSFn@B&QzqoACO}{ z8>j}$e^kvU`?6=Ch4>7!WY6LzWC`z-7Ju0fY)#Pyf?gk3K7o0fHd zFZq37K4Djiz2CC#?_Qr}j$r%5?yxNDAM;t}2zH~`2NbzC)<5R6^bPhpu@5T3vi>oj zrEjpeiv5-%EbAZhS^5Thx7ddiVFNklv-A!2VX+S@!dA*LpQUfi-7c|@D8f#YW3WUw z7py7RAB+9ABJ6ZI=CiCR*q@61jv_4UAM;t(6zof4A60~9{bN2Gs0RB-v5zUjvi>oj ztyB&6ZL!}~gk`V7e0G{@uoDD*PZ5^A3iDa+8TMpBk1N8mS7AQOJ;Tlxv{MmwmK^h0 z?gRE*LEl$|Wv{|~mivIcNYD=yVQ0%RpXEMaFA?;FBJ3PF=Cj-f>{>xTRD@-(!hDv# z!CockNkv%pD$Hl;8|*egyA)x0=V3le-(a^3dP)(NcOK@m^bK~Wpt}`edFN@$LnFHN z%f14;N6zrOJd&?yHM@04RS1r{fpQ|YKNuYSQ7hpu^F|) zo(m{*teV1cV=m|~AN3b1!g7z8W8L^pPz`pRpv8)?=gF}ocA}t*)DFvdU`gy`K{>U< zUH~XdVviTJRPC?}<(Ols(P#Ew`a4yHHbv@S|esU4PefhDo+f(mMfT>>ccS=JkUg{w+i}%+F@C5SQ2}KpsUml+X*O3Vs94oNwvdv0m_ot+XP*$cGzw} zSrYpdL0i-g%bI8Gc^)uo<&TKD8lmG(LatcB028{)}=JI;D?S6jl=u32KXLw>neWye(4|5`QKTq zd}m;GzW4h%-wT*)cl)NxY2*8W_e|3{sqXbXgX>e9R~C9cp33yE9$ME^D6UU6_ZAlq ztx7FlIXEzoG_)ML*4*9j3Xf(=@Bv-jU0gf&9=wzPN6{wC8g9 zTwBwUX4Tu87v-CCi*lx^DYv98I=rZ>u{qzBDao3al(ei0 zX-#Qb8%w)8vmM-ZT)@@hDy|lnay6^h(bkk--jeBBY`R8{hK7oop+%b2vQz6;Nx~FI z>mghTtO*vwftFgiB^Ig=now=f#WhBf%J^MlxQ3E2tl>gR_+4Xk&FrB5Rhw+MI$PSb z{tCUt-j#j%y1IOodj?!BT|Gaa9#yQZ%~y{q&P(TOMiuL8^0ltm*tc=zmFx5A8eJwF zQCFzbCE|tZ8p(%+r9H(=&+5K>b%V4^Dm7ISF0G{V^SnxRetuN3SE{Mc*Oe9;^7SQ! z+Nyj*X`w!!F70k@Lq1*F-+3A%+u^4ELQ&0Cy2}!obUK|++YYBxdU#Yn diff --git a/cpld/XC95144XL/t_fsb_isim_beh2.wdb b/cpld/XC95144XL/t_fsb_isim_beh2.wdb deleted file mode 100644 index 8fdf9e6b70a1ddbd16159395c405f690008160c9..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 7446 zcmeH~O>A6O8HSG&O4Bw?=nrY3E!>II@|So#&e)0jhsQIMv72dPX2!%4lpDu!f~hlh z?QznS&;%r40fGuvh=5Y5Dxr!fi@>6yh_a}b}mWzW;jW=f-px?6KspF=OvaA6BnO z^{6fX*6Q2;W{Y+hb8Kse`Nc~b$3rVpRo4~uxBH$|=4^9iGLY-^_v}A3zO^gN$#G+D zeq&{#W5?2gl_cc)Pj)6!TC{XNC%)2QcE72)erjc+C*HF2)r6TZYcg=$q<(E$$6Q?< zYezjC=GU>N)Fo?c>rS4>~UYfx)%O^Hs@TcM^;_qg1OD<7A|#!AX6>{c&!#Kvy(Vkd3v177SU8~dOaGa4v;toLFYZA_s>iylws#X7v(M%|gFy>COO zu1SiVFLjyNDXSrCdRHekoHN^l`PnioXYeDId9@Ae#=w5NykEBq8;-&4*?{)n&F$1O ztiKK~yaV=)Wmv|No-|F&KDhnq%w!@(|CL3VC@~h3E}H6ox6uaJ}H)092qxLqq}y~#yhE!RaFDfS&zeos!G53B6vp~vJWOuP5W zKPIQn)o=95k)AUec$ZzU57|1}IwL>NPVcf6zDA1Wm1Dr>sjAFlyF84A%P`bPv633@ zuzBuRdAU67F?oEARIaIEZ1d=bST+x9MIK)xl_!*A*5=u%GLM&_mhm-GtV8$Y8hclM z@iOw8|cd|UXFz9JVBOzZBMeB84n>JZw$uXD!S73=3I)yRf^(PD#nTPL72vzYEx=*w0B}Z<1pn z%kKjAh}Z|Eu)GVgkmYy5-hEB%v=r8TFTtv~xEIU=_S<3)NMV^T7P8C(_Pb)6Qds7T zg)H-ceM0Pv6qfm7AsZcu#5`}S?+4sUO^8_VY#cYkmb7;c39A1DJ*lqLUyBKun!1&L<-9su#nxP7%czc ze_jg99I%k>QVjOXf*z2wNwF~0F<-15`;=Jb35#NmWn6CDA6*R1RSk@T}S>BP1VV$7+q_FqOv3Bfjg0`z3mOa5jmfsj>Lh+;c|DXT6*MYm( z?X~~>zr63>w+X+2x5KiQ%#`H3?~8Y4N9QN!=ChBCHzyy=mS!dv4oo&%^V!N&YiMCl zcGvja?527-J25-GH#=7B@6F}&W7TYHa=w*q#rx*>bRB$WIkwdY(FJ){IoEadl^Ldqwe`mBF}D9juz7`q`O2-q$Ep;znsnsknVf-LPM}zwVc6^+sCM z0OF};i$NsHAd-h9f3Yyq7_F7PmbG%dY?~%TeQ0=_UmhJP6&gz#jP7WZU2kcVay92= zte2}jON*PA7V}GbIOrbuv&mN9BdpfN4%%$femE4@+Xbm@~T2tfGac_UzZBJfd`q22{`8e0B z2tzE+G+S}5FU}>U9h0rnfs>ODEjX|Z2@Unur=tLLP+IGY~zz9iDut^Sk9KLP7U%|HME diff --git a/cpld/XC95144XL/t_iobm_isim_beh.exe b/cpld/XC95144XL/t_iobm_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/t_iobm_isim_beh1.wdb b/cpld/XC95144XL/t_iobm_isim_beh1.wdb deleted file mode 100644 index 31fc0df4794f866a3b5ecae01a1ddffab60e2079..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 12289 zcmeI0dvG099mkhPq1YCv4|_+k@v2|urT>eS$}zXidOYO5NI`(TfE{qn>h=pqY(N%F=u zrvw}BJ1oi%*DpNGrYnz#YA{0&oE9BJ(SFcBIAg%XWRpy79nS>OT3|hVnBBim*6Q&8 z^c-p}Z+QD7`y%6^#y8(NC1{)wuWO!>H%~or#5#ZMjgycJ&~cBqeQw+ba-4YPTI@Wl z)3%?xUOXf?5YAXknkdtdq8&bYXf$5z4blFo;`6s2 zO*xpP`kv;KMvXDov+ENso7LK#IXSvFuE#w_b^RQBsOHw}f6U*mXJ=5hrZ(NFebUspb9>#JOl_7^+iq$pr}m1eWt`f0JwjYp!@gIq-qdnV z?Q&DQ$f*sPT8mTLVQTZ7+H0nkcWTG!UCDLZoLZx)skGO2g&&KKdDq2H^}hEn=ezc9 z>?Q;FEbmH;$U4Ec#UbjKIgA3&AV#$8j<__6-E&9WU*4sxQS29S7{%KD@-DXb_HqJi z-^H%(>t$HZvHi7^>yLwdhPbyLqc`3xET7%>-S~Oqd95+*4s!vY9lnzluWuCX(L77o zx6DoYZ2g3I%-Fl_IBZW~*FJZ0q{Y5&+1&}O-QS6J9A11M>?;ZE(1Lgz*aLZnvDe=N zdwh%rx0{9GkHhdaACCxh^~glF=RL4?e5b^phNIS*)eW>48ziVtU^_O1@pZLVYrBZ7 zqYTS_ZFSd{VcEmYPz6H{%RW$1QBfII^3PoOT|*d#0xJ~4(e0I(%4+1TaEc+OD6*(| z=2;1R*U}5t9RnQ7=}d*3(VQx0w?~RuI$h3aoFQjjXh{A}aQB|gJAYX)bR z#@U(iD*1Xj)*{cyuOpE$D)Zz+d&aKWxN-Z^f9WrZZ!0hB>TKiWAh=#Pj1SA#=w@~+ z;^gHl6mY3=SjOpIGh^h3@gqA{zE%E!oIiMU*(fSR#&|RKnEivu9=kDA!VdhX94>y& zl^A1QxTbxID03~$jiK@yU9et`&L-uqp@TMaj~4yow)_~X_PmP2a&+pH+xyUAz}F>f zf;CVpr_HuomOPX{q>evs28{Wp?hIw5uhQPgykl+*l|Ljb$UL)FfbMvc+AL3ueH)&e?i43*bQgTG3!FU!m6uxFv;#!z{yG!_}1 z8F}(fyh z1RXbq%6CiS1*5Z3c{v@sx403V-$>(+M(6AALC5YbZbaubY5d#huosl;PrVrK%Z;J( zx1@1|c`$EPUQTDF7`$B>VNa+c5jn z;hlnx8$;!H=%mf&#Ge~xe>&$G#*KUf?3DAZ$y{1vOqqWin=XfC{HDlZE$_2@L&82M zw|hAK$9$G=OxWk;cAvJ_^jW?|VPBBjz1Td?qjYB)_IHY*oW9zz@pbv0MfX*Cg&dae zEX-&5=7s&Ue5xGwNIB-StV7tp%PZxuW9697vesb#DL-8fOOE+0>xsXx-jbgohb6~g ziFOQ`E7~a9_r*9JV?N7V!5$_-`%V}SDDzq73U-WGyYEi`l=&=k1j-v|9P?S`3U-zt))DM+a?EF$E5`nOLEls4`8i&W!7{%%b>_YARqR$p*!cgSN3+Z)?0m8J8rJ?@gD%gA?Jx5QyIAb^4Qu~i z^83Ji!Y&nipJDCa#Xid%!S;#0->|HI%x9S+*fnAwP~_fN|CrCxH`p7*KBx%G`p0~h zzQNum_6LfvtbfdB=^O0bVjoh34ds~6(l^+L#XhVETOr4MmcB7}JH>8OgqK|fQ3ogv43mivIcM9|}kuruYD&vGBIR|?vp2+LlD`7C{d zy++Uzim>cen9tHT*dakX6=8YjVLnUWV7CZ*QW2JS9_F+34fZiXcPqm3&eN1fMzrgf zeFgSuL7Np}YveH2Ejv~<_MTr0nypBkZz_yFkh|x={$4EK|FqS~u_X2nV$V@KEc#dy z`-<4})DAlbQ0B8^RHF}n5qp6mEPcU}*w@82svWjLjwP{gh;3FoEd9ok*#C&lsvY)R zK$&IL6pb5mL4WzEzeo|5d&Dej$M-1JV8;lWs|b6(97|%y3A$MAu#5+m#7-2HS3B&5 zfU+d^1VQuF4%;ZlEK7|(v;WfHDJrxpQg4!DKFj_KTOp`J5w=;5`7G}S*fRtzP=w9M zF`o@p<9#??P^Tg+bArJV?OYtLW7wI37AeB!Cu&fI#iR}K?91g ztRXCk{gj}q)DGJQD6=dz*3Ni2x|gf4Mv*$}4f9zzyid?tMOfAwmc*6>U9EQ54nSEF zyH3zLwZpRBuq1YapwFuvmi2}uu{R33M(wa&fU+cZqo6OS9kv@#mc-sJ=vuYIE(DY% zv3CkuuXb40JY&!EfKel_lrz3}sjxwj`eHfe>z=L}>?T29RD@k3$9$G=8`v#^zN83y zi5&CUlxnc|3%X7bmgkQCaf}hkc{i{wrLi7Aczkdi4%8apd&sUU_xM2RAJqBatW~}< zFf-rp_&MJTm~FTFrp;;N`+@gN=A6{R)jb2NQ|p!%dp?`W_Aeh?*;6d7PPO!w<_<1P zEnYgXYGzk1wR~0I<*6l^hPrfZ?UH<|)U&#jDiwPBm#v(2)%z1^ZEtRi&soyj*IQhh zO4rVslWOhC6|!A~)KxtLy?y;xq*f0sPhHWstWR*E)W0hJiLV)$P;BZ7iutU57V@*G zttlur^$(WXb3rj1$EJL)N=a`qp9@;sn=;+{ZO(Oe2ATBiwjfjArlBZiyPGoebbg1< zj~a`exh{d7xdr;=08R3WKW(rIo9Kj(on5 zZ_mtYQN6vTxzLht&Ig%HeqMWgcyV`AOQAbEBFoGh(bno_ZC!5G+0`AV>Oi5lSfUeA zq!ZCWq@QW(=w8^FbI#OV<;yk!|W8al*p+-8p-;_>^2e?I?ET^3@~L znvrR(lP>Jab#m9PfUUVIw&qINn$hcQ&lDE7X1nJG-FuG)2TPivX3c8ZskN&lVT$AR z5Um8(1dHL_mRh+b7O4*ek=lTbD*{O><99{CHIzhQ1s77n?}|Xz%nj&Yb&!izXKTCG zU$M8;yR@$`XHKEYJ_ELvuAW^;k1E#I7OF=T>(YgqQN`JHg<4x|>RYq)>eYpGjV=?7 zs4dLVCEP-FjpU=k{GL*_XL(by#IVRl?GSE{Km%o$l|DAbQA z)K(Q5Mi%M|>5<*7Z78Hi_P0)BWICMbFP3zI5Yu0KkLjvH+H`ntDP2gL9`7w_C`^~_ qt*2*exT4)5(ymp1+_XVMwXe0VO5+rzb^`0FHBKWcv#T^tQRV+nqgAB< diff --git a/cpld/XC95144XL/t_iobm_stx_beh.prj b/cpld/XC95144XL/t_iobm_stx_beh.prj deleted file mode 100644 index 8079685..0000000 --- a/cpld/XC95144XL/t_iobm_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../IOBM.v" -verilog isim_temp "test/t_iobm.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/t_ram.v b/cpld/XC95144XL/t_ram.v deleted file mode 100644 index b8a4ac9..0000000 --- a/cpld/XC95144XL/t_ram.v +++ /dev/null @@ -1,314 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 07:47:59 12/11/2021 -// Design Name: RAM -// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/t_ram.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: RAM -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module t_ram; - - // Inputs - reg CLK; - reg [21:1] A; - reg nWE; - reg nAS; - reg nLDS; - reg nUDS; - reg BACT; - reg RAMCS; - reg ROMCS; - reg RefReq; - reg RefUrgent; - - // Outputs - wire Ready; - wire RefAck; - wire [11:0] RA; - wire nRAS; - wire nCAS; - wire nLWE; - wire nUWE; - wire nOE; - wire nROMCS; - wire nROMWE; - - // Instantiate the Unit Under Test (UUT) - RAM uut ( - .CLK(CLK), - .A(A), - .nWE(nWE), - .nAS(nAS), - .nLDS(nLDS), - .nUDS(nUDS), - .BACT(BACT), - .RAMCS(RAMCS), - .ROMCS(ROMCS), - .Ready(Ready), - .RefReq(RefReq), - .RefUrgent(RefUrgent), - .RefAck(RefAck), - .RA(RA), - .nRAS(nRAS), - .nCAS(nCAS), - .nLWE(nLWE), - .nUWE(nUWE), - .nOE(nOE), - .nROMCS(nROMCS), - .nROMWE(nROMWE) - ); - - initial begin - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - CLK = 0; #20; CLK = 1; #20; CLK = 0; #20; CLK = 1; #20; - end - - initial begin - nAS = 1; - nLDS = 1; - nUDS = 1; - BACT = 0; - #30; - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - BACT = 1; - #40; - nLDS = 0; - nUDS = 0; - #20; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - BACT = 1; - #40; - nLDS = 0; - nUDS = 0; - #20; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - - #160;#160;#160; - - nAS = 0; - nLDS = 0; - nUDS = 0; - BACT = 1; - #20; #40; #40; - nAS = 1; - nLDS = 1; - nUDS = 1; - #20; - BACT = 0; - #40; - end - - initial begin - RefReq = 0; - RefUrgent = 0; - #10; - #160; #160; #160; - RefReq = 1; - #160; #160; #160; #160; - #120; - RefReq = 0; - #200; #120; #120; - RefUrgent = 1; - #120; - RefUrgent = 0; - #200; - end - - initial begin - #10; - A = 0; - RAMCS = 0; - ROMCS = 0; - nWE = 0; - #160; - A = 24'h400000; - RAMCS = 0; - ROMCS = 1; - nWE = 1; - #160; - A = 24'h400000>>1; - RAMCS = 0; - ROMCS = 1; - nWE = 0; - #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 1; - #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 0; - #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 1; - #160; - A = 24'h400000; - RAMCS = 0; - ROMCS = 1; - nWE = 1; - #160; - - #160; #160; #160; - A = 24'h0A5A5A; - RAMCS = 1; - ROMCS = 0; - nWE = 1; - #160; - end - -endmodule - diff --git a/cpld/XC95144XL/t_ram_isim_beh.exe b/cpld/XC95144XL/t_ram_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/t_ram_isim_beh.wdb b/cpld/XC95144XL/t_ram_isim_beh.wdb deleted file mode 100644 index a76f495c9f933c5070febc30976ab018a92839cb..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 14450 zcmeI2eQZ|M9mg+%iiiq`iZ9a(#iKf;a8kdobWSZf^HnTWg0yDbJ=yc!Dz2}tE!*hAsNap_V zm;9dJJ-_q0-+S&k=bn3RVNc_;H&;J6_xxQ~mOQfcPm`Yuf)N3>DgF(D9mgdHFN{v& z?BkR8u8E%6pP90awhMI*=`9<=-_i1RS6&swMY7mgUH=Q`A5$BrLA-nPL#XW;mw~vwUzmD#9&jFWi|cLs*U03WCMLQ;P_+K9ha~O1WaQvz+kf8Z zIB(jS^!1uUg5b}3E|@=N?$oPjueHq6vTfmc`P~11`han%O*7TS&y}M4jJacetXVJ6 zDaxd*HA6br)pw6SjpnC0*KLD)e6TcmzI@kpjvenjWA^zRY8?C=kLT?(@VpugyKdRF z%P-sfvj2gW|2`g4dC%eT`&+jb&ugN6Hx!(=1=g{6PHTb!~f86;zuXZ+m-#>^-gZO$u&5+r6$+t{bKONwuEOLNJGo^h z*X-o(Fu4{d_q54f=;Yosxtx<5rw-=2c_%l|KU*wwLb@+umI%tbK>`J<^fL z1|Oxcg~shMGwJ<4bK7g5i>K3A%|*&U-HC@M&&qyt@>26w(_Y>nL72ksaMm4dvtp|r27AXa*w<3ny+2LXzG{7| z*fvZxH?`lr73i~`A+V-fgIiMA9TT+alJSjWmb_ql3fr>vPT-5b>w)+JAVNExTSL=p1=q4%@amvLk6p%L# z%VexJZve`6B zJe03j!#A1^n`QD&SMJvre5GM-3{}2O8k>zyMrHI5q+`Dn+=$LrX*_0h%7&oxp%~1K zp~^pzM!(T1SGibwjs%doF;w|QY5dOUT%$6FY4?SMrgnTSH-^gnUMIe3bh=b7ro;CK zdTtC=eoH65YjjqrTueugSghklbpFYSqvWz~c`+RxOWNbcP~}n5INIo}R=JoC>l!+4 z3{{>WjZ=(HkIKb#SP#*0W2kZ{jcG<_jmpJzct+51W2o{>Y0NP?y($;e;T&|_$niXB z%r`n~RW7D8MGQJ_3{_qrjaH-6r*bi!5;5qwF;sb}G?p8kbt)IrnJNYyH-;*<!P? zxmM+3I=p|-abu|Rb<()W=&V<{m=5nJble!Ke5*9>F*?_&TujHVb#6rGUTHjJbgmzQ zj$P~Ah|Z(Z__@)!VF)@mi{ZZ97^?iNG+s73Us1W(ecdVs9XEz5|3(_G8=V_fE~az4 z7Uv1sIK#LxRBn$nJ}^4GTZ`#1PB3l^RsL8Sqs@Z+h+)#! zXU=Dw{1_^ClukOqocO3=4y41o2^}|vDxad0&N3%HW|#x%@P0@(4QMQ+z(Gd=ce!wkdzRxy-wZQD1#F8ft>2g@g7%b8DA!9||9=TmtkJK^e z;Fx6@E7*U?&yZ6;R*w0)j1}zr@-yYIN69gtWvpO7ke?-o_|b(BUsiD%x4)Z*wKQRN3i4Nn9nj+uty7G9>GqKV?N7R!SWYn<`FF4R+!H+ zRTf^Jvj`8iIG!7^qzdBzjATebCH5PJWgm+9 zx{N36xnjSm$hFvOVm`}w!d8p@mLjbEu7TwlvF&9%VH?G6QiQeNOTI4S37Z#tr(s#E zFrQ_NU@sT@ZAEmM|CrA*MzEb??^1+i{$oB%+hEs=y;~8M`H%T5ZG*i@>~|Dlng5v2 z(l*$;#NML_8_F@CrEReHi~X)5>|{CSv$Tz|ds6IXMc65F43=oef;k2IwAk+{!j{M} zpJh(L_KUq&5tjLn`7Cn^_GPi(SA=E$V?G-y2m1%HTNGiL|CrBCRu1+Zu|H6RWv#+| zc8YSa`j1D!Rz+CWD$HlOXV|fV?o)(it-^ekdxkw#&^ATbX>!bGxewSGg6>y@Wv#+| zmivI6C+LTYu+!z3&vGBI3kCg15q5?g^I7f#_F_TX6=7McFrTGuu-$?lP=sZz!hDvt z!G2B9gNm@c^Dv*KZLs$UdPotLcOK@mv<>zVLANTx^3KyR#>YfEe_2;xpAvMNsV`Tw zjE!jU?q$Bes6wS88tkbs+CUlJo&)=e*eXTpIET7XrtGt?ik)lfW-DT8x;w<4t9o?N z$I@8-)$2Uf!_EPerLnJz{gUcoX$zLd{!wg=>S50Tl=%Pw!!KiC8$~Tu=E|*rH?3EmiIAj7^mcgrtSho%-6N-abokTM<)v?^I6t^ zbWal0rU+Xr$I{r-1T9fLEMtPDu_b~oQa$W^Kv^0)P0%H(hh_Y*G&UpXGS$O20Lm;& zjy~jl!M)8<;&Mguj5X%7>_K=Joi8X-gk2!VV2SQnz-9$4SA=C=aLvQy7&*%9OJL_K zu|ko2lN__UmStZ8yHJS^Me@uc%xBqW!nO*!QW18s9P?TBFt8U3TB!)je8YT}{(`+i z&{c}CEpp6f;qa>kbt=L#H!+_*Q90N(g05DC&B-yJ<=w!uq5N0z&yPRvhl4c-cwgIj ze({uHD=G}nD=(CJbO-Q z+uDxawWT+-cXwPX(BeQQhWJNp{eT~WHEy|-sZzOHn6PuGglrP;ZanTm>~ zO{INNZ~Lm5*ADOWT+O27l%<_ro!!@!W-4Y@l{V(8GB1@*1@pk691xu)8n zCtBAN^{wg&TAG@orsnLzdgYtzFNo@!E@%p}x@L27xS+MBK5DHURLU+KRM+U17UkVi zF5j9I)qto|O;RJ`NF(BdSU+3S(%P1*bI#1wzc|27t041E1Y6mzAndIy8<@ns@R+>WphR^*PM-(G}g8@1g!%{>(=!# za>E%~Gjj$Hus@X!FpG4#+D6TknniVslR1~m2hH8fJ4l&{S))FpC@^DU8Pkbr%53Wm z8oN9DI@`OVs;X#~nbbC=2Q12Xxw6VkROaVqS4HI}x45IPwqto$R8}rIT{sv>phY6P zy1OqbtCGsT(j1+?uQWHR*jFl_6;(Q=Of=gml|@xfsUn)=lq#dSPHA?ON!nA>wZ8rO zwNd$;D3i3uBr3|HOwuHq5RtUXCgw(&q+uqJDX)w&PUAA=RZ+%iUZz}UBn`B^keRJ+ mi67#)8!B`Sw>Ve5vahysmbxV_+7YQNQ`Zd2%%*$d%zpu>D=A3; diff --git a/cpld/XC95144XL/t_ram_stx_beh.prj b/cpld/XC95144XL/t_ram_stx_beh.prj deleted file mode 100644 index 760883a..0000000 --- a/cpld/XC95144XL/t_ram_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../RAM.v" -verilog isim_temp "t_ram.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test.v b/cpld/XC95144XL/test.v deleted file mode 100644 index 5cd1107..0000000 --- a/cpld/XC95144XL/test.v +++ /dev/null @@ -1,150 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 04:40:18 10/23/2021 -// Design Name: RAM -// Module Name: C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/test.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: RAM -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module test; - - // Inputs - reg CLK; - reg [21:1] A; - reg nWE; - reg nAS; - reg nLDS; - reg nUDS; - reg CACT; - reg RAMCS; - reg ROMCS; - reg RefReq; - reg RefUrgent; - - // Outputs - wire Ready; - wire RefAck; - wire [11:0] RA; - wire nRAS; - wire nCAS; - wire nLWE; - wire nUWE; - wire nOE; - wire nROMCS; - wire nROMWE; - - // Instantiate the Unit Under Test (UUT) - RAM uut ( - .CLK(CLK), - .A(A), - .nWE(nWE), - .nAS(nAS), - .nLDS(nLDS), - .nUDS(nUDS), - .CACT(CACT), - .RAMCS(RAMCS), - .ROMCS(ROMCS), - .Ready(Ready), - .RefReq(RefReq), - .RefUrgent(RefUrgent), - .RefAck(RefAck), - .RA(RA), - .nRAS(nRAS), - .nCAS(nCAS), - .nLWE(nLWE), - .nUWE(nUWE), - .nOE(nOE), - .nROMCS(nROMCS), - .nROMWE(nROMWE) - ); - - initial begin - CLK = 0; - RAMCS = 0; ROMCS = 0; CACT = 0; - RefReq = 0; RefUrgent = 0; - nAS = 1; nLDS = 1; nUDS = 1; nWE = 1; - A = 0; - #100; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; - A = 8195; #5; ROMCS = 1; - CLK = 1; #5; #5; #5; #5; - nAS = 0; nLDS = 0; nUDS = 0; nWE = 1; #5; CACT = 1; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; RefUrgent = 1; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; RefUrgent = 0; #5; #5; #5; #5; - - CLK = 0; #5; CACT = 0; #5; #5; #5; - nAS = 1; nLDS = 1; nUDS = 1; nWE = 1; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; A = 0; #5; ROMCS = 0; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - - CLK = 0; #5; #5; #5; #5; #5; - CLK = 1; #5; #5; #5; #5; #5; - end - -endmodule - diff --git a/cpld/XC95144XL/test/t_fsb.v b/cpld/XC95144XL/test/t_fsb.v deleted file mode 100644 index 888fc74..0000000 --- a/cpld/XC95144XL/test/t_fsb.v +++ /dev/null @@ -1,176 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 14:24:23 12/07/2021 -// Design Name: FSB -// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_fsb.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: FSB -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module t_fsb; - - // Inputs - reg FCLK; - reg nAS; - reg Ready0; - reg Ready1; - reg Ready2; - reg BERR0; - reg BERR1; - reg IACS; - - // Outputs - wire nDTACK; - wire nVPA; - wire nBERR; - wire BACT; - - // Instantiate the Unit Under Test (UUT) - FSB uut ( - .FCLK(FCLK), - .nAS(nAS), - .nDTACK(nDTACK), - .nVPA(nVPA), - .nBERR(nBERR), - .BACT(BACT), - .Ready0(Ready0), - .Ready1(Ready1), - .Ready2(Ready2), - .BERR0(BERR0), - .BERR1(BERR1), - .IACS(IACS) - ); - - initial begin - // Initial conditions - nAS = 1; - FCLK = 0; - Ready0 = 0; - Ready1 = 0; - Ready2 = 0; - BERR0 = 0; - BERR1 = 0; - IACS = 0; - - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - - - /* Regular 4-clock access */ - FCLK = 1; #5; - nAS = 0; - IACS = 0; - Ready0 = 1; - Ready1 = 1; - Ready2 = 1; - #15; - - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - - FCLK = 0; #5; - nAS = 1; - #15; - - FCLK = 1; #20; - FCLK = 0; #20; - - - /* Units not immediately ready */ - FCLK = 1; #5; - nAS = 0; - IACS = 0; - Ready0 = 0; - Ready1 = 0; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 1; - Ready1 = 0; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 0; - Ready1 = 1; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 0; - Ready1 = 0; - Ready2 = 1; - #15; - - FCLK = 0; #20; - FCLK = 1; #5; - Ready0 = 0; - Ready1 = 0; - Ready2 = 0; - #15; - - FCLK = 0; #20; - FCLK = 1; #20; - - FCLK = 0; #5; - nAS = 1; - #15; - - FCLK = 1; #20; - FCLK = 0; #20; - - /* Access terminated with /VPA */ - FCLK = 1; #5; - nAS = 0; - IACS = 1; - Ready0 = 1; - Ready1 = 1; - Ready2 = 1; - #15; - - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - FCLK = 0; #20; - FCLK = 1; #20; - - FCLK = 0; #5; - nAS = 1; - #15; - - FCLK = 1; #20; - FCLK = 0; #20; - - end - -endmodule - diff --git a/cpld/XC95144XL/test/t_iobm.v b/cpld/XC95144XL/test/t_iobm.v deleted file mode 100644 index e8e435f..0000000 --- a/cpld/XC95144XL/test/t_iobm.v +++ /dev/null @@ -1,238 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 05:57:17 12/11/2021 -// Design Name: IOBM -// Module Name: C:/Users/zanek/Documents/GitHub/Warp-SE/cpld/XC95144XL/test/t_iobm.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: IOBM -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module t_iobm; - - // Inputs - reg C16M; - reg C8M; - reg E; - reg nDTACK; - reg nVPA; - reg nBERR; - reg nRES; - reg IOREQ; - reg IOLDS; - reg IOUDS; - reg IOWE; - - // Outputs - wire nAS; - wire nLDS; - wire nUDS; - wire nVMA; - wire nAoutOE; - wire nDoutOE; - wire ALE0; - wire nDinLE; - wire IOACT; - wire IOBERR; - - // Instantiate the Unit Under Test (UUT) - IOBM uut ( - .C16M(C16M), - .C8M(C8M), - .E(E), - .nAS(nAS), - .nLDS(nLDS), - .nUDS(nUDS), - .nVMA(nVMA), - .nDTACK(nDTACK), - .nVPA(nVPA), - .nBERR(nBERR), - .nRES(nRES), - .nAoutOE(nAoutOE), - .nDoutOE(nDoutOE), - .ALE0(ALE0), - .nDinLE(nDinLE), - .IOACT(IOACT), - .IOBERR(IOBERR), - .IOREQ(IOREQ), - .IOLDS(IOLDS), - .IOUDS(IOUDS), - .IOWE(IOWE) - ); - - initial begin - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - C16M = 0; #30; C16M = 1; #30; C16M = 0; #30; C16M = 1; #30; - end - - initial begin - C8M = 0; #50; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - C8M = 0; #60; C8M = 1; #60; C8M = 0; #60; C8M = 1; #60; - end - - initial begin - E = 0; #130; E = 1; #480; E = 0; #720; E = 1; #480; - E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; - E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; - E = 0; #720; E = 1; #480; E = 0; #720; E = 1; #480; - end - - initial begin - nRES = 1; - nBERR = 1; - nDTACK = 1; - nVPA = 1; - - #320; - nDTACK = 0; - #300; - nDTACK = 1; - - #180; - nDTACK = 0; - #300; - nDTACK = 1; - - #180; - nBERR = 0; - #300; - nBERR = 1; - - #180; - nVPA = 0; - #1200; - nBERR = 1; - - #220; - nRES = 0; - #300; - nRES = 1; - end - - initial begin - IOREQ = 0; - IOLDS = 0; - IOUDS = 0; - IOWE = 0; - #195; - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - IOREQ = 1; - IOWE = 1; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #200; - IOREQ = 0; - #240; - - - IOREQ = 1; - IOWE = 0; - #40; - IOLDS = 1; - IOUDS = 1; - #1300; - IOREQ = 0; - #240; - end - -endmodule - diff --git a/cpld/XC95144XL/test_fsb.v b/cpld/XC95144XL/test_fsb.v deleted file mode 100644 index df847cd..0000000 --- a/cpld/XC95144XL/test_fsb.v +++ /dev/null @@ -1,124 +0,0 @@ -`timescale 1ns / 1ps - -//////////////////////////////////////////////////////////////////////////////// -// Company: -// Engineer: -// -// Create Date: 06:38:49 10/23/2021 -// Design Name: FSB -// Module Name: C:/Users/zanek/Documents/GitHub/SE-030/cpld/XC95144XL/test_fsb.v -// Project Name: MXSE -// Target Device: -// Tool versions: -// Description: -// -// Verilog Test Fixture created by ISE for module: FSB -// -// Dependencies: -// -// Revision: -// Revision 0.01 - File Created -// Additional Comments: -// -//////////////////////////////////////////////////////////////////////////////// - -module test_fsb; - - // Inputs - reg FCLK; - reg nAS; - reg Ready; - reg IACS; - - // Outputs - wire nDTACK; - wire nVPA; - wire AINACT; - wire BACT; - wire CACT; - - // Instantiate the Unit Under Test (UUT) - FSB uut ( - .FCLK(FCLK), - .nAS(nAS), - .nDTACK(nDTACK), - .nVPA(nVPA), - .AINACT(AINACT), - .BACT(BACT), - .CACT(CACT), - .Ready(Ready), - .IACS(IACS) - ); - - initial begin - FCLK = 0; - nAS = 1; - Ready = 1; - IACS = 0; - #0; - - FCLK = 0; #25; - FCLK = 1; #5; - nAS = 1'bX; #20; - nAS = 0; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #5; - nAS = 1'bX; #20; - nAS = 1; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #5; - nAS = 1'bX; #20; - nAS = 0; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #5; - nAS = 1'bX; #20; - nAS = 1; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #5; - Ready = 0; nAS = 1'bX; #20; - nAS = 0; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #5; - Ready = 1; #20; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #5; - nAS = 1'bX; #20; - nAS = 1; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - FCLK = 0; #25; - FCLK = 1; #25; - - end - -endmodule - diff --git a/cpld/XC95144XL/test_fsb_beh.prj b/cpld/XC95144XL/test_fsb_beh.prj deleted file mode 100644 index bee07fd..0000000 --- a/cpld/XC95144XL/test_fsb_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog work "../FSB.v" -verilog work "test_fsb.v" -verilog work "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test_fsb_isim_beh.exe b/cpld/XC95144XL/test_fsb_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/test_fsb_isim_beh.wdb b/cpld/XC95144XL/test_fsb_isim_beh.wdb deleted file mode 100644 index 72a721cb957c3ecdeef21d7dd4672e358c408fbd..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6379 zcmeH~Z-`u18Hdj%v0ZJeTT`u?sCRdpnySt0?9Qf*7Mt0b$z-yV-F0TRiLLGJW_OZ~ zoy;yfvu&z^ezDb3w51;kQc9sHT0{!*Ly-ChBB&pNA1pU>Z zr=4U45d~j(=e_THp7Xoso^$5RotG;=cwqlG_kH4p?ToFx*Q@=()>K-zGu5{x*X$KHcDHX`?qIiPh;}QR@7czX9eVMtNelZT zy@N47?!&qap)rWps3SG)kNN&uDl!;zm1cai=0Z-!ErM877h+l(X!SoTVO?v%Dj6 zmUL9kZ61DQ@ZQ&6>Mp#hBjj=A7xSgJ7_RmOZr5huTxvE3)E!!qv2 z-8|^pT_W|Q{2OvkIL1v>NQ{1V){?qw3u7eiY58e6Z9KPc=y1<;0n--lh50d3{%84L zzMykCmjlWx+yz-0bTyAyzh|X)$ zxYTZhsZHqcm18`9jFev{jjOFrK{=NfdM<74M8}VjYCF_%m(|g)-$ixaX?6UF&UMn5 zv^u3t=)BA7_z|6b(l}ssKBJr|@Aq7^@m&gIq}sGJ=B>`%mbsA5m}UHk&aKi|vO4We z=wvP9M|4`!=vtkVo6s4zj33cCC5``ZYCy}=D$=M8hR=!gX>-dlzRU7QjY;wgZ+nmMo!JWhJ-8}&I8ZNU4LC}m^12}Pq14x z&^bkF5qlFoCUBu#MTvI>5qjh=NIg? zVjGIE^v6PWyK1mmvGa;5 zy39EivZJcOJ|}iT5tcc}LY6ZM_K#wZDZ(=6Sjckj!2VV2q9QEcZ7gIzq#7)LotG40 zBRLkbylcU37t~aQ9hPGu%X=8?h@j(&up@FTWIv)B>|Q}96k(YgEMz~b8tfrKcPYX$ zH(1DCuNv$ff?A5OyX08N?okbPRnW8|Ec2)-OpLfyPMvcF_VY@rw#GcdICm^(E$8@G z#iB=@dBhk4x!(u&QL!+zF^^b3_A#*^Ry!;abF7*YZO(smpHPAMgJsUKe(Y0XnG;z0 zV2*X;WggJ|wpiYGV3~8QANyUgyosa;tZ6?O3K>x_)e8 zEVH=MUdkLT?3>Kya!0F~UbEYak9Uvlx#wRJI8dKTdmL@GTb)yxY;MmDnM%DB7wd$~ zJXzJQbI# zQ&m%t*lc=vsF5$njpBx^FukFz;%A5JepahD(o_S8Tb&+*NFswsE)xAhey%ZJD|tO@ zrFzMBO^Euz$^k#0pDX4Y8#>I-G)nGnX_rzp>nYYt)$xt##Ktt|rStVtji>enT+LT; zHDAirtX^%l5Fe=&8wX8e{c3HkXS6xaFPdG>WF@@?%(JrovM1}Eudg0Al}@YIT4=|U z6Y<#jEs}5FyKrAO&Sq8Q28HELFV2p~SudN2$CJ#lxp=~By)n-Dt>f8vGRbF}y<&5* r9cTASI@wr>cJ4-Ld+9!jpHC;pq@ASe!yT9YhRWVC-65$=UZCxtN_GeL diff --git a/cpld/XC95144XL/test_fsb_isim_beh1.wdb b/cpld/XC95144XL/test_fsb_isim_beh1.wdb deleted file mode 100644 index 1d691ac5372b1083aeba620a0c33266cf06cb7ca..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 5803 zcmeH~TZmg#6o$9fOSRtXyTx=g)@Yg;CK;_F z=!+LbL{aEV5kVA{B0^t8yn#OGlRgwd5N{7!P-ziGL`47ZWbf&otz$>}s)GyPMlP3;SofAA0Qh z8h3QGbtG)f3yHS2#0kn5+1dq)wB8mr&h50d|{5$#ga&*=y`gWX>1#)_i z7P@NJA7Vna2Q~SK9GxY~-F0Ya(BU|=mdcrP^UJ(Eq4E3D_=pq97R%u{)*1SYUF@|J zeoUzLnKZt!I;)k_kJ)rM2WtysLbZd^_}S{Dl^;pxB&*{`bbgh_@%BOJn}g2DR>zO% zERe=IRwpwD9X;Cdb@>sUMbcPeb+U8NIm7Ds5uIhy=(RezIp~~ab^M6VDru~-I=3sQ z<+I%{=J+m!F`?RkG={Ct9hUhkoleX65uGj47_&OHIq0M;<41I=(r8$nopaFXvWy?m z*(Hq!tWJFnI{a+WA3r9PKO&9YR%hHYf7PFBE#pV_;n(Ht*?ec5@ts84%Ex;%>^t%c zA-hC#u>0lgW3c2{$X=ovz4%z3ki)v( zz$%?S57)!or}8#AEc+Z5vRn`Bm-2Qw?D29eWVs&L19Ex->wbPimg`}k`ANQBPQToq z4@*yQ>g;c@zsNVpshudtLKY6^f(Pa9cn$x+vOmGj(?W+7Vac&jmpzEN`C@NSgynb) zmY%rv^6~_Gy4a#3EXQM^E_(s&BC#b!SdPa+mi-I%60v1PSdPa+cA;vpDY3(fu>96z zAsj@Vlj(WU2D$abg(%Rhw?MOb={g)Dm% z>{nv9DZ8w=S>Rf9c7(3m1@LXL$j?^>`61yvMb+vHft@*W1; zE@-@YOuEmsw%=Rkz*mdTs7E9 zK?91g^ieI0jW|zEoqYxNUL^%vqmMAo9m`(JKK__k^r+KEj5Uz^$G|=*7KSIW`;nvRK|l zVA01MOAYOlIPO5$%{{Q&D+Xud{?mqhCf5ScX_HdrF-@;r=H)(l8p1C?X+^9@8 zlJ|_%D|aNbop4pJ;u! zbDHTvJzGv^226e9P}-#P8`7Dw>Ek2A$C^w*Q|Xc^Rz}9|Hu-d>WYBDV^p}dJzg#l? zn{sBTSjd`*Xlf#A?wl|~g+f#q%nbCaKG@$E^%wdICL^)I)^J@p-5-^+GqTLUjJdp@ ztuOgmu~crQT0m5-H(5j+SwuXD^)u@~ diff --git a/cpld/XC95144XL/test_fsb_stx_beh.prj b/cpld/XC95144XL/test_fsb_stx_beh.prj deleted file mode 100644 index 4e24ae6..0000000 --- a/cpld/XC95144XL/test_fsb_stx_beh.prj +++ /dev/null @@ -1,3 +0,0 @@ -verilog isim_temp "../FSB.v" -verilog isim_temp "test_fsb.v" -verilog isim_temp "C:/Xilinx/14.7/ISE_DS/ISE//verilog/src/glbl.v" diff --git a/cpld/XC95144XL/test_fsb_vpa_isim_beh.exe b/cpld/XC95144XL/test_fsb_vpa_isim_beh.exe deleted file mode 100644 index fb891a9535ba402686c3a210d1430e181af1bb22..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 94720 zcmeFaeSB2K)xf`--6cy%xItKn2(sX!SVW`oC9t4b*vMVkRIF4{QG-FmY8BzGpdu#T z#IjtrQfpi7W2=3)FV9okr^OI0CIm@%kyl?(tl~@ST~{lJh9JuRzGv=k5>Wd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb b/cpld/XC95144XL/test_fsb_vpa_isim_beh1.wdb deleted file mode 100644 index 0681ea6242a7288b4beea977d9239ea5cdb0c7d2..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 6334 zcmeH~Z;YHp9mj`KYWX8oT57?HyLS{@u-)DJ14R*UZ+E-hc6)c--D`^|4|msXIoR%9 z?)HlH1-u}EHxOzf#u$ResMW?7eWM{H8V!jt7$S*@X@VyD!bA<)zG#dZBYZ#4Gjp9C zSno)9fr-E5x4)U+eC9jz%*@U{d!h2B^+= zrzCAQu1)HZjc>lk_Pwb@{{sq?Ctfxtd-y7Iv9iXTel3|ySz~9Hj7g0pZGM^F2R3&1 zbsDs7)N}Z}U#5Ml24>v%i!-O9GnL(ZA3C!(e*W{nN!G#D;a`3A3Ult+b>^E->S1Lc zmXC~Xh|BBaPq)j)d}WO>d%jV7lk@;ZmY`SMjvf8t+UKbZRFY9dbc^gC43}0qBYuJlPh@Z(82wXNPrwqhkedX+KTuQ%rD4<-JhVzkMaA1LC~S2B+KpmkX0ea5YW%C3miH{{=zbBi%= zqC#x+v#S>8U0WDa;=V8ck(@T3+c$I=(`_4VjD`6zr8-CDpK^5eDf-5d<`A&_lz39EJtUX>h3vIjK3Q6Lu)k`7;Tbo`i7eZ4x~V0A`SUrC2ADms2l zslHhq`>aku^_6sVv|}AVqH~)%X01+f6*@Oq9Y3NoB#jZPQ(A@2jaJ8x=x~i6v^o!~ z&erwXFWUGng)ybvA!$5lbsn+If78iY#*gSUr7>r9TC32>S;mj(bfoc^)j6>WodL`E z5uGQbaoXy%SD`ax89$=)oHV{=b>>!~bB|^G$UXeBoI9H{>WuFs(pEmcn_*v(ZsJXbz_F?Hp{-Q$UO#2Uo2#AQ;xIpdwEI@>&_0W zs>|c>Jhc5$o|eP9SAdY^d0_u6?~}v2SAdY^_YU@goHc=UKg}V_^Kj4nM}9!gdbv9v zmNmi2bHBl^k>HrkU89JFEF8X0?6{)quj1QS?kCu5#7-!}QpZAF?jYJWh&`wXOMeWO zHF5LZpfc)v}pHf`CL#7357&9RW}Qx4r%#6GBqE^Cg3Y`=1_uZx{hgk{aKkmZho z{j1n%MOfAx3t8?R*nf+iQH15YjfLzdl!Lui&{0L$lpG6L-nC$F7SvRPP0O*6=UX~ZH{$>aqd{|TJG`Z#G*%@b;Othb-xen*Turn#yVoX z*cZg!u69@==2$hw+T8!>en$z`50*8@da-B4vL>+f!5r)6%Q~QYPAu;`u&g=Oi~XTk z-bG;1#~e!z?d#-dza*CbLF6-X43?;-n57N&XG+|qNS(Q0z1W|N{j}O)dB4I!mNi57 zmtsGw2+O=N$C6{d{O<8Psr=*k|I7co>%jNb?W13QKkTV@hjY%}4C|V@8Ido@3!lnN zE;bhyGhdo&Hy_Cq=Vq2pG~1oUOnJ7mcWF9PFYU|@W-~MMt)rPvbFmYDM8_7Vqm%Pf zyH38V{(I`9NslA5t=aY$Gr6H%cV#N|QdF!HGAEl0v#q(~nZ<>f%<I% z+>L9xD(zw;U)XQjhbHnSUm4368fKJ_0v~${RW;@7rq-M~ddgJtg}OmI`6$vqjGh$Y6=n?Pm22*`Et}KcGU{|yV@## z?LghH)#{C;stH81?GBTOBa?^=v3?;x(U`22yq>jEy=1$_M15~%k6)jhDCQeo9VW*b zB{y2qrBuy%iuF=;pu0NQT^;hOll4-Kr}hP0&R20cU&`gIUTwS(9j+7`drf1xw6xSQ zIwY4j&2DGBlimjA*;#+v)ry7(qipvv$+sSzI<*+(a%y0Z!d$x( zWd*&+m`l zU%!0d-nnPa%$%7ybLPyMGqW{Ut#Wx>E|-`8*{sXe%v=7d)brmzY@!E_Y#HeKOaJFb zHM`@_kD59ErbWR83vat|;hb*=>*m~g>upBx`Wu1^6SoF$x-}TR;HA^8f$v*nzv2tMBAp|Kfe#@h9E2g7Dpx(uI{Yt%0 zQ1RcmscydH@l_PhsCK#L-tToi^TJi%$m8sDo$MM=(BI|i=XSYP@t?oSi+Pl)V3mSM zxWMJ|$%DWA+ttdqG#Y=jUwuANE-g?ell)8Yau5l+yffXdu_}~%JXzps9?%!?|1unO zyS6)|erX107&k02h#Bamc0!QUJy(x>f}qP)d&a`KbBsAI*MpORlBeqe-bK9o{8hmw zXEDEIkHJQ{(jyDVxSm2CB1um<^&(k&syIgUrWH{ld<@;n| z!57k8T1J{w;Md1PT&l#RkZj-v&F#`w`&NVA)T%X|Pl2GkT;g)AkwIWTGTP;`e7_;u zZ1w1Moy~rr`oTAKt5ff2&GM&fRh{;6qkvM|v8sZ(Hx-=K5e?On!ll>E4An|)XXz>5 zSc=w@-W?W^W~&>#+N0u2za(BY)YFH^$=a^h#U(Sc*ONm!`{Y7tbEU~L0|3r-vYu=C z?Ar@m69C*(q1W-!t&%Pvx>ej}-}FD^m|5MYunuphstesjHA>oUrkhqGywY1~tB%Id zavFy=cIkvyNkj2R@L_^Nl@5933Kk8G&Ao#%cf?aGRoaN^?-n4fWPcp}lnp#nB5lSYA{Hk#tnlc2EiN;l1fiW+>GJmWlmnT z032g9Q4jP)ohwmR;0~f3+!ts8bMhR8dJO~y;S~AH46>XL=`AN@`NE)0a6axSC*Ns3 z`TqSx@~vB`k`r;kEz*xeHaTT1pp49uP&BZIqCii|Ur0(T@bPVVil%@K?yCSC>h}-H zS8TC^+uDOmR|xJ8dvKrc!JY2lF73g6k6O`Ua{(}@rCFZrK|V>48DG=$CH)O#J=GYJ zz7dI_%ZGHHd{ha?R7xv-DeFi?b;ZW&idH=}Gqj*$V>YmCfOL~_ev2BJ2n)$K8@LXz zo_Z)0Qo}P3jQ|BjfcA?g&~!y>sHV%_NLX>Ah%Av`dc_v|FCpFy>qWp_cWwKYo?fkPKv)>-H}s0kjlRhmho(@k@vRtwUgWG!&FT5FBAE&p>D-WtDx1@`VF+ z=kq;2`y#$BBSIl=7esS?Wgl|3^wJ~p*rh7$+x88TIP$og2I)I!>iM=0B7osZ3D1YV zzJsP#;$J3STOGKbl9!7dW3bF&uw-+C<)Kiil*5>)wckI2NQ9-!1Y%aYjJagIk4#h( z2(!zF!|W2GiRIOsWKyTg5S~9=EPWUP^hH-wM|Vr9CVpRrx=& zP_3{~xW8hdb9-1w^34W@0~QwgSP{!Cq@YLqiiHGdKR6V&$@85wv#`xQqj@*1mwvde zZ#VVuPu1mDG%p{9ApL$fnB(>`dycxar?(bJOiboKhC`64!!4~s82S55XhDI{bnbrm zcuhb0O~lwUp*w)mIiL1OGSb2FK<&pMk*4ib!yyN=fNgLFAaRahd{%N~4$KM0d&urU z-|XTDzssDweyQ>jz!U+%;#H(`ZD1&qUCB>~wpWfJ1~&f|fJX&zw*ah8JM$55HPB)A zDn+KWWcTraQ-KDRHDn6x9f5%r?7`aUVBOpoYb`J-+D%E9OG$%rB{k$qDwjNF$?z$+ zZ#da0eQb}IA!#jKyOilkYeclF636zGSnHJN>sw;{3v^9%u---4?MZn`4y!k>Ar97a zeX(3$$m?GLXh;Qq(--U2FM(|k7&Yt7|1SnTzxjFLO*K=_#?qGu{? zEl3DfQnFt<#Vv)yLRwQR%+*W4aU}beDvJp~wYET-hk%m`{HGeSP$aNhjw$`_qca(k zuLZd9&K)Gp26Uf%1n%sc&uq!NhYuy^i=EQy`eL1@U{p_Kmt)~k03GHO9<11Cza!P8 zMaHI=e~#2lm7Gi-_O&81fTA2LbvT#$ZS-4RT1+HMs>G!z{57jEnWaQdh`1Rj+D*fH z5hzE^Qa$2k3o_)dg>F}mEK*a~Wz1a*q`}A1x~`TpRU5Ai)$_J1WRx&?gGYmh3m7r( z(El-*EwgzDG4;Id&!iSA*-_ws2)HMin*Es)B!#xtWpPzoLwr=>mJDbfVL8(tOggB99oyjz(N1(+&V@Ho_)P=p!CpPCn{FCrY?#64^nq{TlQ@ zM5T-|?>&VQ z?bC&;$sGBq)*j$zPIi!P$RQ1|3v)=df>bN$GMitCnLseh?WYGxbBU-@pT&IU3lue| zvIp@atP23n>;b$ffZmil`Rto=U=L!mLX?8d^$V`@|w_aCb%oWYtm*N#q4)3&l zb%dmgeOD1cCn_hY8`e<^0Qrze2Mn`|!oUhZ;9BZ#Q2^?kFX$dGVyUN47I9C&!Xg_7 zfk)b-Gn3VVK!k4{GQFL$psEsBD)3HDg2<;mO;#<$RZ5)sjC#8gqQXBXXsMFddD+jQ zk2#w1V=SK1k9efcPNEi<%2oRL5i20XX%S zP-f3DNGB%>GLNF9?3O&p>jio9cMMvQ*0`L(ehhT9*2H?+MRim%V+7SX$*Im@sZJMq zi&LHLs61aFCZC(MqhtWfdCQkK7SA9IvVa`QC6q_bRc^FV(VL(Ca1L6O5E7pDs1|*V zm%XTmgqnXxLOxfm=|)&r%91iSGw#Oe*%3NDbHJ(bN^4Y=90O4X=d;U2dA%>3H@kJFG|$*HnuVIE6IQ9-8}i_ z4*4-&4*4VVSSiJlv55#M@fu@J!NdUPBqa#csXS}O-MD- zp9!RE4?7tuL5G6zpEAWZtQSf6CBhPk*&{DSrM=0w z;}P!8_}+(5&7lY#&MXRmB1I61e`;dFjq&|ejRjRtcIf|Z= zE3c9+g3OC8Z>uhLL*FoBq&0nm2xLT@3~`7$ONa{f5OqX&v7iqjfujhy%^~E{zJ!eK zL&z6eX!SL@;$CE{hc@^pFZ-{@<=fx^Den791UvQKBxpUI>QcpNO_+nEA8Jk8Eqri~ za73Otjx7>>EYn|9lPdaGHA9u7Q=rn6vr21MP?>PvlCEOzO_j_Rs&>g|c=sJsr2KMw zy^5WUPT&!gn4mnVV4L-EoiyN?0=ZUMq7zDiD~BW2&ZfR~P7e3=*5MWoTR?eCdFn74Y>A zxDxQy4)_THPj|qh0LLBh_XK>70~YI+4hfEROP8@OI+>1jt5O1$@VT((7)hEcxt^EZ zgnH(Tnd`7xWai}uNlay*ul6pq3=;R>;CooC@|g>+@)r9EG;VK|6=+zL4`UCrMA1$u}t=>6>?f+m&93(vbc( z4_cw)O4SO!bPGg6$S0o(Ate`pXGa*%GRA@;^H_dvsr#k&AS~F!CmXyk5P%@pY z?T=8q9FwoPetvtE%w551t4z0EjawTd=G)zoE|)iM?Jx#MtSWy^-3;%+-Eq$j7Eekd!OZV%Z>He;WM>C4G)e(=mcc1=n+|wDa*c7uiLT>Qt^i8zi7D^af zV?`=;i-;X|l5WWppq3#H4c066%^?Q(W_yw>oUM{yO`?PBljs0skW@c-wVvGzC+6|# zq&aX)Ht_wAiHFfop!qIT{L`5Yd^ZR5TAQ=mRce{V^J(p;pn{mdZJ+^PgS2v`FE~X$ zobcIWB&>%wEgG*UXNUY(NJHt?r@HluZh54*0?D`CuZQ1Q_<_zsTh?GfOrO2znCqPc zuY2?weBjw5KR@^7TuYU#wS*GHQASVf)js$*3{>Lij}j7!QC- zFYJ<6$y8qUGAc$Ub1NaVg<~}hZj?v!L(oU5g|L}6sEE1 zP_Z$Rno*Dqyb4_EbWC0Kq!B7rg5iqD%*Zz*mq(w?DnBwPcowQ@R5bZ_KyU0LLn=we+po>ZfW)dD*0~vZh0pQv%4tt?K{Z# z4kv=oE%JFIpYia4gfAYpjbPk5AU(ujQ}6Pg0xgG-OcTA~{e&!2CI5i4>}Qmrnu9WsHiP1(&T`wb?M0h zamIvwJ1UEqm#p3P>j*KOk@&;h`&%bF-^+5}x8~kI7j+A~6~czR=P7n9xfd3+he>ux z-y4-kQyIH5`s8okJZ)qn1jtnMN>^KFMS6A}fW(KH;+WOmj)po&H`n=ftDu)y5rGiz z(0eqk+ZtQR$GDPQ8sAwS8iZn!UFT3M@JJ8*{G;H|o5P3Rb&7I9KduMtCzM`Hs|!(1 zHF}=C?X6pFF|*sh@G@=n&e$5K3El3P_QxH7s}`Q5AAH4pv(V@lAJ?WQOLb3Yjnx@5 z_g)xlOZQ7ma6St8@EC*U&6~Gsm7b*XbVqh$;^P{`Qql53v|}vWndoZQMpn55iGQ(% zLoNo`*rDxI%$kCK9aZni0ole7)edTJ(cQrBAtGacFXnPaUUF~jz zPTXeC8AlqcqpyU^&l$*l`y_DVsSziVKAyyfqrHc-)flP*C!@(g_!UBz7D3(v{0FhBa`%$Sle|oayc0o;+EOcIroR`5%xJAu5N`|XB z&UyuV{W&9%)=hgvp`Cn=pj##5dD*j2$dC|gnJHYE6Nwz@M3zZpI2VbUNsady19kH- z4Qme-c(Rae|2{&+B)ljlnu_&WTeG{I#!%A=f(`NQ%%fJx#u+Tk)qa@Fi;6~(>t&Id zGQsH9N7kY0ig$GLQ?J$-hbBTEt#Jw=^H71-h(`c{fY!)XB7x(zModry`e}`yLZf*| z(;AVf1pLN8^N`o*Zyp+;H5HKF{su(Ht-bUdIHaPl#vSH0;o-+gkvJ2>r)MG>%CPY+ zR}5Q-Ko#t?ET)xCyPfWdi9?5=SeuA^p2!JzdSMW=tu$t}>TP?yx@Vmp z?p$ae^|{C=XayA;Q5&?zn}iPQO7`E6P`k_dB35gv5peQ$z-^^^oo|7ZOolN}j;e5{ zW}fCC8!x9v5~3@;aG z;xotF%is(+ARCxWRMHc9hU{F{HZ~=+#)k-+X|HjUneJ~~V5a*Snt8}=T&2cS`p2sJ zB_DhpRw;!?WCg1#(x4)?+V2jLdib`0kYS1|*(I3&6rh7?T&-JM?KTH%wP0Ng)~^Js zwGY<0g7qH`)^foLfpwc;{kRVn<8-T?aIn5XBu#Q5QXU z80BVGTSik2T6T(kHA-oR>|nxgb6GYxo*6RD+6#oi&CYDbD^IES44JE0^eknEKql@|wxbW3HF4qA{oD{ifQLh45=Ac1}@VHfC$!BK1VlY~3&m*-0UXM8G& zL{6|uK0#z#J6MaATfPqndpg5A?)jQtw@LSG)UEp;Cjo5I>33z<;Xqh!m8=ITv&(iv zc`WG-L7s@lb*%QDl~qXijYNs{Ln+JJ7RkPt@mZUBh2JoWgl->nCyt9J6|JBaMw5!V ziq?3Fy}p=rb!E(2#QW{Osv%kei4k~2!<$*Qt=QV(7x(!LUP9?9~9Ryu8reIEnh zD;WDnw4U%ajtxsgv+NLai=LWM?ktX1Fm0}~OBGBnx5uF#qD8v+j$7NrX^92s3_V5E zJ7*BpZT~|}STo9*9(RJg%6=gSaPnJ6e$2wGc&|fmq|XnXA&wrpxzVjpDA{sxflGU+ zHK*wG(Qm>fJHW$pt*xze-odtPHc@b(U2of1n*Qblrov3&#C1jqDvBtai31bYCA_Fa z?G=)fnggXl%FS&I+gE6m#L4zTDy@%VF6|vdPV?ByS!WOE$XwJFDKm|U_H&a!v^G;S z(+SyAc_aEmVNnF`^pR@@ZD9IXGB%3rK@-6@>&Cjwx-zLLa-xdrmMh1ZoP1yjpa_B* zQupbnko_v9e?>oi2pd@)nNuLF%IIg#DRX7Y>=m@`D$zv($aIz}gbL{#r(kw(oq~Ji zl3F|E=Gf%iP&q3(wUFK4hl*3}nO{xCO;EvL+z1m(lAR8NoobKz>LlkmNq(r3R2DcX zLblZBE2`>MDKate0hGcuMu7YO2@93a;uTtDQUwp={Cf^ zZ|dnx#Ik#e0mv}~*!3ub)fGFj!o?8=L3xaoN4Y#IquOfCnSZk#5o=?O)rLYIYqLE& z-+gZVkzZ!-frDxko=TYe*XzW%iiC9#4cD>a|ngn*8&6$x$ zp%4kquD7Nr1Qs>z(aOPz*E0R0%Lnrj4{weouMXB|Q4BV1(o9w2aq+0yago(02Ex3Z zqgQVU2M`pk%g-DI*oFbY}`+v|}~M zobF!E5bDFZA^XS7-Cw~}e?&2X8ERXy_o4)jn!?vceX@LL54Ir`LiROZop_;>_~bQ( z5O42eZ$gc_U2q2c=|Eo^N@OWw_`U%#;kkzf1(`oaHh4p-9XgarXoHf1 zVt=|e&&+-JcifJ+wMk4oC$4Z$SuvzPGw$Uu33ik#^n-1})`@?v>Sf=HQ$zaCYj`WG z&1?9yTU)#tD-g!!{(5*_;@MbBp55b~ow~U%t1J%{>tapqMh8pD6HyL!XJsxIs{;&v zDolNHj=@h92G4~x!UG&;_rmNJDe{Sx4$v#lL<=wv?OnW7DVwmUu2mmG7ikjh7lsYm zPh(17!&<;)CkN!r)b@X*WvrLff`q)P*qIHCd0jY1sl}&H@LfU#3uBtTfw>SlR+9O> zT|~EasAE2Mc2GX3TN^UR*;j*Qzt;o&G9jmQyBVw~bbpU-CnHVNJB%C^d#nS;MM<46z$%6~{bjvOC!I4|$?$FV%Rzv`?v*{vuIBo0Ix4+G#R^+W%j=aC(F{?!LDECo|rfxT6vz)FIss=f$_4ocA&lWB1%im ze>~&2-^HjF#YE0_Q7uz$Ht^>?7@r*WMk_@6XiZ{@p+KDiL5W%avLBh|6q~f`C@N|N z?W=j~sg|`)=nmvw?S&4JfRMby<1YfGTU&02R z4BEG=L`+hoJEAp?QrT^@k90GT%x>1Wzszyb`wqmX-S;_=$dj}Gd}g$=(C}9d)tWLu zR!nm<{}}#>>$D~+#&-eVTH`jtz#2Pv>#1p;75>P?ty*IIs!qshO7>T@5#=<>gWWUXo6Q3WZkrpS+1$2S3G271ONSs(6&KqOi3FPQ6<*G z8QH*t`3QpuMXUByG!&0&Pt6Qn%#%YNJfoqD1TaOutI%o3Mn#3SUzGlXKq>YXr}PDh zeryp2+3(JmeoHoRZoUxCp&eEFkbFcJ_5Ht=euYzdnUp@)DSdvf^y_;{pPLOlwL4e$ z?@>tKy8qCLFqZybOaA)>WMplVKEZ#QT+ovlRxjDFP3E{}G1FD4vD0yvl1>!dXiI1(Kb)W20nb53_9&97AA_14)U!mNF4SOp@RNB94}7YdNfqZ zP{%PzWKGW+|JrMueaBL@WNfaviqaz{Po-p%r^3JlqXP`$4-()Xzz*gaRFmkH|Yw8koXNTnpWvuMqU zg0l5Zu}ivW9!!NN;2UAjL_iV#+eE@Mkah}JEGhQS!L=t(&4Kz0=m9{``w-bP`4-aT z_W+4ozJE;+w=my_yl?{8&dVy9%MBm2_AZfTz|tOGt}y4qrJ+N@B>Z) z*3C%Lgp zXN?lh=>RoLN&z4d$zm|=CHQp2QQOifU-BJkiiXFjX-8x@!m&$){WS(3?;fS>wKo?}wf= z709yWWj_%k8z;pFJ}}j)%rWWrp*b7)>;+i9Wa0?SXWi^)zbL4?W&Mt&$lcNjPuiy) zp9kVzTB3o1u zC#iA;`crzTop?jOb6Ywncv|B)8cG^j4XU8%gI27P7`S@|`D4z}ov$g**DUAj9OrAk z^L2{zwZQo*bH0{1Uq$N6s;AW)z@bwmTkCNFq>6xtz5SrPhO(JUaZKS-Lqqp-z&3!D+6yYJxCYTB6$8&b&<6T zRmB%$NhJ#%U3IZz@Qbh{bNa$K%PKh)4AsvQNk9%Kaj2EjWFI^mxBytLpVhVzoxK^u z1o|5+rWPS;T&QiLc=DD|FmgEqsyv=L_qAbg8tQP&+7R{|16bmkt)=GSp$qr((99R; z?KQ|I{G?_w-n#KpSlR=67!aIUVoq)%7oz46)sX!Rbgl8n!Y8T8v(IB+?{7oMf<@gM zd!z!x?PPLyU$1tv>6rAAe3+~7O=e3~sASiDmecotbLm8?=F$Ai{# zSVqOJ@~_Bn6J>+>{<(;)wI9OHtn|$8Nq1ZX@MQu1R)DF%hDu~z$~RJF9It#mqUx=W z+hOni##dy0n^P_O4U`Y-Md620UxgYK)Vg*Dt;W-Oi51=8w?De{D{>Afq672DInrDz zTbN|N{SwUV)oVVc$FqUD9nzNFhz8?q(Nc}m^}0Q}hmMTD?zdn3m+X7beBJM~Zyc3I zkF%vFgMXAtDaKVyb~EDEE$qZ#?Tn~nts-Zg4sW3=8 z&-O3jKjn*L|5!9HByrBy=a_xTAbS;iPrzW^RRLkInRol$3Csc;S{_I9&2G?B#q|uZ zuhY*2JN4uM`#hG9RBk-rcZK)O_R?$z9-T|ukKGxi8dbvRvW-;Np?g|mW@tTDj?A9i ze4xYfxy>c&7i8D+n~8ZHUlp-@SodV(sqslNj+sl* z{|zt8gA}s)@D{-cKR8<;kU4im`YG` zD}h>PLZP5p*uv!l$IV=}OpJU7*{J#zsWU-2V`Izg&epe+fA9AbLQgK970!a8URNt` zQ9l%ZJ@0Jb#pju#H_A?OJ0Yo_o(()nkOnG7)uNFK>;;zy>VrUABo}tmvw@|8-mqR; zrmwv3%lGBFg=1aGFp;d*D>2#f_{e^g%|NO4us9X2jar)>gR*WtuWa$z?U^xaXepO{ z`0KK9HTzf`@u?90ln$%XktMot{tOW)YTqWFDme`Xsc6N^F`BCKhl`AH+18k6yV*T{ z;YX>+2WI!6#r>K~D5AZgEsA7oM!iAF8yL|p!VL9r#EQ0g8AGBk?sAA_>P`8=j9}SN zZp8@(p`+seyHEVceEbj<@8~zUt31#L=P;D1JceL1xPypzD)2TiX%KHbHKPYrl9}@PA)gc#3$NGidl(ADJTUIFce(&^I=g?SF{KC$)S?kr~dp7}%8Y(XTowGUcSlN)(`xsPVA zCau~vpRUeHH~asZF2h|H&x(LV(A(cSAa(XAzf{b|iA=9tk_t#2thP1I!qRVe#BN%r zPr^&AqP1aytS1x2EzUaf(lT~u543NI*F`2_^N3qJYofp))IA*8M?wx)K+!+W*+ zeglRz^)m^Z|3TQ~Jfq{&{@s2eEP431{3fQ%_x6 zYEAz*9)5G-1y1!Aou`KnEi7hLu&6N7Rn6AonP%8!^ru!V6i&`CkoeNL5h#=<9?Wd_LmDU`>oo2&3u(7)iTAoA~uk7Q6S-%bKq;drwG&mb6u(9nbjt;B;DhgC7+ADV0mKJt{7(W#;Ifzx2E`OBv0I2 z&{Dd6iIRBoDt{zZ^;w$Llz?!g63-Zv0*d+l6H>Y4f6L3d*ozaI)?_O>0zVtfQl;cE zo)OQc8f#NjTuNeXZ{o0EJ`PGGyDo;aS50!ZyPNYig6+GVr>7IlrLQ(j{4N&@Sx-L+ zYNEKql()(M%pDCAR}qtkH3;nbhKb+n0~3bKe7#}f_xr$_1U9i@VyX}9K7pOmF!6yt zu=@peT*Jf%1yxL+Z)HImGo!HHD{m` z@xI}xH+vk}K+AJtnBFZMo*6b7$Gz6X099HxP)HQr9fco)5w*HkcgT>>c*)0^YM^dx~(at$w(==PSUN5{leI%+W|~bvOk2;!@lz$!pc*LX*EX0t!aMdDoW|J_l~Az z@tCahyE2qD1qmi)inF9M^OVbcbDh!+%sU||2%&PUa@`WA75rCU7Yu)U5lBZC*`0orrfe6J(wPn`Ghi+ zX{9#TKFCIy0Nk!H4<<3&3cA|7Mu`LR zDu`FysoT=N#HCKaV+_%W7oN(wRPV#}x)8VOgkPMx#h;ooQ~}~)eL#S0b;@7fpPpde z-#UoDHKzf+MX0((ER5TN@XOw~xLI4#qJ0AGR({u!eE_=?FHqRX^zC$d-~3kT4WEii zweYXaWa!e$yhU1Bw5UpNXshQNGTzr4(t@EpVB%J>Q(jza@6Z2B{c$T^R4Rp)@fj-> zSed}k{$>_2)wNX#e6PT5fjfj{I|aH=pxuez#TslWA=c0>FU=Gp9Z&By2#hb*pEg(( z^WeCSr+c3c!YLu&N2-J57L7igq&f(gx2Kcx9W!j|r!#EYtX7CGJ1+uy2xnEVzy{X<_!xAb(A z(?4x}`X`<%PujOz+Ls287t!=Hi=-1`w!roZY`4I+Lzn6y>Ap+p$hbq9(?NcKgZ^Fr zw1~PZa^)DC1S*uZ-+Z3uR(ttg5q`Tx9F3je`}x=c*BwDI+;px*qNg6Su?d6cnee z%|py6uim4fCff7*VqXmQS-sfsiqfS6-&Uk-T6jLB?3cUO!kvr9X)U*xX`F)UL(jqV zvBVU<&t6y&txe9`o>p|o!A{8+D(=y=mW5@Cj@L5^F(oAYx(6{QBbJ6ro#9H$*j_XT z)Skaagj2M}AJM_~lgjGa%GSnib%12B{Zj{0E0D!XPs{qQ4;8o$PA!uYABj3Fv6u6$ z*LBCtNuRkARAr&E>~zJNkQvxFFRY%P&a_`UYSgeN{{=p{32adLaLJ~qSz>onM)MO?m?OJ zp`v0%4Qi#7L@_5(jGldtk}yl(1c#fIUrP*fqW^TEokb8p!1G^0fRtZ$v~SRMn2U}$ zgUwjoxl*YUh)hSXh-r1c%nzugOR!|rSZP#NOq6?h&5T<6cqu)X_Y8YCT#pa2_yI@k zZ49Z3J;%BcN%2AZ?f0_T%11-1;0S}`E{)2G(R^IG{&QJ|eqM;8jc>WQGh$U4{v%{c zQwy{$C{g4XVrKbH`YIhghc~f z2g-I(Xm6YvPm|OqN$L}nYveld_QJ2x@rit>O;X|8Rc}OW&%de5(0@~xh}Drki@K;r z`P6u-YQ>om>m%__sgg%Df(0u1P)N*(k%{kVjqml7Dn1%|LI6+8B&6eS9sWp9J`;Kp zn6|PLj8ygBNwXFor)z7Uo1(2<>7P-~zU7-*#uKqN>2>SWPREc=q8=(*KvdhOUdozn zZHk0ZDWo-L zr2T|&;r_fI7cJ~ZL5mz*FSqV6^tG1v2gIyvT-D)&5o^O5M$oD8`nV{Ky zgSJxkDb4O1mu)kLJ3;q687C9UF(B}Z(j2JOhNMee4D0kk)EchB5F&Gg|sf5qAe_x zSMj2vnjAM}&QSd=c?=<^Dx7IN15w%yC84xkwIanP&P_12ZAj+g$E2Cb5X#K5Zh&u>gbazswZ5g2JW z@(W^@ofb*0b*{bHW~arn$*!5&)er*{ixBHzre9>Opvs_RLa)yfg!>T!pX+sabT=w# zW$)fYVwokPVi3WWj5T9H+}c1;?bSU&7O0QnTo}x5k652!oqx9;pfMsE-iNYdl}sjU zdzH!ubFDISRkSMVhlRTn$Ad9gFm62xqdq)7A^q|`yvT}Yvwht*#Wd19(Fxq~sWnZP zHj>UfOB&90X!LA5>~3@ z_V+1+c_$M7H1QinzFZSYEtr#No2twSJyqaX(Pn$DgD$2i_-W}dq1qVIgCo`afXz8_ zl=)g%M5Hs?UZ?$c1|abKOr~4TWF_lhM&oQI-K!sQ7q0D;mH49}xu%}oRnb=ZdKbbVF=Han`NlY&w-_Zn7bkuw zJTV~iK>dZcBsfRZA)m#WdIUwOx{!cCFnuc{YK6#&_q9pqC5F`B>plnHF|(sUfzp={ zJ*h&$&+dVLtfkKv_*|{=OnD=6Pn9=I#$qhdZvC-MnLL~_!RPKPaKYN@M;qH(xf zC!@g)GjR%$^r@)6T@ca}ouok{Ldho^XYm|ojOIDs7|gR=YbtYc@Taw0R_T6Dz@7da z4N=;*FnvHm=9Yr=djzC87RY0kJZ8#6ueRQz4icP^-T;oh4A-q*by8e5f{rV_{SdaA zs>;78W=b!HOIhcL4D#cqAZ*2Q_K~3lY}XI|FEP)KXe<+t%Gse=YSFEH&had;Z+;Y1 z>NrF0T$Q+{=!x!NM^2&_PtF~+c zl~SgNaz&5XiV4K`6G?9Qx>PQ{8;_T-MpX$S=L7BVT>!AZI4Srl3Bd=Fpy^|WP84%r zLoU1^8#w7d#fj|+@YV>Tz4Z*Zi_6rH{6Q*E@*7sx*+7~PbMk#4xe`SV-mk&Ku0=$P z6vc?f|6bB?hcWrcdYMJz`D)R~E+=Am_=%iK=K#saV6jjgYQO!43{e~=*8N_sjxD}r z$F{HEo3rgucL*P<I zduDOd*a?1a_c4l*fY(B_93<{Jucwx5YQ3bGO&BVFOsrh5d&N9_Br)9flZxZ$aZ;8w(9I_?*OoW`ge!kIndrhO;@QLx}xRyPYnQeKZDNd z&J47xd$M3jMZ~!@8z*s3v*S(?V|wC`Eh6j`Axp_xnFr_7rR6#M8T(uCMPiKoPmqrF zAj}QS!>>6cdaTHBlF9~GZf(x#WR{o4XifwBxd+aQmD)dKkYj>4hzW$XC+vgiLzeyy z7vxrsb!6=cWUO|&pEV^DKw{-1vzwszig>&V9C5A8&}Bz&f-}y0J6lPS(d|8xX?bA zUd)`H-{bcQ&zz*S)RhffgxlI?`z>krPWv|zmrGnK`fSh%8!_;)C&|gRvYrd6%A?kP zZVRdCN`2jJUxr)RDs|xk3xI9e@Z`0p!#b(^DKuX9Ap_>S@XW>LB->?ti&JPeW)9P+ zh1-9MDPR@xw@SQKY^xLC=SIvU_zBt*XbxHLj)`Q~M=3m%IkCE_D{(wX1xBeo%!!U@ z(Sy)97C-Z`DwiSek@53nob7;YXTo%(#vgWz{669)$;VE+VPxe1e%zW1uLzr{3!vH+ zcDjHZL?-8K?q#{NNz#?&*7&)|#+Wn#$2E7dCt5!-Se?4ltqyl{NI1<-ljn{4aM0+k z56>|!tPd|VD(b_FIdS+c(FKpW>LSN`_tK6WvcyrIOBqldGQ}0y$bb(s{%0{9xXkAZ z$de;4D?E*u@%{!V((GzQp0P&WM_X%aJIzCv-Mh@VjV+Mek~TfSh)2e4Gp3m7GGm;X zE;2@NH;dMkpmx<_68kv`tF4#KZKdhQB#)L43)3?GtGYTkbk<17+*XyAceV9KW-;J` z#O>z0N6deGW^OCcT0SVue2a_{*QiW_nQP4SOygoRJu$}nN#I!nELu)VCDotD+W!=4AffQEneiU*qQP2T}%V0+Bf+X z{d=^=)lio4j7#6P{kw`tW3}=~lqKX#*Flv_6$~ zv+ZTCmbn6BY`c%bkIU2`iz^h0KZo+>#XTr~PzGhb21-z&$U;)a)>?(4HF+Eg3PCIF zON9$Z1;mKx;q$AlEew8~eVN6B^>naZt2^}n1C*$H@{WN8u2C2HnYNK@r!XVU6MN^p zv99Dr!;`nNB0(G|!FP)~SuPx{4wO2+lror|LoF41Qq$e`f4tN)Zo`v{PLnbFsZd>a zkTLnH%>L%2eJ+E;Sl)fu`^?1UT1#!2w(yeFw9n1%(#55zOG@oq@z@fxa;vIu;1`n2 z8uAf?u+734N;9W!KCCWNTuj#eYq* zOM2z3Xv`t#kjt3GWOztSA{!(dHAEC9xtl@{{_6RveKLUa7s)y~7t~qSg1+yckn!hmR`nt`(povcgQNHCL{c#Y;&Fv7RNsvwWz53uX1Dzg{USbwCsd+O zN{^sF<}8^=pFfftUd@S#b}Q`h6_V;jI=vpz={h=jGd*}O*A1}&*QPfxD`xLq+$={Z zbn{NXD=|2Zd#E%U%eSp|)7KffXIT+1u4}^maty8#aa$h8+|caCBqQ_ASY>^EzD9I8)LOPt9VcF%<>Dzk77n1R@P~nJE(8?abR80tJrGIsJ!vXyn{p)1>AzIC%2_t-)a{renTEdmUg`vSi8;Yqm33 z6s1j9(yC(Urtf5NTnp<};j1Fa?0%%Mp3A(IoB!2$mJ)V848J%(oE}~7wllEq)5i

    9(WY1ijmtk_}9J5BqE|WY*G1vE2CO4s;L50$?du2t+o-nmWH^C>E z)(%1T69vWqvonaNK>9sC%}zJL->R*yLi<-&QZI~25%`9E8e|zQ8?~lkTDhVWO=%D^ zDml$BOu(uMn`KsO{5}DWYTuW*P7*vo0y$oRbJ8~ZKJ{@#EW_LE1RuQl1*XM=V8FWF zdfQ>2eVr;`w`lyL=Ept5U&pH}HR1p>dt}8_f9SqR_a&HyZYwKkz(28o1;-Fd^Ypcb3Mo(&)A)?TkdrQsM(*D!R%p3jANyuXbSC22}0&2Vj z=ZV^2PSX-3@Up2OlYw zt?ezzlBEQ6pKAc(HoSY$)avDT<99qD8`&_me^GVv?qUFyd`u|fF;O5hfW%{FI}Gl- ztZ(9l?N-Sd0I>Kj4PCD_#^_HGU-KzjYYg)hPtE6dO3GuNPo8!$Nlz%#;$_NG#*zJ0 z3c5MF7EgSIKEBFXh`R zISDeefpaJVG2{V1o^rP7m=Kr<7U8*jcX^Ff$5mdrYrI63sOgnGb<8Qs(_JKcsZgKv z?JuI7$T7%=xs-Dn-K*O5juLw; zv5tVtysG9NXtVGARHTZMwddRY6h<-0GtDCTN82B7CHfeNpA(Y(iOPJBrJ`6)b>?T~ z(vuDJhbm64gtsmn!GxYbOmDV-#b&$x1PMA^BKp%;&;{gwJP2CTWIhC`9;9@+`e@Ji zBi45NjLU^Dawk1lbjc-t%reZ;s_mr3Fi5S_R_}<^FL6&~KQ!Tuab#tMd(v#fJLyc= zFph5>U7|@Iy=;JF?cX{WT zo2#^^+UIct)I4)<<-7sAov(g;70w%=)I zccmVAs5_gjsoSsDePkZKNL$He?yiQzaWAW~2fj`?brCbl0PQ<+B`53Hq1tyU3B~Jv zsWw_8=4WB;JGc`8`9r9gibu@PfecaDoWNWOUyD^OeSoNzkxiLPqgMP+pIOC!ij3PB z33uLewblNJ`Ig7C&fM!^hwS)>weDc1?Ngt{HMt`_+i%`EmtFFcQ`RjkZ6q$;_Gw`* z?xvlqX!P(Vt?5_10JK|IgWv{I}UB3dwp|LH4htsrmwd2$f##yyGit9`yOkDSL^J7G`JjCKQ}Zf z3PL4{r*4eQ#b-HgxfBi7;Kxkj{4UQ}Jxo$WRQE9Ci_H$yOK{K7j0)||*`?1f$zsnyDH4tdC{mUCe^@Nzox}yFO-o$X0 zB=ZsOzXB-QNNsL0m3{~S>l@|`DcIG*_>;ZmN|tq4<(=M@<-k|~!Q3inMm)7LR1YGy z9TM5UpQrlnQTYuZ;RbE>%8;x(+V=WAZK`17Y@xO`w-(BTx}pO{lp3gjj}G9Bzstd4 zmDh`-^lj%C&e)OpoOw0h$2r{^5zYC0BlI`}NF-*yJPGv>cgoXY@sanBpwtoX{ z>Bk{P55Jx`&tAo@SAJfTMQ-nI$FDnNw}W-Evs|p|S#4&PaEZL}T;>w`t*Y{TV?2s$ zSG075*BCm{lkl&Y>aL7nPp_)H-OaE0ak02}qDO7vS5=;s*d>o)T-WEMkloa($_j~= zcj6B#JYBoxKB|-X%$3c0BiBwjJBec;mK*rgJ@09`{{X=}R=3;@mFg7HICDvvH!;Sl z3Qm?KxDl8AWbu+u^s~Ru#{U*pFQO30T1E0mmHdfWFdH}%M#x2dVJyil znUnv94FaDJ`v|UZEKD!tRtfxUS)!lF$W%8!PMXXQ9pFv1**=>*_0(*STMS`|cT>~c z%GVR0PkWjyggBp>^nJSvrw4|7E4l3_T+i8}8zl9|PqJ_iPfjgx8&mbVf92GN=j~!i znkxW9gmt>isgkbF}#w&B6Z9_0mioNR$oyFb=sVeojf>ky0t--hWE$n8kde zL7vK{P+M**h*rp}0lKIG>|2Q%faT`tPq_d2A}8U05q72BG~Je-b;*2$Pu>q%Rwj{sy3z-#!;0)H$yDJ0}Yvi(#?RBX%$TDGk| zJli0T(TVdKHzh_O`3B-x>8`IFO*U*54UiuvXzHRK*1jF-%pfj(r~G~!3TIOXAsG!b zBkW;Zf+5F0DQHZa)Zds&gPf0z*!EL7NpK=vJ8%UAx2wua!dyFK1`tJqvvw^=L`{1wy*m`pEe;p9^-XksRN_b?+f~u~ooqrU1QPwvrGmHH< zv8>jKcl#PBmA{dCc>ki=G81C7JCt2?sh%3LUk~qC2tRJJAK4DRb2~z1JmuZjo3A7C zoLp}dW31xiq38cmLPo3L7fNGlwr=6*RJ!^2fp$s<&mtrTuIh@^}VK1^E@ zXLG-ls<52dHHtfYw}T^0of(x440?cBrKAps*}X)IZcUb4Az^WK6Mw^4__aiU{l%X2 z>gq+#v(bKsSva4}Zyk7!5I8k)Fdz7rx|UEL<@&h8`VLm~?G-ztsY~2)tr&JNiDLP` zfui*~I}(1Moo3wrzr{L39T;A3oYk9!q*EDS@VceP;I)FZk?{Hi8&zBFYcQ6@tdHrZ zY@kgtSk*IE;vj8^fgTAQHiw6k6o zF<9=>pFR8MZ;XFr9N(;Ii}i!s5x9RKI&+6o53_-xDswEE*?^ZIr|Du9d$NJ;*dOxY zcfLzd+_d}W;TlwwEa56H>8_2!CPaq;jQaV^SawxtRAWd#*rBe!}_kQ)}B zQU^*b$-zGHddbErI~wB$eK7tsM`1{&_C0;zx2v$JbTJB>CWUdH%BqyC8q!DLS-Zx; z*8x3S4?rKc&7R=EW(XReUvoYs8~Y?DJWHZdzS?(raYfHCb4@J}p?t!1fGkT8?EP=h z=c&7ADHlZ02Z_=;VcI^%fu)J1wMc5~vo{K!d2hE%T!b!B2MTjBe^fCYLEZe9JMlLW zF0^>Lv+*j;p8hTfTefg<5VpbwX#i?@5;@fG|-fyP~d%yDyPBhqL!|TFOQiGNOQd}FC34+sUm!B-X3y*xA{FddBBSo*LS^o}XMWH6 zRjF1)dS+7G8pNq~?56zO3F7(3XlI-qF-FGt7?Z^uWKN{J>C`w>aO$07BA?=QiAA~3 z9=4OOed%8%Vt3wM|JAqHeJ|6^=2lt;+k$Gvl1wo$h*C_NP;pz}R4(Mt|6s zQ!Lpy2v@f7q3+k19<=KpQYFiD_f>ak1ohOFxDKS(ii!dwA(^oK|M3w~oViQkp3+Ea zdOwc$w?~uLc=_+=fAPEnzh`EAPuh9h=PSG;#mkblE4+xKZAx_f78j2d-U;eRqcYQf zlw(nrhQg;+LX;@EBGY=8arHi-!uRfWUd}RZfr9L}VqRIy&Bz8WTfz01lD*ivC-X*y zBWA(!0MPt_k=+^%uUmY4WE^)1v~o{@cY*wF z(w~EN+ru;y4r?n4(O-927ZoE_E7gv{0@gz1)?uxm0J9O4f`*fzCBL z_$79E+(vPI|I!tWLl#h;_}_X8jN|J22L(dXnIAs*u-d(iAYVX>e5Qd5GNVr(TREFMT+sVRGp$%o%H%C?1fEo8b{8B#sOn)a&4 zoi(lWA#2(``(CP#)x2e2^Jihn?mW-`^9?wi-$}{wJc8h1F%)HPb*?qDn0Hp`)?)&b zOgJ4h;ulCuBPz<)@O`Eyi>WW=yY5wC8!RCrb$#|KwL0|O38tR>j!JC#ZdPCPry~W) z%qip4r}~VTuYb=OF*5Ht9ID1@l^o}i3vzbal`N~wF+Y)&gg7sa>F|A*Fkk3|o!?Qb z+e(*lnW84Y0f1Wt5!#B4F^M_z&UN8@Tmf##$6em2No{O`pyqTw2B9jS17IJe- zQ?*2{x?0(G^Jh;)U1PMYd7gU8Xc5-qDx4Ss0pG1|u0%m7Z?%00pfo$paL@Pz5<{ko zOw4-D`E{2Gl{jBQf5fvT=6RocXv)n)zJ=+Ato=EQ`$jR9G;o?k!rjzTdx}$(@7|AX ztzBzmo`V&|RsgUi2i%~5Umq^l8HrS}=llRKtYzNhwZ+j1bNRX4`%ybc77d-ke(x#9 z_{v#sW30BC-`UjN;X{i9(HOp^| zCod|FCg=Gxp5(PmA)Y0b{uI*6ceXU{kkz zo0c6hSj3^{gGdV*yJ}L=57_+ej8v8xXH{P5Hcr=858`(e-Qn$v#cBBL5s?-6r@s*K zy9HX~*%ID<2aVrWP(Flf%8d%U>*ysimja&p3gpiA*LXsxCfR#&dgH8AtwXIrfZL6)e zwzbtqt>Qi58dNUg4YjtYkL@R}7QB>;7xwo)GoRfo0s8nn-{0%^{PFt+l9|uk=ggUz zGv}N+6U+_o%M517h1Frt=)OIp`}U0P+cTNPVr3R_Sin>t+y%Uf;VEIz`&+l6Cm^z{krxvbXj6@0 zt#BN;sf&EDf<=4jKpLq_e33RO;i?-mArSlb6v|&**hgw`7%-|b+O1_nc)w=rEGRap)AbIU-2RR zOtl_-9XPg)_@RnTwk>+jW`&IuAn*#E0R)PLF!%gL_>eDI%SmtD099cNYf{HCIQx7i z7G&b$R}LI#;Z|vRh!2}*@QuQg|A=M+^4+Hxh^X}`f48RogdkdbEFURmGh0?CpUM4m z?CX4L?f<| zv@$=DdU(I)S;>>Zcj(mE)3cN1N-5w6X(Cw+(I)Y5%u9U+4~jm|N@k8@l^l|S*GRLz z{GDKZ=C37!i4x&cJwbLLc%e||6Qgg4gPB0B$L~`Fof7$p9=4m?KL4T^U-UInr5#|c zhs%e$TTbKxNDDfM{hRnEKT3IxzW0rGjJ#h4{SO`7qt=oA*ooCT@mTqi{hHb4eQGB=D5dGA8$#^hS7VQt15 z%B#6Z1WV*4ggR9u4~Rm0l}GN{!XUg$jjChnfn&|LEn2&6xtk~NR%M0{Cx-@`sU7cuYh%pR z^|)=BkIL8S*00Igy9>5Jbv-;*_Akb+Xk&Qf^$a$)c5L0_Gpz5PIOA$EiYz8FP%Eup z`!RfAl-BpH5^yP9WTkfAJ-AiQo5*1vg!nsnyr=Bt#u}?cQ=avoNQ90ZK9M3>hiM~dJTKsS`0#qhjy6RDF8?78KH=!z zWx2F^$43qWD+*j-#-8F0lAeMna)hV!!1Npl16u$#P`$jQIK+hq1D@wDJSCm|fr{+Ut9P zAxi3dm6Cdv^{XE<%h7Y`6Wzkl<%Pe>UNMHmzm~+L(mJN8K!$<3MF+lTRd1JFXv8YM zFA3*;U_`|LygPF1Q-Wt!Kc=n;t1?a~@-VrWNv|W`O2bGvp^P*jz zeO%C3HPp|I#~s#aze%nomJ(}L~`*(SnAqQTR{M~=ugzC+NbJ!e<9TvqP^YI4Q~ zbOYNn=b)c+z~^)ahm6~8?%+_LWI1NiE3g7hABCHjWWgLMY<1XTZ8^LZlGNO6rKzet z-?-LpCZ+2MlF7gq$+jOyS8adcs57y3#&d$!9AaUyK;X4{fi}-bq+B`DXpWtSIm)uC z$0VJcE7ZDpR5_fj|MKkrtRyvmcyobO8>5JDzmV{XZE~~p08)~(*6O@_Fz)m znWz-sFJsMDa=334+fzV!_0l}60&G{5Kq3twYPxP~DYOx1$@+k)FO142<+aYHy}}~* z`X*eL?OMw*oa3>+*s07L*LXUYmIgfkg0buQW@)Bc4du*LeiKXF=M=eBUQskGOG-Dq zMR5J)(2vYN2C1Ht*n;`I0wHBhrL1L>tjEct2!&%CyX`>{Zc~`6B|z5_3sl!GTl-++ zS=N1-<95P-DD3FbyY7BN0@znuNz?X_a(w0`DVoUuOt87Gd+Jk5#kk#Cl^8i%G^cPW z?19a}!4q^o*IJh}gF1i2GJQ)lXKxVZ$)WGN)*h5fj)hO*THy04y*NP!t>4Bwcx~@? z%L@#Owo%la^&V#xwTRejY%iOUZ?kCCdNQy3JBwCDpz<)Lw43k;%!A>7Fu#sq$Rf(3 z<^LCxXi{1-q3^Kz=VAjQn1P%tG*sC#k7PXcFFG1Yed+)Xa-=3%Q6z8M zNxWXl^SV(QZm)0IbT90V>Ao`6dND?O+N&66w6n>Rx$#5Vd7V-W8TAr=1ZZ+0uQN(J zQIRzL-xvq{EI4R!hbQGmgWmAu$DWbqo_SPrhaac8Le^#J27g0mGm?em7;KgGw0I%j zV_F;*E^cf;$gwWaX{^EVY>|01eT*m5DNR39l$+W!vsBX)ZH2HebfPpt%MsY7WN|a> zi{Gf)te0_*rHlH?w0Z9ViL&Y16Y(e9K&$7j;GO@;$_d1P)+J`}L;cijc*VF3BQ&o4 zvcA@^``L5#_fY2eI9mm@iER$`(%q+j8TF6L&}8ktUqMhsgISlDr5_5>Iy4LVwUOmp z$?zCZWxjER+;Fc_TRldzgx{?o(4Te$%-#^7lq>{_BNtJOJ^shsbMuynoip=eo}EH# zEHA@aE$zUNpwHaYy^R_D6l%?eb@{L9h`Irn2Y>XJAJFZn*VXRHsOEA=2*GA9$|GtI|BdPV+-2o%rX38v30${9Ea`ZepPFnT_P+eG=+ULjpm_LDr# z+3ae0n_z4B-HMR};lhH~+QE>L@_ABDxH4NB!4SDzw{MK*e78Z%i0&sB=Nzs@AHdca zAJLqPiGsi{Ma6;9np3>?6IaVJV&vuLInQNMrX*e)mf3-pS^2ttOgA1$WP6xNO@zIU zb(Y(=_`)lOaLL{6Tjw8A+FF`7&$pgZj8=c%Twl0~D50+ud~V(!On8o9wFIa6(X|P7&!?>y7Uvvagx%yHQX;p2Nq;#w>BVBmbyGK_R@uVDuGt=D7Gq2l|jPy`TH>@>1U(&V%ccRo3X% zX=drazODYWav4Y|J@P;_XU}!`_eAbg-}iyW2j5j^H9>fnApDD9lRRnj zu|mgPWL2p8HNovOG6h?`f%eDq+-brbL7=00&~(PSV#a#&r1Vg~*1$uydN z!mhr}BHpX37fM$*5Uxh+Qij~89)^(F+rXL^8>rDobtZ!o47wE3iw)}6HZP2w-UnHA z8@m!`y3F#1f-afo4KlxH0ctGxi=6JK1slfge&vv#s}ixH(X;-ibO&>D(xYY?CwKqj zQ#4SygJI4PR4A4+X6E8|dX|BJzhH|j0@0j5)vAH-mkjs9?>Vsgxrh_}F9OXye7bJ! z0{zWzbZ=Q7d>kil>?6>4m#)p5P7k^EFS(8-|rMVy%1ck?D96$YlK)Z`h3~G{OtM5t{7g zJlP9H#`Pa-D2bC*t9-B7B%5cVF`Pu51df#2UWIxB6loXt>7hZ`N44dOOQ}X#t{ADR z7P3@!Nx^4rx#B*2o)P_Mv@3iEer37hbJ;XY+b25-j$5usIhbI$;=s>XO^U3YIC_2Q zZB^n!c0p>2ECDeyFq1#qBY^pp0?gkyb;*$nsntG$Z8DvEYhM7ETxHQ?r1cyPu_2;1te(@awHu`s z0m=8Ftp52qAd#&4I8;E;Y=V%VM02hJw;+HpbTX$#unYmmJJ1I?)*8y@(&<(4D#90Z>db3QZ`wCsRz-NI14R0pL`Bw67ue{%zK(WCoZ>G~&Q+T}DCpV(s z-s*iYmyq^)N89pyysgjX?Gd1~Eq|oA<>jEWZTWiN6Iqco_O1s1x`VQi%(U*i1Q2cw zX7{v14V!h}PsDue-(8J42e%mBGz`J4S&=tWm@&cR;`A@%GBcZ(Au`ks-|1aX<2HMC z24@y*4^D(BGR9g?Z`z8u5oukDuNO;1)d83)(V8(gc7Mgv2mtP$&%ITjsI2glApA6&AzO3*z^r6Tn?V>1*2i#JTh61Z9LxkbC`6c9bI|*xkDkAUerx^lPJx=x8IikvDBAY%HSdUB z+Nb4ik$M47=Mu>K%asB*86p^Gqz0WN$+Zrwt$*`A5+D(_94E&xsi0c zgK`b8t3|Xo#M>NPAr6Aj9}wc%=elXNB=&r~w14=Ul%+-CZ=kRj6NG72RhI^lxzAV7 zqqvRR=1B;NpSmVW_D4r<5;Xk=Fa3l|F)Km7B>7x~2I21)Hs5 z2Ps#;v4pg6Pdp#4e#bs!R`&C*dr0+FPXTZ9hpS`ao7nU&YW6x8JBtF9C?+Q|v3Rp_ zGkDTmHK1s9r3nvF0CBS+YZX28Eb&pI8fc2 z(p;76Ji@Sk|0Aa9l&@snpqno$%f^RcwC*Nd>2{Xblysph@5$?u_O02}EcGdaO^`(L zWHT~HY*h*Sw!GNfg#;an#Vh(U=Hq#i7^5bxJ31gm@~yxBFdBV97%gq69aiNfsv%fk zB09$g^4r9Q`-@Br^2yHj@W08nQD^%#+1NH(ao_;}CHb zt1Bc~huR*@{?=!}7Y1rFof0_8ZHMqCb@5I3$v(4_+rW7X6y(IBuqgcl^irvvy$p-5 zFIhjMD`XOzXmS3pzL%w-F1Ouz8zN?FW{-6PT&!`QAc+VK6S>DRxBt3LDiKsGno#Q$ z>o8mdLkni7wR_$RJ4Lw+8J#cz z(10$MT7s8Ky(ySzxrWwwi$;f1YxuZa8sg(dCrNAk{W@y6wp`=)_*8{HRiQ6CQc&aZ z4Q?FC-`r)V)Fk_cVJFM=q`HF&!NdJfRbwPY<>vnOkQ&eHt`_8(A`5b@{SuEdqABJ; zlA>Ml5do{>@-LyM;lacY9ST1HeRqZw10NJyaEUUVa*_P)Q7?iVM(C&5oM#Bw!Bw;` zUa^+Lr%~5hkl7tI#5as*h=uOcLp<}A6Akfq+yBiF3o$JctrvWcFvKoB#22;rhmJtA z3s9p33Rz1)?ugLUHRi6!#WK18eS0KR4exu-ntol3uIpf z6)AD*`S;Q5ahn5e#rXmAxd(xP-`w3c%P@0ZK_uaO2Fe6JR+)L&-&UN0HejG_rL(xb zGU|CXbQuf8NwAZ!G$u+{_T?^??`Y1ptDp@wLOPqT6}QjLb3}$la~_z(a+Y&Lyi{(S z`CR^2{pKvAEvJfLzuA7T)bDv|)s@`6FEO|J3SP!OA&R(tOOiKvUJC6qcbHoWI{iGS z)~3^%YadZrHm*9C!v~kq$$Mh=|Si%WC9UESmmDKoZ(L9vliw6f^6piZ5zICpN z&c@V<0mo9O)}D@hsMyop!d{>7bLsP{0+sXTSk5pxVREwa^kja?&isCvx%Fw`aL@`S z9(JRULhxQ8%_*(i@ArJ}YWWx>DOtat?EJV^RcRfkl7k1Jy^z;wR8l+d-q#cLeq)>gG+~KS5Vx~DQ;_@mtTgsA7pu!3o7pyyQQwJ#=Gy5 zHoOJHb#PQv;sz4iAfch)49!%sD0R7$`-fnT=&XMs!(p~d?-9r!r$f_7SX#N)@A<&h zvQ=PoZLAw#sBX-m8(dkjyD=u#jr2gggUCOtWHR8O|5 zo_r+MEp!eto!q@V|lipnd>(n(0KcS;4Q%mQg|7`;%H6O zeptLM29%>v%hjdrY8C->6Px5O$5F#7BeCwMt7Z4svg{T+Ni2}}nQt7G^fZ!|nie3D zD+@cV9Z1K!f1&b_ts}ysQS7v*sh;4b>b+2XVzDMAd9XC0yV(4_RKAKYoZkS=>02 z-;1N7rEo z_jJt2QInLpIM2VG-1k)`@|F_bD#NciDx?o*nUC#LXcTp`j-e zLcM4lI^`)@Os#k3qB6n(qsw|g4yl+t2xG<|ycyO7FL*%0{AX#~IU)vbfDT$7Xgl{b zYNRID+BUNLJf8$7luj5mg1}&*^{a}@*SejK>OR90O}Np~!?opzQtbIAn1Y_i z-_-jN*4dnj&}r{{Tq@Wc+)~<>PthCrM8m}?jZN1n9=225PYNJ%8=pXcMg@O_VKAZQ zk0ACbt+Yf*@?uK-zrgXyMfAJ&&==d*y=n(5% zJQda^ia60NDl%jt-H%;rmK*rHTw#$Cq8YjAF$k9Rs>hC*?8_MO5iWzPg;3Y-ycf=w?(=m-dyLG+icGx(sbY$d{UkdSO0()}C012R`Ru{mcPJz?kgo~6HZ zxYLK+h@1T5Wf2wj-B9c68*Pcot9Uf0zxx!}zlc+S>ApVfeW}lOh}7T%{7j`%{QK4-ltZV&43zygMWY!Poi6I3I+fd6Kl+{O8gk)=w!JX-A`~Kn~sAzbD$f43s{pWXz;#UrTQ2E@bG#dU8{@@65p-7ee z{j*mhJ`eAmOxeRJ^>vOCYIEBf8g6|J=(T3EH9$2$P_28iG~i~ntB9F{DGuwAGePq9 z%<55+ch4nei|P{=_Y1wT4}?6v_3306xlceFeq2{t*w;4^CfTioONV}d>uW7DVwrrf zCw<9pmtgnE*S_c=*AEK&`X@$%3u6f=VFD!tFX!G$S!;SMm^>f|Sq@2-3BG15&5w>n zl4qji89|<*J$ZD7!(^2_6JrA-`v>N_Ia_Cpv1yjSUrKAa3$_zD3(vFA^QPxkEnIOdRU5XH}e`3aWp5%)gsg_W7gl-ywXSyr8Q#*J|&XcTvh1& z3jSA#`EHr{VM#K_6b?kC9?KP;$fQ+T3%+$Jd6N($rW4?uxH*`qt~8fKH+4sVpW6Ha z(Jpp^rr{;+{yZ$7q=))qDJ7{}Z}WS*pCcU|R1H%d6sft8I?_wcTBA6-y9djpyCQ;m zUCD4lH()-pFc_kX!GdTsv4er&Y0dgAko4epysZVXI@YzQ(p&vw`bI7;;mGHTB@3l) zNluT9v(d@s>IqYN-gn@<+GSBwIyD7da!)QbdKQPf)&dknNL^y9s)7KeNRoNVirRk3x4M=qk>Kcwtv2YdwB^BXYo z6S*d_eSx3{yV>C{lUkVpD+a7fjsc2LfHWceWpe14(1}t~!JbIA4XlH27qfX)?zYCB z0qQ}hn9bni4!y{0v`pn-{~F(fS;h&F_tN%B`DMU4 zd;>W&;-YAshb{!TTTECDt9@3DJR7kwNulRR0!!L2J`zXWq?!qsEF>Htu>f#$x_+Xo+C4YpsZK1%F*F_u~Vd9?9>G!xyQ})l9fu z*#Qh*Qqxud0!tmSiUpAg5=m7;(1h3!x6Uk_7?~}2o_$nNuuj?rL4E4AGq81oY%DCG z$yR+Hgq?tt2Ax8K;+5w_GGgIr65ht;lZ{DbSPZ@KPlEQR#p;x0HIDWfu(p7-2Cc$; zHLNiNVN@`m#9<>FMF1=x9zkySAu5@X+Gx(F7mI))mBl}LLu^m8vR8-~37^q@GFxT% z&=M}Dng59V3GB%wu3Ij>LYkdveMBaq6v(H_hoe)XYP}UQX!R zwt{TJadknF&iiEJM2|tq=ufh4qVRF=Al020uSJCQIbhGYcRXLYZhoJJdL~ar*V`3- zLx5`jqtrZT>oIrvOHtc)5yg(9;ELxNd#-?uWrqlwwxx!>ggAwvH;&U=Snwe=vT9PqnQB_4oMlPE&$w3>uRy$3~z zRjQ!ARAv2G6|F`5*S;plVPGxs)Hgextap7&bbTjQgiv=H+|kta4+~BFmVT1-Rn8;w z6o)95X7XT$(|&q1GKdchTTFEmAoENml1nIJ>plMZ3j>zV?Lqso`m>umy;!#xGm$@I z=eDw!%E7CUuW<%;sbD)$OKSL#BeWA?LD;qjD`zHq;L$cP4?~zwu%Ok19zWl@iEeE* zxB+5VS1YebRC}Kstp->y-S0`F??YK~kU?!CckO}InbsL9lh9I;ZRS6>BpWDId;)WS zn74VWH1=|7?DWwh<1EKF13^4a`Dlq^f|kPIN)zhSeUa+J5-ESEXY)#IjBSk6 zS)Ej`&Adtlb3I!WA?&&gy|W!w7SzAK?;6Urexg!lNJ}45ULmp~XC+qD!8@Qi=#mMr zQsCd+6U`~{tF`-XasW7vpGeR#2B$^tGCpD3)(ND!ZF3ddaqii+**H#*wwwY3*1N1X+Nd8Pg$NpUz$)sQE9x$a zeUSanrJ4)9NSld>nRr~!h^|(8;ED^a#NQLX>y$$~@V2EH);X*?KVokCo)e_=JuinB z*Au6}c0S-AG=jVOEd&c=+F4`1t6oGfr=*zEGZ4dgNvdo{2HG``L-YhF^G zHfte>O5I}|Qyvp&z@Q3tqpw4R(Nts`L!G}7Re6S*xk@`1;*PooPJwKMVQety*fXn|CNn5ssI*Lkl z{XJ#Ei*`CTmK)jS?w7&@2 zinMGfnd8Y`f?+zh@F$@af(zt~zXj#`_GL-kuQ5YNgwdP~pG+_`4$da^*3@d^@L)IaF`}>AHmOaI2xb7!{RbgD zcAfJHuDRj5j!DT~b}TAkId2jwsd6YGm}jOA$Cbeux>fUErPKn+)uy?$5pdvfHICxm zD^O(R5~5y!TqHdzeEvc{@i4_w@+8>J%RkVK!>LQ|C`5DSkwT$>f5PJ`b0}TX`db?= zjKCllAKP&6a&?h@5mFwf%)WM+Iq@>#sgPI|3Nfj?g=&CcJj*I%O<^NL!Q>u|mwB8>2)$_Tw%BtaQI$8d}Nf-Bjh6Ovw0gfBhPyIc{QxZVt!>koRs8f^M#|p zspkZmti*yFcb+9jnLWj|?_~8Cywy#5tkC4`s?xuCu4(#X`GOsla2u~oiSekM7`+Br{F^?s{t#h!nn5q$8S zSkbQM)4&3m!!mYQhY%1v?d2O4jy$Ke^*IfMlY8U!HNY5%sdM6vW9eKT8Xa-oa0ajP(_-`X$Er9ZVz;4v#A!WYXA|a& zc4=efAVU0+u}*(vYDs5(MXf|aFm+mJev|D!FHXCy(TCvVdx%KhyvPEmaZnhooJ zoLBNA^7@i@b$*#-XE!^q+nsh@N1DH213Tt&UqMIm2F$RnPAhJonRFQa(Bxg1n{ut) zz?fjeHr{V-BVAi~&(|i{Pta||!!3_3lFlMIZF#H^pWm}%}zCJy~2i)>+mP`jeK1koyVDvOrB zZ?6uHoE;Vjo!TDA;-EMu%lZeTBVxz|-m*F?S*O#e2`JaoO{IOYAJjINW$UHHb8ZS% zhB7?oX5hM7?jv%-h`GP#SlKcVzw61&i{<0V)G5lzIUmPQ=yz~gLzT8ITxBmZ(V7V( zT!e-SiO!tVBbzg}P^Wy9ij1C&)gK&8S7KPMv_$&D1Hhw9TQ8-(tTap8p7m zPLO|4V*WlS$p8F_^S??j;`Kid`#+)n_SF9%Ksb+Ko!8a};sELpCFEN|ta0r`hIr>~ISXqRW zOUM%`MM~8mZu!6GNdgob-=iequ$RYbIFEZE=tY-z9^r-bCw5rv?9vo>inmqkO^Vv_ z8ZvEZ$-5CpKVuMaTlWD0#S+lMDoNH%G|412bm)&WhdgRVy$GSKdI|yz)R>h?~YMS&~zq zzJX$u@>Q9FrJse8HXhBtzh;5^G(8kE{XwF&VWD&@Dflsuq;BUUcHuWETm74Ri{AO8$ z-?LK`sL;9MF6DM_QBg1*X^UrP=u^!6qR&~-=_}am^K`CEmzB)lR@M+fv81c5n4E98 zTISMfn&xVmfu|T%!L`j2Vm=^nGY|Sb{|Ke==A5Xa9Q-+G3q`vTC6eK``JNYz7~gZEfwb!Cm|o98rPot>NqgyqStt-Bs3r*q zM^uxZKb2BMH3=104zI434;eRGp(o3_cLuXs*kKrE^S8n7*(xVoS4#(p1TZMCWGTd? zxqeZHuvzJQf#|qxo=#WGC8QJ;wo-{J#hzxFQ%)eF)bas|IPhZL#^>4ZYB^PvIg9JZ zPrskuU1SNi-*Ote|EW$S-<;p)~FaWKkS`jhd?#mN0?BhxabK60L=}mf3jBG@~r{*0S^- zTn>ak*w5ftr=21L^Fg4Uff0`BNPA$iEa&BdmwS5fQbwgLMR-9e>U;zR2vNp<)m~TR6C~=rIdkEvP zl8C+IIz)BGe<(IK`PM-s-jP#vZo4kY4Tx+}+CK})dI!s*dI0u^~3) z!o5>=uZ1QsQ@;sOpSIoWUYCT;?NW1hY1>J82>SD!@`q=xd7uIti;+{&-R|JOT1j}& ztAuC1)^+WEe~L3Z1v`YkbxFR78$)kLPWHK;=;GH-_+A>OGLm0?za={*bP5k=7O!&@ zOFEgbtTb{V_TGyCVi-005_X^N-Z1kD!&~XXK|UWwaAb{d5}-41wCEjQ%ZlU?p;NeA z&r_ignSlfgP^WJ}4$#4}n5yoa#myQsuM;%989dA`&;T!hF<;RS+_9jbP;<>ptztweHd$w{@%b?x}xmxOb5!X-MZn~>=`IEkjvzHUzZ!UPHBz(x(c-n!^v|x74ZR;EpQ_@3cW96YF+C^CC zpJirONoRL*=oBoD23-c#&KfD)#`9gY%O1vnNyIKVO%jC)+?Ax;B4r|Z(vdybzt4##Me;oFqUKG_o{Y(X? zoKmxF0avVeU&tqB-J1x8hENpMnb23`I2oev*Gk!bv$}!0(3D5d2f#p@XCDnGC^YLc zxWz(BiK6K&*sysFkw6aOsfRMM+#DqBj=YIVACxPNQ0dSdq8OWceV3urD&CL>JFVX! zsE0^_U=db3{<>T`>fG$5aL?Z95)koIUtp&<1Mo}aX!l=4Fw9Y zG5lf)&s(UUH8QtPS?8FtE?Z0_Puvii&EyVVipk+=frFiCR3Cb8T6=cinl{(d?*rR6}VRkG28qpx2rfPsKoi2zMR+5O-J`rGF_ zd~3dv4Q8355Eve1BN9fz_1(Xu5Bj+8jUD%Y@kjd@4@6FuGrypk9Om0_In1xa;kD;! zj>sShl~_V05(MO4B6SPnue4n=V@+SS&~CDjO0(41wWHsy2dtX`gQ^`|#C>@!N$+`i zJ~GV3Mc;w2Z4OuB>+Uw)Bw7}341{oLK=faWAg}-O2zV(|V1V__Y?1o*rLD@Y z@@$ymwA9a|ndt0_b&8BL6n+&+@!@}rs~y^)$pbXq zGh!l@yTZsVnX{i`49r#pI-lU<88kWD8cBI5F&()g-hEkgdQ=;%Y+@8hz6Ze00bmIh zO$KsxSz9!b_jXt%FiiM(yd-NIUb#2BQ*6cDBe2G($ywH;D%djP3Dr86Q00BH3yb0u zz*;1;v$s`~9zJ;1F>n2$tD>)n1iFgN_sUGmIvMs;8Bczul(mI;PVYeIEXzgRCt&yG zQ8{=OFuJ>$8O1zV$Ac?hC#`sizj>>ogq6F zf(iYKxirId>xH^)p?p#o2A#fV(kjyq7~O+*$5>&^EM#_$L$8{zJp)D{E_As)81*m105;YXmdTkJ=|Rw8Rk3Kkxa_q z+3m%@l)y>C9qV?7J9!xR<)*>m9ZsL;aN}vA6wixGPYUly0q|Ta;0h~NNJ2yTLXZ8g z996GGrR3}6!!{Ux2q!VRnlve)5&U(8CYoE|RR*1~IuTbc17xA^V5;?WYu3z|u92ie zooZ(^lIg|vL(+jg!=nfPmi&zx>XNp31__dasQs(alr@A^#$NZq!;|AEaa{EZSw}dE z%ERE-<#RkNOJ%&%L}Do@ZkrBsRM;>P z1oj?dnNE5Z%{e5T3^VgoyD>pHX;pb{r5~mm5`Zj;=6vQ>OX8p!=7%>jU~B5TFhIc- zBl5|5d7@77dF5EU?R*83Me5BQ_Wfh-2}5OkDW^%#>%oCs77k{5i_Qvh8wA6^HDV{& zRy{fT`myXHjM6LC=qj0etg~YMH#CxKx4}Mgg|{s^ev_F7+Ryi>^Kax&awQnncA@pu zZ;+U9!RQ{^Ee$U8n{C2h_hC+URiV%G>52lLyfQaaDwq95YAt()+Pok}fYtp9R>C0L z92yv?TqBuzn7uLITU74|o@S~5`xfwcus#tjyH6*;YJ@vvbIOms3(o{q@9-jJLb+3l zmUi$4h1i%^&5+eeQM_g#C7P2%b40DnLCKN(p$k}NyX6MViPliPO`0E#i^_$Eiyb@> z^4uW-s3kjPu$-_Yv0`ogmQWSM8RT7*wFBI0xTTXOL1$AbhK5*q+Gji2J=G<^AD<); z&33JtptZ361IPoNq(1Z$*SRzcdQah4i}|{`dO!_>a_0UPFUWrk*9#7&>uNj z*hW0G(j|s=qqH$HYvnw}HGQ2#8xHDDx>{Iwq6GZzK>;1~z)560xF;|?8aVj3Ky)V- z|D%{}c;YhYmsDiEgcl|s?@|v3L6RkbtEE4sP`GTGJFMz>;bLzY-6>^u`6yc0CRohR z*g;22)k1z`S(mA51oOa$$aH8F3Kbb6-uLaNLrUj&m3HmTxn zKR&6VmAeXMB-p-t-h*?NR$>}*MEA`OjxSw4j+rH$hq2JnF$WWbFHKqJcNAUZdSb4_ znbhH1^8q7eqAy|X z!zfzAw^8JX6!r?gQH3W(a!KWIu8w5I;%NjSMa{);M>DHMj9OkO5HR< z5Y1_?-&%H`^tc0`T_lZstWqzjj?-S>isic)-> z!U^JvjU9sUNWHiiOfN>aH`VXi7JSKHfDpHHqfqL-=AleK_MJn@QbM=9Nz(F~L94Z` z3~Ty)+RBzX*(#-JCuns=sZGLY!a2UwfqSdf`H)Mfa)p%d=;~$a3Ta_@c{T!Z))1wN zy4@Nxb48h$@i_A{t5rdYb{E^ezJ zRS-yoL2P45V`60G zp!ty>6%Jl;MDX4+C`oe9%8lk2v_J$Kza&?9WiI!5?ocJq%7v>R&3P|ZM>pHiq3>@k zRvRwFUNpGCXMT;a>z3b17OWk|Zo#kSEk2hhm{3V+-?$`W@rn2xSIeM^h|LybOtQro zmx_R52U}SCa!2G&%tRn^P}~c+6A7}k+i9eE2s~a}&ls`DozJLReTsk`AYcadj~NZv zn8ixYP&s`;)^lYCt%t}pUON3g9zW&;}y{b zYJ@9L9ubzfi0yc*Sjj`sDUIfw1_MXsLwmv~F%KwxYX5|lM(FIGtO?nagwU3U{9Uw0 z50N`Kn;WZYC$>J5Lxo%+-Wr^8+yXRIz_n=3Z^%z>xm3Tyx*p|$67!%U(s?EXu1OXK zM-iOG?F7sg^#a9DDHMK6>u5gPs{=6}%KU58Rry{r71r;!m^{IYto(7RWh^2N>*n*s zuVkV;X?2CXzs$B_{R`0ngK?}b)5ju%fL=ykVDHhG7-cFIA`>{8Z^Nej7Id2?!**G} zy^7&J5b*ppNvAbwOqbNIbKlUZ-elB3@ zjISTHKw+SzUk!S=<4|oD{qGbm97i{Li^c`-;#QmM$!(qQIs0{a4g>o3snN)@sEKy{|DXS(btxFML*OS$zT(;-F=%eubBlG%hdrM;rRae@`yrpn_Z_A-WJxbcgoX!LU;z#A2s=*aI6fUq99LjAo-{k@mJ!d310NsyO>KSq?A ztp%%PBEFxAShZkRnQO|c)urZ1BZYra=6SVgaE))x`^NsV_MaL1QE%g_5uu^|r6zfW zrla~S=sGVp9^mttABCS2fEv7ZCY%s!k5}f=CigrvckC3<1sjeIWy$=A(1&zrp`BJj z!z&xGzewC|$HrMVqiBIK9@6d7Tfs6;3sja^0IRy!N;__NxwTIeRgO;olyH%)(~hkz zV73c=VLfwf9_mq^2m;IuZse4Q1J;)WWQV+&oFF2+xI%4y2BJ|y~<3y-4-H(qGffR*% zcgKkkmYh{_qKH5|;uwf?7X}$_JYIE2aL_C(w`ToJbtZ;cUWJ-oBX~i0r5{7P0rQS# zatA8Yd(8YzTn+QLmzh7FrP3+QbSp_0=}o|P!J`OEQvyy39%-DsW%5z*lqTqikdBBI zq;fz!8rIx+4$U`vs)NPfy5MBWkriL7Ct%;Co0Wfe&2RmZTA^~dEq)P4P{!+VpZ`N+_3@QC}Iqs_C$M;Tu8Uga_wj*krYL|>&T#s17 zFzSNmp`i;?f#10cYwPBU8>(B@Skcf>T{Tyd1}hhju3lbEc+>Sw*H+iXk{NRsEvu|2 z!TgGb+R;^u7fU(vGpD4qWYLr42{5r>wTJvA(IkCOGAy-L$Nh+(NvlKFzFLtoxGC303FP+UjMbnY663 z=GysE$%rDL)~(>hGxfhUz+%{`jd>nUo;)j{cUeq#adT7iCN#r4v*CR$Y&lvF)G@$~Mx>guW{cd*{QsA6fgyS|R!8h3C}byIa5P)!r*O7)6{ ziWREJ6?TEDtyQ&66$=+v2Wyv9*N1{?KFwufjjF3xvuTt)r=%V7Q#^h8Wz#3RM>c6_ z3N+j`6*RDFw1RcXjM^n`@~CJ+ribe481IR0@{-9=iF(SJRVS58>#wa2x|@O(!BCU5 zzbVwvP~Ql;QL_8Akxi$mZZgcIYz)=8!Q9Z|iePPh9o3HMDQ{|hXmOPuwAvW3YG&`cN0kHt^(;QgY}KqyO%AhR#^zE zZmPYuP9b(>{gMU&id*JTUA^i@6Md|!Zmb9ryzqLrourXblB^5?;c6~bR903uHPr$b z4O6;jlwUS|=6wH^m-@;|rq1`5%*f@YLldU3+1;lHfRS8{1$h_o8KJg;!v_{?-) zotsTzajk9!Q>e+kq@s$MLwGP)y`&*17`M2d*2>UN735LvU`cPRVDxM2D(f2s6&7DV zI^D*i%KExsMI|}Okx=?~n&N03tgcw1R@Ei-41R4LXt_jVbF5#|zHyo9qujopW&mk- z*^H7>P=_YgG}bSn3f8EKrl#s83m0GSt_n2@V%Jd=OMyyNTUQ&btyo<9eN}w=Oi9no zkPcUq)4ecst>E?b^&t?k2NI**C2DzHQr{GGFRs0=n$o2^jnz$|#X*^&m5iQL2Bf4- zqv9B!e@^A%#xvbDp}I;z3f<0%o<`L*1sg*$bp+W}C;W>SmsQl(jh4PkzsE|yW#O%^ zs}Ehf$lcUXQK>f}!50>U1b`*NYfLYA#rrNZn!%es)2-mm^sZx}tYmt9Yj`BqQz-RF zY0}1?N~-Mkk1dk;P<&LmfeCM4oUsJH^-{d=2 z@-@f;x3sn~7^+}Lj17{Erm8^N#k@EH{O7a1FuTtId2rl8S-j)z8Z$xi_4HdU9L$BL zdWNj3dTDK?oz|XzV{AB8FK=M}u=ojdde2naGe*HFM9p?Omi}OEa8YA5vl5KBE-{a! zKQVmlOjQ-Z3f*kA7RaAWjS2}N-ZUzD|fCyFZd%!S%T5W0X@-v}CyDnD?M-mm^*?^Ch;YL@PyyLx$Lwb~Tz1~u5}Gf?P~b5Ui}lD*>g z{)zR`o%&;>rYHW%H|mU#+Dp$=iSp}0ix+FJ`i5cE$Do2NBe{>!Cn+nXxVSh~e$Fj4 z)Db4%T~t0N&1sxpSU9^JCav48z~@}BXaPTL$PLT+agu`9v7AmEC;#MA{cxK|^`paf zD=V9fSu-yhRb(Y{`m-&m0(d-ivHX7nyxs^+*lxx#1;+G#sQgTXa zpEPIR^nMwc{aslD1`f*389XF+=&<1@jX3!f_o=6yo;UK0@8q9(R>9e$MxRqSX6(4} z=bm?d(S(VfNs}+Q(CeEr)n9zk#etG(mz0)WdRh79(`U@YMA@7xuey5fyldt!s90E8 zRb6xKqT26Xw|GfieZ%(}n}VUG%a&jN{To)ST(w#~BP8v(xH?A5%x2rHUp500m0eB3 zX9?l6@Vl&CB|RIsPcrdCd}&2f(8nIQw7R^$A=D5L*I~LiqYNsk;@avd^~-h6Su={K zj~hGMUs|fu$Nu(dZoa8`O)~?Ud{gsHH#TRdrZS>y*RExv6c#p@H@7l6`QIrjpWV!O zF{tI`t>ks*H@7yE%V1a+En4JsrY&!1Sk};R%y`bcibVX(2-TI<*Ub!7&#tbTxhQl| zW9>?7ySauQ7W5i7}*a^DnW48;zcSNvJn{n}4zV?*EGf$Be(* zSoHrj1~}Hl|LGea|MlP42#R~7qvv0e5 z|GLLIMep?AuD3m@vWyi>5C|F`S^KZgH*MbMl$UJ*3^ z+x-s&CN5brQ8+^Sr;4Am-DUi^#dAGBDx$3HoT{pG^hbFa+GYI8599?rj_MeD+JNFX z>Kh`YUZSB#VB6?~kSC!gs7xpoRX9XTwUh-3Q!6|usF}(|62K3{O=Ad#j{YJ~MVtt0>SQkIk>`6Z75wG?WsB0|b+thIfH0WHzQbC7l7wU_0B){Y_NN12n=rq+-_f$BI!aHn`5GH5uD3HLhWBKFDdx`C_0GJ$p}Kcr#4J!O8pX-2 zt>ni@Ro zR$b4DlqTA&40yLOwv_OQW8gCu#M1R}ao}3@q*zeBDAtv4)x?DK|F$!|;grz6qaf5f z-HAZCpkXmgG$l3ByymOtDOn8MAl27n;*W|2uJudmY8BHD9yd8`j`h{^7;|{@%ao^Q z{Fl}!-q2AaE25uRbum1#(|yNSFn#9C63Jg2;4f~dDhRic$t1jb;*WvWQNh{H0Xt2|JK)a-(!N!~z9{$_LNIz|SJ#J$X;!o6=1x>K-DjM~0 z2>Yg|AU&>}aw~*on^-^s=z8iG8PIX^>kjlPm3A{-)W9fc@O{+0@0sumf~*tuH8xbF zzrt!{JeMw17!r$E5XS_=xMs3p$OFFe8rY;slZ^{5m~6;H!sqZiieFw6Hh7EMSh0dM zXW-I?YxrHoZ$3PbvvJ8{z-BVYZcM@*$*;&hM_q81K^CJx{PN460zS@CafSiYQY}Ax z8iLcOl7BM4r}90Cf-aCk`LXd|;l6y&pAX}6G2$QAX#EYV91yW>*xwDoM#R$d=ffQr z5a?jOYhV-f1k9f=Ed9i9c)C5Gq;IH@Xgj-?xSP$_pV9WeZ+R}vfbsjJI8kS*!gzZ?jv^oF8h0v?RMDi zv$nh5b|1Ih2W|I$+r8Iz@3GyxZ1;BCz0G!Sw%u0SZL!^E+g)M1%WXGkyA8Ix*mf7$ zZk6pWu-&<~JI8is+HSe+mfCK>cKx>NwcSa!TV%WAZMV>N&$eB+?Pl4o({>Me?eVeQ zUADX4cJH#?<+eM=c8hE`*LL?`XpgJyK4`nGw!6S~y|!CuyZN^3w%sh-wJy-*{l#{7 z*=~pJ-fO$fwmZjm3vJhFyL%?0&>L>D(9fgva8= zmC&MuxZZUq=903d9C*=*MJRt_NMW7BxQjIKppQux3y_#c65=}&{E|k>i2Wq?L(2W{ z{0z-YF$Ox$bo6UTUr@xlTiBOA_jefm(U=&TmrR_SIAB2BAtzy{r5IU`%TG^kNK)w= zh5`5VBqM!ypF)SzVK}Eqnk3Sk;*dOh$>ZpsWc2@0dZA;8!x%!jj=m0~?*`lyhmj)R zt`x&HzK`J=+pl53N$JK(RW9SC@%@dH8gus#-kZH^U`JNNrrD&UV^i55N=MVqEZt8> zpCqHt*rY<`HYODga8XWGTD-hizE8)Z1wRfshn;Ugj?>5qW*9kD{fwOP=|)cDfR0$& zSH~O1U$Kd}D6x*|N#z5Eq#Hx3vWy|)UB-~cLHh^nb?wUR=ofF>rt>*Rz;Is`t7B-g zF?3;0Lw2EI#L7Np0{a-hcgM<3PchQRJB{?|eHsQRIP^7K(|gK%VWMG(ahn%nWvX^( z(r(&5p0?ZVP69Shq0saWzi--U_u~H|;d|&%sjI({{-Zt(GB#fF3=sHDPb!SH?_Bm% zFTeX@?UOMYNV<$ZMnC-8suMc6w0DC-o!GFL>sm4hQb2|n%t^R#zo-rcfvpAceY(upG%-K_+4gy4;YkU3>u$i3>xc-=UG?E zy2EcQ&vyJNoPA??95N2C0skPl!}#S)AFx-21@VJ_IpA~7*a00H&yEV)6>Iwom!s#- z?`?KF9DS3GzK&;&B=E(N?KHBh(v9qyeT{6&&z_#uFkoo9F_ij-R`oT85;k;f&fY=0 zdhqs&S%xv>3d4BFF0ZeQ-IN~O`vG(4X?{D17uVs=fNmOKxMyY=Zt%k0c+&o1dvkXU z?#OQFopojIwg=vQ@f;J$2l5wPF00-}k6PT!$JDmy!Nxp9Y6&KW(p~?K9Kp zLm%lwha)%L$fd8j^fi}$<&M>J-jUVE$O@(zS)|Jvo6+Iun`HDIn_B3|B@JcdQbsOu zq;JspQw9E9bzJ=E0udY;Nk)cafL^c0_9+}N#L0Zl1n)A8A=3qtdiKZs-()ds&Cl*-=sog1&i;1rT*Tm+{f)uY zHF)~K{aL&EcVsm5TcFn~<~;pQocHT)0RJGQOJn)dl8iLR&uqMaw>r}WUi3GzAI)lT z$ef#*K^grFS7X11zV1xJy=kc74(2kxLm1n^hTAdF7`lJR-ke=MWA(@y!+4foY4MyE zTzmc2xYv(5z&{)J^f>By<&br7W;SpeWDI#!py2ArY{*zJ^vu4%^K9Y@h&zk1$TzYY z^!zQP617I}X00BPVT=HdBY@)w#(V^Ij2Js~?~q;Kf?7Z52WzsxL*q;)FbDQCfj!|v z8%Y;iH<{;Z4Py_8&8t5kjPB&O+J@cGyfkBA)#Jv%@sF{eJz~W6vj${!dc8=CuNMM~ zyMJmJpYyvamj4tdI0!Ghv1e71^^>LE(0D<+66fXgocJ8?`wI*%^BXHmCH}#PC7EsRwTc$XsDv$O4vG(=+0G*-w7MZL;4c z;E}?4#%U(F&zd)6?4Sn6z&^kx%NY1*|Ax#38RdHXS#y|Q+03u(u`wL$*;jSHnae@u zGV7f^m*f5J_b1MA{5}$EuimH2b-w=OV@#O~jO9`DXw<{VJo(*b=X;GZ);@v>+t^r~ z1Ky1PUh)V>P4e;f3HNZuWO%wUysE!3e0-)ceEN|6dX?;%+a2rK5BOaio7)5W7z1d_ zfa$WEr!R=%(W_6fo^pdQwgxy(O*c**Kh!w&vtj#l_YU6m|Fm~L&{0))9>4j6(vZLq zVoO|=@sK@&i)_@`Mn##BghmZABmg&4L~UmO9DMP4V|Kk@Oov~F(y~V7a_!tIyY|^~DKC;sxwQE)yY7!oxo_=y$(V!4 z4!^(LGoNo`^9TG}5dXZlHgI3#nKYJvUQYRhcpl?{wW=uBj4K`eSXST2#3_3+d(y*p zZSw5y&8M-fI{`Ch@2HsiOV6h2m!SG3d0k?S^`2=j$STY~Kj)%}@qn4YGwVMvr$2IG zZcD#KT=~WQ`NvTHXfx(9@_XfRE!J^`Pt=d={@a)zBmMp8t)XLCLj$a#W0(`8&6(@$ z8tT>k30rrnV1`(4`@HpbM7kMK#4~)Jo;SFkL~@w(*(PsYV2};;MMnNPqssG3^SFbi zj$V7MSbsZnXealhb+l7`c`J3$dL6NSLNf2@mZLC7XSGJ%;3J*jwKC`){n(vaEqV^S*xyO|9+9>WPD`7@tR!8I2@%jqf>9s{- zxl1tRKA*nOb6A*HvdV*S9uBZB{;o{9|TyQ)G<)}6I9-qK_XY%%wzSKzl=B42iMdfH69#7cS5 z5jA7co1zh%)+5c;%kd#yEFA}~q~z~OEhZw z)V8Fye$%^7D~|0;;t}s1-W7bUeVNkRhMK!GYg%{_rFxl5Wyz%8IjY>&>NA>Uq5n2=G-MX zdNW??%YA1|sv{$re2u3ePya0ailt>yJmWtVZ3#0pwE5=VjH-CkJnJ?+cgcDJgx=SWSJ^$z*-I#XH~JiDPs$(xte~XM+r*gv!Bh9ikL$RI)Zt}*Y*R`h9c;nGcomH7N zjrGyehPswW^DOyM&sZLhnj`9eo5Ehk^va?tQA5#OY@m zy}(N!mNiVZ^pa8@g~loU?DARC_cN-Pca8Pl3dlQf^N_V+;|f-V!^-0=2T^Nav(-CW z6}1y?wO77deJE{1Qkbbm2{V|TtxFqJqZzG>7DZcF(WJ~N!0_ybRmBUGk4gv#jUj^=Br6)7_Ccv?%wCmKzNCKkB3tW;x{~WUt0>c&)+5l)$Rui zOt#^Xg_gcGrcN)J$6o2{@fd1e7{V7Q6!K0TFJ1qhv!rwvY<~W{ukfAXQ`ZLfF4{Nn z%R_n|@xP~1f%^VS$Hu9y9yVwiT$tDN-cjtrSuWgpo=qQc;RY8T>%zq@%qv~*2)OV# z7fwvE`SqQejxHC@w?Wh9!l%1%g$whwyLSX!_zV~3_mD$s+gUEW%Y`SnaIFgmU3iiU zpY6hh=i2=K<5|1wmu2q!G8g7Uo_933@Cp~6@4|1naM*?YpL`0rusdy1p9@pNembA2 z=TpOeI-jZMPZaj^`Akjk$NNk@f1GNZm1H<(Ld~TSNDjh2 zQ19P?oC>oM++#dyJ}azwtaGCf|D4KIUU3Yf@-@E|r+KZpEK&T~h~jZy@xmo8Th3?h77Gn&&ai0Z0#s6K6o>U@jitx)H0Lv(&MqWav8 zOh$MW>V-E!)pskR>+y=xi~kN(o1R8={#S_3kH;vok8iW$Eq0wgSyX???wyF*)t*-vyFs?BM~i61IT=_SL*umy%K@b_Dw z);;Hi9rY}xqs@P?`umF>l+#}Vj$UM+Yy6X1pOcSwvIZpQd#8GP%iwyX=6|ox%MO3b z7KJw-zy5s}+W59&cm5K~Z8uqVx_0|z`{7{RrB5})Neu^vJnUtHLmnr!8t++QLvLQS zRT_Hpy z`S4~Ob5oA~@>gy0a?Ifa%bWw(9SEB15B$r43sO?^y#Gw^bBWiDIe(SSN%7v{KQF({ zz4Mq%@8gIQ{cb^e%8}s?zsY)*@O3dY+{Oni-HZBOvFXCqk>up>SPz%IyZoIi?0qod zsQR8oEiOeqiRk;6dyyT;KIBgbF5gCezE>lQ)ff&x-zDh!Y@+fP*KSm9Xa7;>EESnOEnILR^S7;rR>M=!PQJ?wbMG2wX7vDa~* z;~vM|j=LP6bnJ25>G-(gHpfoK^^R*D{qk44@Jh$HV}oO@W7sj|SnOEjILR^KXdFMp z7ZlFq^aTF8H(5JO^+E%Gg6=u1@9y-F(!X1N&K>^qo66KR{kr&{X|;~%@HtSUcd2og z{YxI-v3#5>o@UJL$QkJEaLPxFDL@aw4!+ndM(=@Jm;jaN+u+kk1U&)YoXBqqqX!C% zc^OB^CUkw-aof54p9u7JxDQ#0E`IEv@Qp>6Z|PhlbXA&}4{vwzYv2!^{vXIw>FNK*!PA!@sLL}A(}o;{22l&++n@IgfJUGS;dlu7&^I4*3< z&x2n>BE)yXiE|h;v(wBpSWrbhRgQ@0S}}M}H8vrBBRrEOxf8tsu0d31vCHY=%ZTd0 z?{%9C=32c7UObQXl6M-cMwB-K*CM)>_@vXtH=N#9!&pKNkyrlGQ5NA$*$Uo;1ku;R zE<|N^!xh)qcCCj$MJkBj2TxgG>mwi9t%&+j{0XAG{Pvz1b*-%rzpH1;ogRk2MH)y` zREG@^*-e~y19OD<0{Dp2yJ68n+D7~|cvii=F26-?K91;G74Tsf-v#$Oy%$c6+BAi* z#_99nYX>p@S!`aJ=J8SV)%0&S{7*!EeF$EAqqS!(d>HY@A?)BrTS(q67`q8U z7x%AZ459bIyqj6K(Iar-D(zcKGfnWi&+-3p&}-o@kalj&``~G9w)`Ua#aq}9MtnQG zc{P6aq;G?}kvztgc)M17ZluQ^>j=Zkh;OoD&?66+*+UxP2VvyP$n!OiO!qv%^<&6lY&`h2)|y|r83 z2K=HB*{uow7THajL-4cr+P+%`ulWk|pZEoE{8w3jXuH^jXblu6+|NCL_#otW@=b-( zz>tLci$$Aw1|nYk0V3P>ziFdiLJC9eE}Txpj}I| z;q!>*R|2kh$oA1nIOSpLNqX@P@ zdr60P{)lmcz83x);*}2{{V~shjFau~^#8DW0et&sT#NK(H(s1btctvF(^FR83M0S3 zo+=+c)5}~y-v?(NU_78#!26`jR`4L=tyl2OgV>EUL3jf)at><(-2NQ4M&Ah^dESoU z?Xc<)zkNh}1ZMx9I-rZ+c!{>4Z-akCI?#{6GycFDf-YWzsJ#o|eNOLyzj69oaPbl9 zNBS7th^U_8{#O`J#P`A_uVNqU5QABNVhu;nhX0BbqIbYM{!Cu_=o-{iNM-r^k(@n!JWi01x5IPPu6Iq`XL15a%|=o{fK zL~RrgrXj?Ov-tPk>fiaWk3Atrh!^h|K^)_J4V-rhYmCysdl8kBfUlft^B#q>N80!> z+=3L4ek+_jin)kB1>T2fP3wT`vMG=FUiiHntM7stqqzqX&;PkF(-Ci8!);@*EAa_9 zHNbNgb<1n^5;zjL6Qd>mrU8)RQYHyk1MePw&dQp40ltz5o#q&Q+ M%uz(+;ba~E1CEnc#sB~S diff --git a/cpld/XC95144XL/test_isim_beh1.wdb b/cpld/XC95144XL/test_isim_beh1.wdb deleted file mode 100644 index 401243c0e3fc8f5ba350385c0a24116072c8d7b0..0000000000000000000000000000000000000000 GIT binary patch literal 0 HcmV?d00001 literal 9745 zcmeI1ZEzJ=8ON8Gw$K)#*dlGUYB^*j`V!l-hern|+eXLY*Xfu1;LhaYW4(Nm^2HOz1g-BS?eOH5x4GN?Vs{Yy zKQ8GYpU;n_KbY-{Jw9JQ-0PmN-QMRpT1^wo9!O1eLUB|>^MF&B(Ss4B}oR3{thCN?@TQ7oL zbrI}_GOQg3cYGf%!=CTnb~$Xf#;+=Lttv4yt``_wfwRXb#n}y#x!Fj4T#*iZ!sHVa znW0>IH0_ee_qRL|CAu;yD9Pa z6p!hq-d4oPD;Z-{Fb;c_{2$3fMSA85WPIq)^KxEPH=3vr8{<049NQNeDaURMmAoK7 zET_%yB04WR19Jn!5?F-V_ZFJTv=dhd(*Z7#+ zkD+RNbm9S{vq543+;#8m}6i&no9|r2ArRqT|L; z`7d^tbVF;sp+ zC!RDq{mLupa1J_dczka?EF$E7()=+vKo(hhsh)ss{UC`5ZayL^$C}$nPPLg9j%Ur>Z5yU!zy-tq#EOP}rUJ&aDcCsAvS>_6Mq9E20EPp#-KFeIe zP7%a9g1ugj`7CqA*v}EPQ<3M#ejCFwXE=4{6L!AXCrpiRV9aOX@EWnZ6gi*oNX%!M zPuO~~Usi~2L^`}csa%Y4Ev7yDJivR7d~ z%N)VpEA~l6bXotH&oW1_YsEgL2+R7%e3rh!ZV|gj5tj9j`7C{deN^mTMOfB9=Ckw- z_9?OZ6k$U-=Ckw-_JG*0DZ*CCF`uPx%-vzJPbzpe<&`p0~hH3j=?vCk;Nvi>oj4ON5vqu6gK!m|D`pRH02_Jr7H6=B({FrS^S z8tlKtep3;ay$bVL?iuzfLEln@Wv{|~mV1W1QP8&)Vduy(pXEMaXA3%@2+LlD`7HMV z+aTyWim-F#n9p(_uuB9zrwBVwj`=M20ox_$yNa;vRhZAxH`rc52Nhx2t1zFXZ?KOD zdR`H>T8{ZFeS_U6=zEH=yz?-hrEjo@1U;?@%R5ig7#|bu`ek2%eOb_#Ona@OWo$%a zoSgOkOC{)`k&$EcfgIkR1N*vI7~1Mg+gOwP>~F=^n>Hd=PWSg>7pNWG20&Rk_L$f^ z)DFuzSUL8%*oA6`Z3L8+WB(*}k=kL=$9(n*)fk7rh+V7*d#4=pS>^(ke^GBzgrzT7 zIre?Ad9}md1t_zun&P>zx+hgwq8cpy#w=^+V!Uef;Xh(q6=6Rm$I7vz1l_H6*u`?p zvbGOacbuShwZmrRT$eE-w=C~t`f!bk%S~I8BIfH_mj7{Bp>}j~fHI$D|3~)*K?Oxv z#ttjT-Xy3~?Xb;&vU2P#f{2<`yi$%)Bj>vWHmgFnB6a2( zv$~e$y99QL3TqUpFOy?F%XcPhhoBxsSk?vRvy)YW?Gm(B5w=5)`7GlF`=FqbA}nhN z^I15&Bxs!?>^*YKXZdbpy{rC3{POYTakx}#fOoK6SMKqp(!Z=T@>=D6gIz7xJe+0^ zVed0@a(bGMv2wZP*-JRz>e-xq7qjPc%zJ&aJae}j5&p_YW)T&%#T{@Fl)t(wE4GzuUc2Or6vdfY)R`vGv_CK6T zXXZDg+KSClzDP)ID{blR+q^zCxTPnxzHd#R;Am*`K=Q-&g7~LU>R!7eD3sP^do~7z zY|vlG7K8pAKkX}0pWjPfURLQk3)t5m(Z84cwOP79f*<7boAQN7~h0;Ul zcWqg(aR}&2^3zf*1TCG#phYSx3hnt|Alf<*4Q(0-RgLf%+#8dGkk*mr8L4S(&h4PS}ECO&C8QDS11M@ z{XHd0W?^PEMx+AMCf2Z=Sf*|Ly+gg-eNjV0RGqBm<)xu~si!ZhsZ~X11!oGGC_X*N zZSEh6Y8oPmaIsHcMCqhIrjV(L(n*(Wp&?2qy)uP#ZDW*ndX~-Kkjp1_xn80egARZ>s-erB*sZo*Yx5^=F(O7)%&-rt7{`-<~ECu zB}ky7`u)3mwi(eX?gI0uFYsUAN4@MNr0W-Qt6cUhjZnv2Kc5Ox85A9S!($GyC`6UP z#`Ep*sAU;!jsVFTV?X4Gx3|1zsRX{LRF$6+d-No>a-n3u_o&E+L8n#zNzf|X9-iMz zIxIWtwsCtN=!UQ#o0px={%o7g>M8lL*x8KIJ|$ADGN1Q8t8eM+M&_5d1GO)A7*o%} zuSeGXnNnY(HzlI{2Q|#DmcnmEN-DW_Ud?jQA&Pe@RR5f;&CT6ezVUS`UBLh6XgY1_ z>(ar*PX1kWmjHKAZ`@&gT*KewN|S|swO}O=cz|oS$)=>!?VjH8q)1T@J^Sxs>w(>r z(_C<~4eF%2!UKfl+6ekY!_zCWOV@&FrOTf@2#()ZInF?-FBXGZ4jQ&nPN_YLV?Ts` zihHs+k?!leA(#E1*Q&U3UjL00s<069!ChUYEdS&82fxI&kv@c?vR=%{J6n9#lEyEA z?OZbpXlhRIsNm-}?sIYH+t_fwvYzqn8~u^yW`Db7TFu$a28xFI_ZfT4AMb4w{JujM zoGSM9|4DkwSk5;*$0=mnmVN)K^~`~3jk%k~VC2Ei^pb1S3IgdRgKwh~Yi`L8Kg+ce zNv9a`t+YkF^mAMLIiJ>%)?d7$F}^?sdi6W5upX@Zwso)FZC?I7qVMr(ZnXnbUg~ z(^x#^e3hnrf=*W1CBLAPCFo?CUGh^pxf$)7SY=YG~RSRh;5E>yr6ZPDKOY{*k% zbu_JfLxhrxxf4qGT= z^au()avD8ij8;XVRZpYeI3b4IQ)`2S{F)pe?>Hgq+)_nDgsQt7AJ;h{*xgf=kV4gU zj+i&+&{`7fuh}Ej!C3DiXbH!=(Ef%9-}_shbE?DL5uW;B_4o0&6z3IiV{#%ogJ%0| z1FK_bAp=W4R>Y*Hk^Se(6y(SW_K=e732!N*BtO}}Yt8!Pi+M$gc_=W&R{CaF&Y94P zbNS_zPTbsFwnD6*{Fq9WK!kH;Wtxgqe>Hi1TY>v|&9ca{foh<27#F9)P~YoTjHJLz z4~x2|aym*zFUZrE-S2X~%GD9IQsmMv(BP@};F5P#e=sC6*pP3!9hvAm_oQgKUct8u zo^wVbL{6nR<6%>Qyv3{Zz-#uG60H?gAN*n`eX&LMBoj)`%nEt-5VYCN`iBH+s(0L{ zbQ+q5gXD(G{gtj}J`$a9i<+}$`E>ah&iVnuS0lupt4OO)zOQLeBLr0>dZ%!rzsXW8 z@x*fuol0b$Pk`ounB$$Ii8jYjpH?Agcadmc`2-sFVJLj&xtL~PXleSArKg+ivw65# zwt=S}zj&fGmw5lI{JZkdpP_bc@a)2v%FYS2`t;cp_q>fynaeuwqKfRNNb9pWisTf zXqd?E_6xQsnP@9)unBnTRoZumTGh#(63uncu5Rl%wtd}QKlNQ?NV8;7hM0ySI#2gu z-K=<@;-I=lXsof#7;Q>NX2vW|NoZHOCEKn6tR8O16&S|8qByIi0< z$61rK^QQNc<-T2b=>Y2SvVoQ>k9AL<;0Hv;_Sq5C;}wJ9CJ8?VPm4;LYfqoV2gGQE z$PT%ntx~RMcH`B7L0pFGOJ(xJ&4Rdw6eC+Pl4^}%M|^M4{nI7m{R+FkvROCdmE|>in$x=IO|#f~SJOI}b+JvJ<`=I@(+ z*}64vE%x~I__A0v0T+lz;DR5265)@RL@~O0Vh# zKNi$R-_-CtMaDl^PsJ}z52cN|DZkSBiVDkYm-yKchSFP|&pDKxng8HF_E9*G$wg z;X0ueNe{Pp>tY@Tysh^aGBd1i+Hg(1Hg=j4=Y*JQ;p{DSblJ_^MJ&v+v{&JNu$rcq z=XP9DLHj;UM=ZfRa||}m9;nfZ%ut~_o<)3~H4OYUChp@u@_G6*@S05A$G7l#eq-Px z_&jxgc^>Y+LduhoWZ)-=wY2gGv&7ue5V{T0!k zfO?+T8giU@A(rkZdWBn}eXN$Ff02ht{~a2_K~Hz!xs8Ujn}3t&382$*Bd??hm?(&$8QHw4*A4= zpIWF~3`Rr-JUql5IA_}}+p1w0>xM=4g@_}G^nw=)!dJlB72 z(lWkY&of`aqfu9jF)Gs1i8hy})DxIOx%ZoGTZ> zYEQwVHz5=YDCHd=K`=$60(9ad=$ks5d_b~AHt{C!TP`62Rv2CeJ8KHHieOVK+x+{- z%a&^IMg`%BDynDu`C_El0(pc8nZkq|SW~KSjFLH(6VhzpKt;JxWpKm~0m<=jkn_cy z?h4RYC0NZ5LNSL@#`qY@guLTIgcM-{7S>cC>_Ub7)}|=oI6W+&9z(nV6QFrGXpB_C zS^;vN06kJ?d;Jl_3~ie8!32!22CMl)&RvFnUxo12L~iGGe>^}F|GWKb3QYb zz+`0+&|yIGABp5}h&`teAzPU602YQ3h7a(;#Zx4hN~tg*14ciqN{5(JB_Jp*2kL$+ zk<69sJPvAHh7?1M@yw!RVFC_D4|1bwOCvb#~;a76zJP?{d-#DyH+3Xtw3 zXj+{udmMB>f-U2G?U^)A$|b1B7_UnO*r-T0jE}8d$KkrHD*bmv3xT|*C|;L=aNysx z>1y-l^%C?6MR^WLJy6Uz0oD-ikVSR4!5+Mx1YyBSwwHj`;@D z0?tVzWQkB-9Tp09974ayCQ0?fLVX-hb%;`hv8q6uObD33U2%+R!}pqnk{t#kmccyO z=wFDxiZLgEE;gDx=8;SnFZCuwm$gfRW(qW3Ab_a_kTy^kCrt@0)Wsa_CbPKr2Z2ZAXX+FvcwD{HGunv4Q$k7y$B#-90>)a zck0g*^OCYS7-vb*nENNc0}MsdRm^>u2q0~K8I%Bmf$0`lE@BNY3b28-0tsRWU7|nl zFCak}Ax&I7xy)jeW(zRf*`U-P5DwrkPX#L#3gKYsSw+_Y-a7`4m@~2oV5&028_bBu zHnJuN(TV_X6NWHxny-hO&W+s$57$=x|!t}rTb*8@kuYPg8C;G1AaY{t@5&!O=4i>WHiduQv6s``oyLifE z{dkHdwAVYW?C80e{SvGNnsCQ!k0lANxjf3%u4%G0oMZ5RdRxK-e|UP!n_3czKNxc9 zN^;tea zaJeWg6i|h10|Y@@3DC*+nLA9Bv;f5OZh>d+g`FoFVL5#>(f0?{oTi4(%`pm_!BkL|ZJMOAxd96g9W3(xKgQxwG(YIWdh_){5 z^D27VRFW;Or|p?nT|NE2`!SO*dF1&OPrqLeZ#{|6Dk@(x8}0t|tbO`bcwQuBK#5$a zRn?(`w_r|DYOv6(3SO#SCFBZ}YX^cNLn&I!POldEkzi(L1#`Ibr)O(JufkiHovs6g zTF`c#TiMK9?JjxpC#K(rRx^i-etM?Y_bS|-IptcicHrr-P|B4xLat_lb|4NJN?BmG zrgs)~SW z%Lg{jCA{uMdiga>ABo9<;fjdALP5%^Wrsqi?Lx)^5R0sWOxs?L@qulY(S4j_?!&Q# hCYg*)+tptgru|u6-A{Apm3#BRy)l2-?fyc9{s$c*fB*mh diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref deleted file mode 100644 index 86790f5..0000000 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ /dev/null @@ -1,7 +0,0 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1639221844 -MO CS NULL ../CS.v vlg22/_c_s.bin 1639221844 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1639221844 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1639221844 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1639221844 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1639221844 -MO MXSE NULL ../MXSE.v vlg15/_m_x_s_e.bin 1639221844 diff --git a/cpld/untitled b/cpld/untitled deleted file mode 100644 index b8e5251..0000000 --- a/cpld/untitled +++ /dev/null @@ -1,280 +0,0 @@ - -0 PC ALL -1 NOP -2 AREF -3 NOP -4 NOP -5 NOP -6 NOP -7 NOP -8 NOP - -0 ACT -1 NOP -2 WR read WRFIFO -3 WR goto 03 if same page -4 NOP -5 PC ALL -6 NOP - -010 ACT -011 NOP -012 RDS -013 RD -014 RD -015 RD -016 RD -017 RD -018 RD -019 RD -01A RD -01B RD -01C RD -01D RD -01E RD -01F RD -020 RD -021 RD -022 RD -023 RD -024 RD -025 RD -026 RD -027 RD -028 RD -029 RD -02A RD -02B RD -02C RD -02D RD -02E RD -02F RD -030 RD -031 RD -032 RD -033 RD -034 RD -035 RD -036 RD -037 RD -038 RD -039 RD -03A RD -03B RD -03C RD -03D RD -03E RD -03F RD -040 RD -041 RD -042 RD -043 RD -044 RD -045 RD -046 RD -047 RD -048 RD -049 RD -04A RD -04B RD -04C RD -04D RD -04E RD -04F RD -050 RD -051 RD -052 RD -053 RD -054 RD -055 RD -056 RD -057 RD -058 RD -059 RD -05A RD -05B RD -05C RD -05D RD -05E RD -05F RD -060 RD -061 RD -062 RD -063 RD -064 RD -065 RD -066 RD -067 RD -068 RD -069 RD -06A RD -06B RD -06C RD -06D RD -06E RD -06F RD -070 RD -071 RD -072 RD -073 RD -074 RD -075 RD -076 RD -077 RD -078 RD -079 RD -07A RD -07B RD -07C RD -07D RD -07E RD -07F RD -080 RD -081 RD -082 RD -083 RD -084 RD -085 RD -086 RD -087 RD -088 RD -089 RD -08A RD -08B RD -08C RD -08D RD -08E RD -08F RD -090 RD -091 RD -092 RD -093 RD -094 RD -095 RD -096 RD -097 RD -098 RD -099 RD -09A RD -09B RD -09C RD -09D RD -09E RD -09F RD -0A0 RD -0A1 RD -0A2 RD -0A3 RD -0A4 RD -0A5 RD -0A6 RD -0A7 RD -0A8 RD -0A9 RD -0AA RD -0AB RD -0AC RD -0AD RD -0AE RD -0AF RD -0B0 RD -0B1 RD -0B2 RD -0B3 RD -0B4 RD -0B5 RD -0B6 RD -0B7 RD -0B8 RD -0B9 RD -0BA RD -0BB RD -0BC RD -0BD RD -0BE RD -0BF RD -0C0 RD -0C1 RD -0C2 RD -0C3 RD -0C4 RD -0C5 RD -0C6 RD -0C7 RD -0C8 RD -0C9 RD -0CA RD -0CB RD -0CC RD -0CD RD -0CE RD -0CF RD -0D0 RD -0D1 RD -0D2 RD -0D3 RD -0D4 RD -0D5 RD -0D6 RD -0D7 RD -0D8 RD -0D9 RD -0DA RD -0DB RD -0DC RD -0DD RD -0DE RD -0DF RD -0E0 RD -0E1 RD -0E2 RD -0E3 RD -0E4 RD -0E5 RD -0E6 RD -0E7 RD -0E8 RD -0E9 RD -0EA RD -0EB RD -0EC RD -0ED RD -0EE RD -0EF RD -0F0 RD -0F1 RD -0F2 RD -0F3 RD -0F4 RD -0F5 RD -0F6 RD -0F7 RD -0F8 RD -0F9 RD -0FA RD -0FB RD -0FC RD -0FD RD -0FE RD -0FF RD -100 RD -101 RD -102 RD -103 RD -104 RD -105 RD -106 RD -107 RD -108 RD -109 RD -10A RD -10B RD -10C RD -10D RD -10E RD -10F RD -110 RD -111 RD -112 PC ALL -113 NOP -114 NOP