This commit is contained in:
Zane Kaminski 2024-03-29 04:02:32 -04:00
parent 499b10fa9c
commit ae048b5825
43 changed files with 370636 additions and 140771 deletions

View File

@ -1,29 +0,0 @@
"Source:","/Users/zane/Library/Mobile Documents/com~apple~CloudDocs/Repos/Warp-SE/WarpSE.kicad_sch"
"Date:","Sunday, March 27, 2022 at 10:44:14 PM"
"Tool:","Eeschema (6.0.2-0)"
"Generator:","/Applications/KiCad/KiCad.app/Contents/SharedSupport/plugins/bom_jlc.py"
"Component Count:","104"
"Ref","Qnty","Value","Cmp name","Footprint","Description","Vendor","LCSC Part"
"C1, C2, C3, C4, C7, C8, C9, C10, C12, C13, C14, C15, C16, C17, C18, C19, C20, C22, C24, C45, C50, C51, ","22","10u","C_Small","stdpads:C_0805","Unpolarized capacitor, small symbol","","C15850"
"C5, C6, C11, C21, C23, C25, C26, C27, C28, C29, C30, C31, C32, C33, C34, C35, C36, C37, C38, C39, C40, C41, C42, C43, C44, C49, ","26","2u2","C_Small","stdpads:C_0603","Unpolarized capacitor, small symbol","","C23630"
"C46, C47, C48, ","3","22p","C_Small","stdpads:C_0603","Unpolarized capacitor, small symbol","","C1653"
"FID1, FID2, FID3, FID4, ","4","Fiducial","Fiducial","stdpads:Fiducial","Fiducial Marker","",""
"H1, H2, H3, H4, H5, ","5","","MountingHole","stdpads:PasteHole_1.152mm_NPTH","Mounting Hole without connection","",""
"J1, ","1","MacSEPDS","MacSEPDS","stdpads:DIN41612_R_3x32_Male_Vertical_THT","","",""
"J2, ","1","JTAG","Conn_02x05_Odd_Even","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","Generic connector, double row, 02x05, odd/even pin numbering scheme (row 1 odd numbers, row 2 even numbers), script generated (kicad-library-utils/schlib/autogen/connector/)","",""
"J3, ","1","microUSB","USB_B_Micro","stdpads:USB_Micro-B_ShouHan_MicroXNJ","USB Micro Type B connector","","C404969"
"Q1, Q2, ","2","MMBT3904","MMBT3904","stdpads:SOT-23","0.2A Ic, 40V Vce, Small Signal NPN Transistor, SOT-23","","C20526"
"R1, R2, ","2","47","R_Small","stdpads:R_0603","Resistor, small symbol","","C23182"
"R3, R4, R5, R6, R7, R8, R9, R10, R11, R15, R16, ","11","10k","R_Small","stdpads:R_0603","Resistor, small symbol","","C25804"
"SW1, ","1","CFG","SW_DIP_x03","stdpads:SW_DIP_SPSTx03_Slide_DSHP03TS_P1.27mm","3x DIP Switch, Single Pole Single Throw (SPST) switch, small symbol","","C319051"
"U1, ","1","XC95144XL-TQ100","XC95144XL-TQ100","stdpads:TQFP-100_14x14mm_P0.5mm","CPLD, 144 Macrocells, 3200 Usable Gates","","C45126"
"U2, U7, U13, U15, U16, U17, U18, U19, U21, U23, U24, ","11","74AHCT573PW","74573","stdpads:TSSOP-20_4.4x6.5mm_P0.65mm","","","C141311"
"U3, ","1","AZ1117EH-3.3","AP1117-33","stdpads:SOT-223","1A Low Dropout regulator, positive, 3.3V fixed output, SOT-223","","C108494"
"U4, ","1","20M","Oscillator_4P","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","","","C32529"
"U5, ","1","25M","Oscillator_4P","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","","","C32526"
"U6, ","1","DNP-AZ1117CH2-3.3","AZ1117CH2","stdpads:SOT-223","1A Low Dropout regulator, positive, 1.5V fixed output, SOT-223","",""
"U8, U9, ","2","KM48C2100","DRAM-2Mx8-SOP-28","stdpads:SOJ-28_300mil","","",""
"U10, U11, ","2","39SF040","Flash-512Kx8-PLCC-32","stdpads:PLCC-32","","","C72257"
"U12, ","1","CH340G","CH340G","stdpads:SOIC-16_3.9mm","USB serial converter, UART, SOIC-16","","C14267"
"U14, ","1","MC68HC000FN20","MC68000FN","stdpads:PLCC-68","Microprocessor, 16-bit bus","",""
"Y1, ","1","12M","Crystal_Small","stdpads:Crystal_HC49-SMD","Two pin crystal, small symbol","","C111117"
Can't render this file because it has a wrong number of fields in line 6.

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)
@ -4381,7 +4388,7 @@
(symbol (lib_id "Device:R_Small") (at 127 72.39 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 74738587-597a-4345-b77a-c048cc62e87c)
(property "Reference" "R2" (at 127 68.58 90)
(property "Reference" "R6" (at 127 68.58 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "DNP" (at 127 71.12 90)
@ -4400,12 +4407,6 @@
(pin "2" (uuid 6fa1ce7b-285c-4802-98e7-e2889b528794))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R2") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061aa52c4"
(reference "R20") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000060941922"
(reference "R6") (unit 1)
)
@ -4416,7 +4417,7 @@
(symbol (lib_id "Device:R_Small") (at 127 87.63 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid a039d50f-0228-4f0a-9d73-9d88b4a85039)
(property "Reference" "R2" (at 127 83.82 90)
(property "Reference" "R22" (at 127 83.82 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "0" (at 127 86.36 90)
@ -4435,12 +4436,6 @@
(pin "2" (uuid aee15254-edd9-44a4-a7a9-28657988e367))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R2") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061aa52c4"
(reference "R20") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000060941922"
(reference "R22") (unit 1)
)
@ -4451,7 +4446,7 @@
(symbol (lib_id "Device:R_Small") (at 127 64.77 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid bf5c7b2f-97e5-4053-8994-7753d7f4cf05)
(property "Reference" "R2" (at 127 60.96 90)
(property "Reference" "R4" (at 127 60.96 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "DNP" (at 127 63.5 90)
@ -4470,12 +4465,6 @@
(pin "2" (uuid ff1fe295-6248-4c26-98ce-d951093f0abf))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R2") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061aa52c4"
(reference "R20") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000060941922"
(reference "R4") (unit 1)
)
@ -4486,7 +4475,7 @@
(symbol (lib_id "Device:R_Small") (at 127 80.01 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid e6ca25b3-6096-4167-b6eb-48b986840096)
(property "Reference" "R2" (at 127 76.2 90)
(property "Reference" "R21" (at 127 76.2 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "0" (at 127 78.74 90)
@ -4505,12 +4494,6 @@
(pin "2" (uuid 9eee79af-1f55-472b-a9a8-4940fbfddc21))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R2") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061aa52c4"
(reference "R20") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000060941922"
(reference "R21") (unit 1)
)

View File

@ -4,7 +4,59 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "Connector_Generic:Conn_01x02" (pin_names (offset 1.016) hide) (in_bom yes) (on_board yes)
(property "Reference" "J" (at 0 2.54 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "Conn_01x02" (at 0 -5.08 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "connector" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Generic connector, single row, 01x02, script generated (kicad-library-utils/schlib/autogen/connector/)" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_fp_filters" "Connector*:*_1x??_*" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "Conn_01x02_1_1"
(rectangle (start -1.27 -2.413) (end 0 -2.667)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 0.127) (end 0 -0.127)
(stroke (width 0.1524) (type default))
(fill (type none))
)
(rectangle (start -1.27 1.27) (end 1.27 -3.81)
(stroke (width 0.254) (type default))
(fill (type background))
)
(pin passive line (at -5.08 0 0) (length 3.81)
(name "Pin_1" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
(pin passive line (at -5.08 -2.54 0) (length 3.81)
(name "Pin_2" (effects (font (size 1.27 1.27))))
(number "2" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)
(effects (font (size 1.27 1.27)) (justify left))
@ -281,6 +333,9 @@
)
)
(junction (at 138.43 77.47) (diameter 0) (color 0 0 0 0)
(uuid 03605e6a-5ba2-4f61-83e7-b123ce4a3b9a)
)
(junction (at 88.9 105.41) (diameter 0) (color 0 0 0 0)
(uuid 1710de41-8fe6-4c78-a2c2-feb8a6419f71)
)
@ -290,9 +345,18 @@
(junction (at 184.15 72.39) (diameter 0) (color 0 0 0 0)
(uuid 45bc845d-b910-410f-9614-8998f21264f8)
)
(junction (at 148.59 92.71) (diameter 0) (color 0 0 0 0)
(uuid 4a91fece-1a3e-4300-b2fd-585857dccdd8)
)
(junction (at 143.51 77.47) (diameter 0) (color 0 0 0 0)
(uuid 4d97c94d-78f6-4f06-848a-a4bcfebfcdbc)
)
(junction (at 113.03 77.47) (diameter 0) (color 0 0 0 0)
(uuid 5ebc1cdd-f290-4399-ae10-1d59c3ed6dc2)
)
(junction (at 107.95 74.93) (diameter 0) (color 0 0 0 0)
(uuid 6a05a475-9e06-4515-9076-68e363ccd78d)
)
(junction (at 176.53 95.25) (diameter 0) (color 0 0 0 0)
(uuid 6b523de0-1928-4468-a14f-5db44022217c)
)
@ -309,13 +373,15 @@
(uuid ea7f95ca-1368-4ccc-b3c5-17a85c05a2dd)
)
(no_connect (at 171.45 74.93) (uuid d20da2eb-c473-46cd-aa3a-99172be7fc03))
(wire (pts (xy 177.8 72.39) (xy 171.45 72.39))
(stroke (width 0) (type default))
(uuid 03d1e307-f6ed-4547-8970-076c49ef6cdd)
)
(wire (pts (xy 148.59 92.71) (xy 148.59 107.95))
(wire (pts (xy 173.99 105.41) (xy 148.59 105.41))
(stroke (width 0) (type default))
(uuid 04c91afd-8691-4078-bf4b-32e3066cc526)
(uuid 04f8279c-fc2f-40e9-8af4-960ff34aa1e8)
)
(wire (pts (xy 143.51 100.33) (xy 151.13 100.33))
(stroke (width 0) (type default))
@ -337,6 +403,14 @@
(stroke (width 0) (type default))
(uuid 1f3d838e-5685-4ec1-914f-1f579871733f)
)
(wire (pts (xy 107.95 74.93) (xy 113.03 74.93))
(stroke (width 0) (type default))
(uuid 24afa029-a8cf-4788-a2c5-9d5d45718b73)
)
(wire (pts (xy 173.99 97.79) (xy 173.99 105.41))
(stroke (width 0) (type default))
(uuid 2a5568aa-8ba5-43fa-b22a-5d599d50858c)
)
(wire (pts (xy 180.34 87.63) (xy 180.34 86.36))
(stroke (width 0) (type default))
(uuid 372332df-af0b-4c1d-95e0-5353862efcac)
@ -353,10 +427,6 @@
(stroke (width 0) (type default))
(uuid 4ca75573-239f-4ba2-9a6d-4846019594fd)
)
(wire (pts (xy 151.13 92.71) (xy 148.59 92.71))
(stroke (width 0) (type default))
(uuid 51c01377-7ed8-49f3-8aed-61492a361a6e)
)
(wire (pts (xy 146.05 72.39) (xy 146.05 95.25))
(stroke (width 0) (type default))
(uuid 5a8c557b-c17d-4929-973a-1507112a8dff)
@ -365,17 +435,21 @@
(stroke (width 0) (type default))
(uuid 5edfb289-94ad-495e-9b21-110fb884233c)
)
(wire (pts (xy 148.59 107.95) (xy 173.99 107.95))
(wire (pts (xy 148.59 92.71) (xy 151.13 92.71))
(stroke (width 0) (type default))
(uuid 5f504c2a-5e68-4a20-b3bb-29e908a32494)
(uuid 5fa5a820-06b1-4fc3-963c-8b10ea7cee42)
)
(wire (pts (xy 146.05 72.39) (xy 151.13 72.39))
(stroke (width 0) (type default))
(uuid 6317244d-8952-406f-93d3-45bcef8b31f5)
)
(wire (pts (xy 173.99 85.09) (xy 173.99 74.93))
(wire (pts (xy 138.43 77.47) (xy 143.51 77.47))
(stroke (width 0) (type default))
(uuid 8b22b219-85a4-45a4-bfba-3e4078fdf095)
(uuid 650f099a-4f6d-4409-95e7-adb865d570a8)
)
(wire (pts (xy 138.43 90.17) (xy 137.16 90.17))
(stroke (width 0) (type default))
(uuid 652cd889-b73e-4451-8178-e90dccb85724)
)
(wire (pts (xy 88.9 110.49) (xy 99.06 110.49))
(stroke (width 0) (type default))
@ -413,6 +487,14 @@
(stroke (width 0) (type default))
(uuid b920fcd6-6346-40cc-b53b-6468e426dbe3)
)
(wire (pts (xy 138.43 77.47) (xy 138.43 90.17))
(stroke (width 0) (type default))
(uuid b9d5ca06-6660-4967-9998-98b15cf035b3)
)
(wire (pts (xy 105.41 77.47) (xy 113.03 77.47))
(stroke (width 0) (type default))
(uuid bad5f0d4-4809-45da-9872-e3f3ca11426f)
)
(wire (pts (xy 182.88 72.39) (xy 184.15 72.39))
(stroke (width 0) (type default))
(uuid bc037087-a4ca-4ca9-ac47-bbc1277954a3)
@ -433,7 +515,11 @@
(stroke (width 0) (type default))
(uuid cb2c36e0-76f6-4068-8d0f-ea6a80edfb62)
)
(wire (pts (xy 148.59 69.85) (xy 148.59 85.09))
(wire (pts (xy 148.59 105.41) (xy 148.59 92.71))
(stroke (width 0) (type default))
(uuid cba803bf-774b-4060-8fdb-f4f45272a12e)
)
(wire (pts (xy 148.59 69.85) (xy 148.59 92.71))
(stroke (width 0) (type default))
(uuid d8f919a0-e6b3-431c-9a47-8cad1728a744)
)
@ -441,21 +527,13 @@
(stroke (width 0) (type default))
(uuid df54a223-14d1-4635-8d19-8b22a3f64245)
)
(wire (pts (xy 137.16 77.47) (xy 143.51 77.47))
(wire (pts (xy 137.16 77.47) (xy 138.43 77.47))
(stroke (width 0) (type default))
(uuid e1b305a9-ad3b-4bd8-bf32-71fc5bef994d)
)
(wire (pts (xy 173.99 107.95) (xy 173.99 97.79))
(wire (pts (xy 105.41 74.93) (xy 107.95 74.93))
(stroke (width 0) (type default))
(uuid e88c331b-8ea6-4139-960c-1a7aa7db977a)
)
(wire (pts (xy 148.59 85.09) (xy 173.99 85.09))
(stroke (width 0) (type default))
(uuid ebdad653-940f-428f-8159-1d6fb887acad)
)
(wire (pts (xy 173.99 74.93) (xy 171.45 74.93))
(stroke (width 0) (type default))
(uuid f850a1e5-00cd-47fe-b31d-da24ce6f3352)
(uuid fee80f93-dd16-4c6f-8cc7-86636a09888e)
)
(hierarchical_label "MCKE" (shape input) (at 143.51 74.93 180) (fields_autoplaced)
@ -754,6 +832,31 @@
)
)
(symbol (lib_id "power:+3V3") (at 107.95 69.85 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 376d0515-9053-4da0-a13f-69871edc9402)
(property "Reference" "#PWR09" (at 107.95 73.66 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 107.95 66.04 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 107.95 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 107.95 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid c02f6571-98d2-4bfd-94ee-594b16eb13cd))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "#PWR09") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 180.34 83.82 180) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 463fa256-f429-4317-92a8-e8fc21869976)
@ -780,6 +883,31 @@
)
)
(symbol (lib_id "power:GND") (at 132.08 92.71 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 52906c1d-a703-4dd7-809a-d03f7922f30a)
(property "Reference" "#PWR08" (at 132.08 99.06 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 132.08 96.52 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 132.08 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 132.08 92.71 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f54bf9e1-d3af-45b9-a5f2-693e3b4eabd3))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "#PWR08") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 171.45 100.33 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 5da6943b-d5d6-4279-a89e-fcb0a5433c4b)
@ -805,31 +933,6 @@
)
)
(symbol (lib_id "power:+3V3") (at 113.03 74.93 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 8a672f26-d54d-42b8-af26-8506bf881d5c)
(property "Reference" "#PWR014" (at 113.03 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 113.03 71.12 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 113.03 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 113.03 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 193f5121-dd83-4888-aa1f-2044d7622db9))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "#PWR014") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 171.45 77.47 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid b02eeb7f-1091-49f3-a385-cbe04b68decb)
@ -837,7 +940,7 @@
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 171.45 81.28 0)
(effects (font (size 1.27 1.27)))
(effects (font (size 1.27 1.27)) hide)
)
(property "Footprint" "" (at 171.45 77.47 0)
(effects (font (size 1.27 1.27)) hide)
@ -991,6 +1094,90 @@
)
)
(symbol (lib_id "Device:R_Small") (at 107.95 72.39 180) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid eba9534c-7822-4ffd-8a48-7a46c4141239)
(property "Reference" "R8" (at 109.22 71.12 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Value" "1k" (at 109.22 73.66 0)
(effects (font (size 1.27 1.27)) (justify right))
)
(property "Footprint" "stdpads:R_0603" (at 107.95 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 107.95 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC Part" "" (at 107.95 72.39 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f851052a-70dd-4b2e-ad70-0dfd25d8445a))
(pin "2" (uuid 014d1fb7-bef4-4e28-bdec-2fe7fd3345fc))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R8") (unit 1)
)
)
)
)
(symbol (lib_id "Connector_Generic:Conn_01x02") (at 100.33 74.93 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid f1f5ef96-c7c3-4c7a-89e3-e67b510a4fe7)
(property "Reference" "J6" (at 100.33 72.39 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "CLKDIS" (at 100.33 80.01 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:PinHeader_1x02_P2.54mm_Vertical" (at 100.33 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 100.33 74.93 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 0d0d9ae7-761e-4b80-9314-8f27bb675a38))
(pin "2" (uuid fd977045-4d47-40cd-b65e-3741116df66d))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "J6") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 134.62 90.17 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid f9ff8d3d-c628-49bc-943f-bf55b21785c6)
(property "Reference" "R5" (at 134.62 86.36 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "47" (at 134.62 88.9 90)
(effects (font (size 1.27 1.27)) (justify bottom))
)
(property "Footprint" "stdpads:R_0603" (at 134.62 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 134.62 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC Part" "C23182" (at 134.62 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f4b3a713-6ba0-4a6f-aa8d-e85f716568fc))
(pin "2" (uuid 60f28cc3-4985-48dd-b38a-9035cfa38a1f))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R5") (unit 1)
)
)
)
)
(symbol (lib_id "power:+3V3") (at 171.45 69.85 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid fc65c475-b619-47e5-8a58-663f3e862ac5)
@ -1015,4 +1202,30 @@
)
)
)
(symbol (lib_id "Connector_Generic:Conn_01x02") (at 127 90.17 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid fcedaae2-d11c-444f-b015-f8191fedf7b4)
(property "Reference" "J5" (at 127 87.63 0)
(effects (font (size 1.27 1.27)))
)
(property "Value" "CLKIN" (at 127 95.25 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "stdpads:PinHeader_1x02_P2.54mm_Vertical" (at 127 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 127 90.17 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f0a6d570-ea09-473f-8988-6e98c88e1949))
(pin "2" (uuid ab329001-e5d0-469c-ac11-3a6080485e0d))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "J5") (unit 1)
)
)
)
)
)

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)
@ -235,7 +242,7 @@
(uuid 00f39b0e-0aed-4b24-a2e2-a9c9b824f8cd)
)
(junction (at 133.35 138.43) (diameter 0) (color 0 0 0 0)
(uuid 9a68c344-66a2-4175-abc0-6bf94315e5ea)
(uuid 10d26858-c79a-4fdb-aafc-073a5307ef6a)
)
(junction (at 123.19 133.35) (diameter 0) (color 0 0 0 0)
(uuid c756a70c-92e7-4fb8-9b0b-ed747e568d90)
@ -317,7 +324,7 @@
(symbol (lib_id "Device:C_Small") (at 113.03 135.89 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 162509bc-62f6-43aa-9778-dcb0500e3a76)
(property "Reference" "C26" (at 114.3 134.62 0)
(property "Reference" "C48" (at 114.3 134.62 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 114.3 137.16 0)
@ -336,9 +343,6 @@
(pin "2" (uuid 93ab25f4-7521-4dd1-b646-1aa4154b249c))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723173"
(reference "C26") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "C48") (unit 1)
)
@ -378,7 +382,7 @@
(symbol (lib_id "Device:R_Small") (at 146.05 88.9 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 1fc41112-0e0c-4d25-806e-cd201d34d6d8)
(property "Reference" "R2" (at 146.05 85.09 90)
(property "Reference" "R18" (at 146.05 85.09 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "47" (at 146.05 87.63 90)
@ -397,12 +401,6 @@
(pin "2" (uuid 6287653c-81a2-4db1-9f6b-6a24f6816ed0))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R2") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061aa52c4"
(reference "R20") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "R18") (unit 1)
)
@ -460,42 +458,10 @@
)
)
(symbol (lib_id "Device:C_Small") (at 133.35 135.89 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 6445de36-399d-4395-a340-6144ff8e4de7)
(property "Reference" "C28" (at 134.62 134.62 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 134.62 137.16 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at 133.35 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 133.35 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC Part" "C23630" (at 133.35 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 640c16e5-872a-44be-bd5c-44a0677fc6de))
(pin "2" (uuid bb914f95-3f12-4a54-8ea5-e7ef9d5e06ef))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723173"
(reference "C28") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "C55") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 133.35 138.43 0) (mirror y) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 6fc26917-0234-48cd-9c6d-d98338822069)
(property "Reference" "#PWR0159" (at 133.35 144.78 0)
(property "Reference" "#PWR019" (at 133.35 144.78 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 133.35 142.24 0)
@ -510,9 +476,6 @@
(pin "1" (uuid 94d51cc1-7d13-4b87-b5e5-37484853de53))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723173"
(reference "#PWR0159") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "#PWR019") (unit 1)
)
@ -520,10 +483,39 @@
)
)
(symbol (lib_id "Device:C_Small") (at 133.35 135.89 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 718c5f2f-1d57-4202-a796-5136469f08e7)
(property "Reference" "C21" (at 134.62 134.62 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 134.62 137.16 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Footprint" "stdpads:C_0603" (at 133.35 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 133.35 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "LCSC Part" "C23630" (at 133.35 135.89 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid f11109d3-8b5d-4299-90cd-6c2d8eebec06))
(pin "2" (uuid 0fda7c91-8683-429f-bec6-1a33af67ead1))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "C21") (unit 1)
)
)
)
)
(symbol (lib_id "Device:R_Small") (at 146.05 104.14 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 7c06195b-0fa9-437b-9b79-cf5e5efbabb1)
(property "Reference" "R2" (at 146.05 100.33 90)
(property "Reference" "R17" (at 146.05 100.33 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "47" (at 146.05 102.87 90)
@ -542,12 +534,6 @@
(pin "2" (uuid a70976df-2c19-406d-bf3b-a64935b1ef94))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R2") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061aa52c4"
(reference "R20") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "R17") (unit 1)
)
@ -558,7 +544,7 @@
(symbol (lib_id "Device:C_Small") (at 123.19 135.89 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 80948367-5a1c-4ed0-a3b4-d5c216f5fabd)
(property "Reference" "C27" (at 124.46 134.62 0)
(property "Reference" "C54" (at 124.46 134.62 0)
(effects (font (size 1.27 1.27)) (justify left))
)
(property "Value" "2u2" (at 124.46 137.16 0)
@ -577,9 +563,6 @@
(pin "2" (uuid e442da70-f9b7-49f8-8a97-9b8db887c231))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723173"
(reference "C27") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "C54") (unit 1)
)
@ -590,7 +573,7 @@
(symbol (lib_id "power:+3V3") (at 113.03 133.35 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 84038a3a-ec03-4561-af51-4c2bf6577707)
(property "Reference" "#PWR0158" (at 113.03 137.16 0)
(property "Reference" "#PWR018" (at 113.03 137.16 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+3V3" (at 113.03 129.54 0)
@ -605,9 +588,6 @@
(pin "1" (uuid 0c09ed82-7797-4e39-a3f0-32d1205e0707))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723173"
(reference "#PWR0158") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "#PWR018") (unit 1)
)
@ -751,7 +731,7 @@
(symbol (lib_id "Device:R_Small") (at 146.05 119.38 270) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid af63e236-063d-4e9a-91c6-e1aaeb08194d)
(property "Reference" "R2" (at 146.05 115.57 90)
(property "Reference" "R7" (at 146.05 115.57 90)
(effects (font (size 1.27 1.27)))
)
(property "Value" "47" (at 146.05 118.11 90)
@ -770,12 +750,6 @@
(pin "2" (uuid 61d514de-d6de-408a-9f75-fa865b17984d))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061350d21"
(reference "R2") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-000061aa52c4"
(reference "R20") (unit 1)
)
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/fe631861-deed-4e97-a528-5baf968a7cc8"
(reference "R7") (unit 1)
)

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "CPLD_Xilinx:XC95144XL-TQ100" (in_bom yes) (on_board yes)
(property "Reference" "U" (at -20.32 64.77 0)
@ -662,6 +669,58 @@
)
)
)
(symbol "power:+5V" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -3.81 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 0 3.556 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_keywords" "global power" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "ki_description" "Power symbol creates a global label with name \"+5V\"" (at 0 0 0)
(effects (font (size 1.27 1.27)) hide)
)
(symbol "+5V_0_1"
(polyline
(pts
(xy -0.762 1.27)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 0)
(xy 0 2.54)
)
(stroke (width 0) (type default))
(fill (type none))
)
(polyline
(pts
(xy 0 2.54)
(xy 0.762 1.27)
)
(stroke (width 0) (type default))
(fill (type none))
)
)
(symbol "+5V_1_1"
(pin power_in line (at 0 0 90) (length 0) hide
(name "+5V" (effects (font (size 1.27 1.27))))
(number "1" (effects (font (size 1.27 1.27))))
)
)
)
(symbol "power:GND" (power) (pin_names (offset 0)) (in_bom yes) (on_board yes)
(property "Reference" "#PWR" (at 0 -6.35 0)
(effects (font (size 1.27 1.27)) hide)
@ -743,9 +802,6 @@
(junction (at 185.42 20.32) (diameter 0) (color 0 0 0 0)
(uuid 6762c669-2824-49a2-8bd4-3f19091dd75a)
)
(junction (at 73.66 81.28) (diameter 0) (color 0 0 0 0)
(uuid 6870ff2d-4a27-4ca8-bff8-e1db01a8eb87)
)
(junction (at 160.02 186.69) (diameter 0) (color 0 0 0 0)
(uuid 7274c82d-0cb9-47de-b093-7d848f491410)
)
@ -1047,10 +1103,6 @@
(stroke (width 0) (type default))
(uuid 319c683d-aed6-4e7d-aee2-ff9871746d52)
)
(wire (pts (xy 73.66 78.74) (xy 73.66 81.28))
(stroke (width 0) (type default))
(uuid 3394e2ab-2072-4cb5-a5d5-7802aa03ca28)
)
(bus (pts (xy 220.98 83.82) (xy 220.98 86.36))
(stroke (width 0) (type default))
(uuid 34a11a07-8b7f-45d2-96e3-89fd43e62756)
@ -1688,7 +1740,7 @@
(effects (font (size 1.27 1.27)) (justify left))
(uuid 662bafcb-dcfb-4471-a8a9-f5c777fdf249)
)
(hierarchical_label "ROM~{CS}" (shape output) (at 210.82 27.94 0) (fields_autoplaced)
(hierarchical_label "ROM~{OE}" (shape output) (at 210.82 27.94 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 755f94aa-38f0-4a64-a7c7-6c71cb18cddf)
)
@ -2203,6 +2255,31 @@
)
)
(symbol (lib_id "power:+5V") (at 73.66 78.74 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 9e659a81-979a-44e9-93c7-3500535e1b6e)
(property "Reference" "#PWR07" (at 73.66 82.55 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "+5V" (at 73.66 74.93 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 73.66 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 73.66 78.74 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid bbbd2aa7-cd41-4db3-aff3-4db6a0a4bb65))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723173"
(reference "#PWR07") (unit 1)
)
)
)
)
(symbol (lib_id "power:GND") (at 73.66 91.44 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid c00e9133-363f-4c5b-96ee-37292658c439)
@ -2237,7 +2314,7 @@
(property "Value" "DBG" (at 80.01 93.98 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "Connector_PinHeader_2.54mm:PinHeader_2x06_P2.54mm_Vertical" (at 78.74 83.82 0)
(property "Footprint" "stdpads:PinHeader_2x06_P2.54mm_Vertical" (at 78.74 83.82 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "~" (at 78.74 83.82 0)

File diff suppressed because one or more lines are too long

Binary file not shown.

Binary file not shown.

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "CPU_NXP_68000:MC68000FN" (pin_names (offset 0.762)) (in_bom yes) (on_board yes)
(property "Reference" "U" (at -16.51 59.69 0)

View File

@ -1,10 +1,16 @@
KICAD = /Applications/KiCad/KiCad.app/Contents/MacOS/kicad-cli
LAYERS = F.Cu,In1.Cu,In2.Cu,B.Cu,F.Paste,F.SilkS,B.SilkS,F.Mask,B.Mask,Edge.Cuts
PYTHON = python3
BOM_SCRIPT = ../GW_KiCADBuild/export_bom.py
F_PCB = $@/../WarpSE.kicad_pcb
F_SCH = $@/../WarpSE.kicad_sch
F_NETLIST = $@/WarpSE-NET.xml
F_BOM = $@/WarpSE-BOM.csv
F_POS = $@/WarpSE-top-pos.csv
F_ZIP = $@/WarpSE.4410A-gerber.zip
F_STENCIL = gerber/WarpSE.4410A-stencil.zip
F_SCHPDF = $@/WarpSE.4410A-Schematic.pdf
F_PCBPDF = $@/WarpSE.4410A-Placement.pdf
@ -14,6 +20,8 @@ CMD_GERBER = pcb export gerbers $(OPT_GERBER) -o $@/ $(F_PCB)
CMD_DRILL = pcb export drill -o $@/ $(F_PCB)
CMD_NETLIST = sch export netlist --format kicadxml -o $(F_NETLIST) $(F_SCH)
OPT_POS = --smd-only --units mm --side front --format csv
CMD_POS = pcb export pos $(OPT_POS) -o $(F_POS) $(F_PCB)
@ -21,42 +29,40 @@ CMD_SCHPDF = sch export pdf --black-and-white --no-background-color -o $(F_SCHPD
CMD_PCBPDF = pcb export pdf --black-and-white -l F.Fab,Edge.Cuts -o $(F_PCBPDF) $(F_PCB)
.PHONY: all clean gerber Documentation
all: gerber Documentation rom/bin/warpse_0700.bin rom/bin/warpse_1508.bin
.PHONY: all clean gerber stencil Documentation rom
all: gerber stencil Documentation rom
clean:
rm -fr gerber/
rm -fr rom/
rm -f Documentation/WarpSE.4410A-Schematic.pdf
rm -f Documentation/WarpSE.4410A-Placement.pdf
rm -fr rom/bin/
gerber:
mkdir -p $@
$(KICAD) $(CMD_GERBER)
$(KICAD) $(CMD_DRILL)
$(KICAD) $(CMD_POS)
$(KICAD) $(CMD_NETLIST)
sed -i '' 's/PosX/MidX/g' $(F_POS)
sed -i '' 's/PosY/MidY/g' $(F_POS)
sed -i '' 's/Rot/Rotation/g' $(F_POS)
$(PYTHON) $(BOM_SCRIPT) $(F_NETLIST) $(F_BOM)
rm -f $(F_ZIP)
zip -r $(F_ZIP) $@/
stencil: gerber
zip $(F_STENCIL) -xi gerber/WarpSE-F_Paste.gtp
Documentation:
mkdir -p $@
$(KICAD) $(CMD_SCHPDF)
$(KICAD) $(CMD_PCBPDF)
rom/bin:
rom:
rm -fr rom/
mkdir -p $@
rom/bin/warpse_1508_preswap.bin: rom/bin rom/se.bin
rm -f rom/bin/warpse_1508_preswap.bin
rm -f rom/bin/warpse_0700_preswap.bin
../romtools/bin/bytesplit rom/se.bin 2 rom/bin/warpse_1508_preswap.bin rom/bin/warpse_0700_preswap.bin
rom/bin/warpse_0700_preswap.bin: rom/bin rom/se.bin rom/bin/warpse_1508_preswap.bin
rom/bin/warpse_1508.bin: rom/bin/warpse_1508_preswap.bin
../romtools/bin/romswap 11,9,8,13,0,1,14,17,2,3,18,10,12,7,6,5,15,4,16 7,6,5,4,3,2,1,0 rom/bin/warpse_1508_preswap.bin $@
rom/bin/warpse_0700.bin: rom/bin/warpse_0700_preswap.bin
../romtools/bin/romswap 14,13,8,9,18,15,11,10,12,7,16,17,0,1,2,3,4,5,6 7,6,5,4,3,2,1,0 rom/bin/warpse_0700_preswap.bin $@
mkdir -p $@/build
mkdir -p $@/bin
../romtools/bin/bytesplit romsrc/se.bin 2 rom/build/warpse_1508_preswap.bin rom/build/warpse_0700_preswap.bin
../romtools/bin/romswap 11,9,8,13,0,1,14,17,2,3,18,10,12,7,6,5,15,4,16 7,6,5,4,3,2,1,0 rom/build/warpse_1508_preswap.bin rom/bin/warpse_1508.bin
../romtools/bin/romswap 14,13,8,9,18,15,11,10,12,7,16,17,0,1,2,3,4,5,6 7,6,5,4,3,2,1,0 rom/build/warpse_0700_preswap.bin rom/bin/warpse_0700.bin

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)

File diff suppressed because it is too large Load Diff

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "Device:C_Small" (pin_numbers hide) (pin_names (offset 0.254) hide) (in_bom yes) (on_board yes)
(property "Reference" "C" (at 0.254 1.778 0)
@ -1023,6 +1030,11 @@
(stroke (width 0) (type default))
(uuid 3d8ae180-8beb-4868-96bd-080dbdab2951)
)
(wire (pts (xy 236.22 119.38) (xy 233.68 119.38))
(stroke (width 0) (type default))
(uuid 3e62fb9e-ed20-43a6-a6f7-5f2dbb986ecd)
)
(bus (pts (xy 203.2 129.54) (xy 203.2 132.08))
(stroke (width 0) (type default))
(uuid 3f3e87fa-98f9-42aa-9ee7-cb6dd5a3a75e)
@ -1041,6 +1053,10 @@
(uuid 427ea469-a00c-48a4-8283-a7d9e027923d)
)
(wire (pts (xy 233.68 63.5) (xy 233.68 68.58))
(stroke (width 0) (type default))
(uuid 43e8d657-d0e5-4c66-aada-8b19ab911fbe)
)
(wire (pts (xy 210.82 76.2) (xy 205.74 76.2))
(stroke (width 0) (type default))
(uuid 4612f9f0-1343-4ba7-94dd-7d3e9fc08dad)
@ -1177,6 +1193,10 @@
(uuid 7240ef72-7474-4a70-9773-3274fd78a575)
)
(wire (pts (xy 236.22 63.5) (xy 233.68 63.5))
(stroke (width 0) (type default))
(uuid 73857bb3-d451-435b-90e2-71e4757a1eb5)
)
(wire (pts (xy 77.47 133.35) (xy 72.39 133.35))
(stroke (width 0) (type default))
(uuid 767e3782-90bf-4d7f-b1ef-719aa7013187)
@ -1194,6 +1214,10 @@
(uuid 7880b2f4-ba89-4c49-95fc-48946ac85581)
)
(wire (pts (xy 233.68 119.38) (xy 233.68 124.46))
(stroke (width 0) (type default))
(uuid 78bf6ade-c2ae-470b-949a-701b97e9812d)
)
(wire (pts (xy 231.14 55.88) (xy 238.76 55.88))
(stroke (width 0) (type default))
(uuid 78d3a4a0-e724-44e1-963f-de88a39d4158)
@ -1339,6 +1363,10 @@
(stroke (width 0) (type default))
(uuid a06bd114-6488-4d22-b31a-c3a8f70a2574)
)
(wire (pts (xy 233.68 124.46) (xy 231.14 124.46))
(stroke (width 0) (type default))
(uuid a07634e1-1a38-4a3b-9162-7085f66f248e)
)
(bus (pts (xy 241.3 43.18) (xy 241.3 45.72))
(stroke (width 0) (type default))
(uuid a0af1aa5-82ff-4825-8836-86496e7db65f)
@ -1481,6 +1509,10 @@
(stroke (width 0) (type default))
(uuid c78d97f4-1d1b-46c3-bcbb-8424944a8978)
)
(wire (pts (xy 233.68 68.58) (xy 231.14 68.58))
(stroke (width 0) (type default))
(uuid c921e9b7-3f86-4a39-a1ca-b12f6f18b8e9)
)
(wire (pts (xy 97.79 80.01) (xy 102.87 80.01))
(stroke (width 0) (type default))
(uuid c96fb61f-984b-4e24-874e-ad2f1e86f9d7)
@ -2044,10 +2076,6 @@
(uuid fea6a04b-4bfd-450f-890a-ba5d162e31d9)
)
(hierarchical_label "~{OE}" (shape input) (at 231.14 129.54 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 2628b16a-8b1e-4398-be45-c147110e73bb)
)
(hierarchical_label "D[15..0]" (shape bidirectional) (at 106.68 72.39 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 44cd273f-f3a1-4b9a-83a6-972b276409e1)
@ -2056,7 +2084,7 @@
(effects (font (size 1.27 1.27)) (justify left))
(uuid 504cb9e4-5572-4208-bc9d-30a7efff8b9a)
)
(hierarchical_label "ROM~{CS}" (shape input) (at 231.14 124.46 0) (fields_autoplaced)
(hierarchical_label "ROM~{OE}" (shape input) (at 231.14 129.54 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 594594ee-9de8-45bc-b621-a9251877b0c2)
)
@ -2064,10 +2092,6 @@
(effects (font (size 1.27 1.27)) (justify left))
(uuid 72e9c34a-4fbc-4581-8ad2-e93bc3c3ccb0)
)
(hierarchical_label "~{OE}" (shape input) (at 231.14 73.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7a332b0c-4cba-438b-85c1-9efe2690fb62)
)
(hierarchical_label "L~{WE}" (shape input) (at 97.79 95.25 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 7a3fed5a-9b6f-45f0-9ad7-54e1bda0ea60)
@ -2096,7 +2120,7 @@
(effects (font (size 1.27 1.27)) (justify left))
(uuid ca7eee62-ed2f-41f0-ba4a-5f9abd56ee97)
)
(hierarchical_label "ROM~{CS}" (shape input) (at 231.14 68.58 0) (fields_autoplaced)
(hierarchical_label "ROM~{OE}" (shape input) (at 231.14 73.66 0) (fields_autoplaced)
(effects (font (size 1.27 1.27)) (justify left))
(uuid da7eee34-4516-4154-9034-7c9b8e2afe41)
)
@ -2763,6 +2787,31 @@
)
)
(symbol (lib_id "power:GND") (at 236.22 119.38 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 1887ad6d-befc-4005-a248-071d143fe90b)
(property "Reference" "#PWR010" (at 236.22 125.73 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 236.22 123.19 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 236.22 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 236.22 119.38 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 08674e5e-e945-4457-bc1b-b98e912f7bf9))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723900"
(reference "#PWR010") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 166.37 118.11 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 288541b7-4c27-4a3d-a164-daf4be300490)
@ -2792,6 +2841,31 @@
)
)
(symbol (lib_id "power:GND") (at 236.22 63.5 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 2a082264-d5ab-4b7e-85fd-0379b9b772c1)
(property "Reference" "#PWR014" (at 236.22 69.85 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Value" "GND" (at 236.22 67.31 0)
(effects (font (size 1.27 1.27)))
)
(property "Footprint" "" (at 236.22 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(property "Datasheet" "" (at 236.22 63.5 0)
(effects (font (size 1.27 1.27)) hide)
)
(pin "1" (uuid 7bcd97cf-496f-49b2-8260-4843c6b26094))
(instances
(project "WarpSE"
(path "/a5be2cb8-c68d-4180-8412-69a6b4c5b1d4/00000000-0000-0000-0000-00005f723900"
(reference "#PWR014") (unit 1)
)
)
)
)
(symbol (lib_id "Device:C_Small") (at 156.21 118.11 0) (unit 1)
(in_bom yes) (on_board yes) (dnp no)
(uuid 7ea41be9-54e8-47ac-8fd2-9913b35b7ed9)

View File

@ -1,804 +0,0 @@
EESchema-LIBRARY Version 2.4
#encoding utf-8
#
# CPLD_Xilinx_XC95144XL-TQ100
#
DEF CPLD_Xilinx_XC95144XL-TQ100 U 0 20 Y Y 1 F N
F0 "U" -800 2550 50 H V C CNN
F1 "CPLD_Xilinx_XC95144XL-TQ100" -800 -2550 50 H V C CNN
F2 "Package_QFP:TQFP-100_14x14mm_P0.5mm" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
TQFP*14x14mm*P0.5mm*
$ENDFPLIST
DRAW
S -800 2500 800 -2500 1 1 10 f
X I/O/GTS3 1 -1000 1100 200 R 50 50 1 1 B
X P10 10 -1000 300 200 R 50 50 1 1 B
X GND 100 400 -2700 200 U 50 50 1 1 W
X P11 11 -1000 2400 200 R 50 50 1 1 B
X P12 12 -1000 2300 200 R 50 50 1 1 B
X P13 13 -1000 2200 200 R 50 50 1 1 B
X P14 14 -1000 2100 200 R 50 50 1 1 B
X P15 15 -1000 2000 200 R 50 50 1 1 B
X P16 16 -1000 1900 200 R 50 50 1 1 B
X P17 17 -1000 1800 200 R 50 50 1 1 B
X P18 18 -1000 1700 200 R 50 50 1 1 B
X P19 19 -1000 1600 200 R 50 50 1 1 B
X I/O/GTS4 2 -1000 1000 200 R 50 50 1 1 B
X P20 20 -1000 1500 200 R 50 50 1 1 B
X GND 21 -300 -2700 200 U 50 50 1 1 W
X I/O/GCK1 22 -1000 1400 200 R 50 50 1 1 B
X I/O/GCK2 23 -1000 100 200 R 50 50 1 1 B
X P24 24 -1000 0 200 R 50 50 1 1 B
X P25 25 -1000 -100 200 R 50 50 1 1 B
X VCCIO 26 0 2700 200 D 50 50 1 1 W
X I/O/GCK3 27 -1000 -200 200 R 50 50 1 1 B
X P28 28 -1000 -300 200 R 50 50 1 1 B
X P29 29 -1000 -400 200 R 50 50 1 1 B
X I/O/GTS1 3 -1000 900 200 R 50 50 1 1 B
X P30 30 -1000 -500 200 R 50 50 1 1 B
X GND 31 -200 -2700 200 U 50 50 1 1 W
X P32 32 -1000 -600 200 R 50 50 1 1 B
X P33 33 -1000 -700 200 R 50 50 1 1 B
X P34 34 -1000 -800 200 R 50 50 1 1 B
X P35 35 1000 2400 200 L 50 50 1 1 B
X P36 36 1000 2300 200 L 50 50 1 1 B
X P37 37 1000 2200 200 L 50 50 1 1 B
X VCCIO 38 100 2700 200 D 50 50 1 1 W
X P39 39 1000 2100 200 L 50 50 1 1 B
X I/O/GTS2 4 -1000 800 200 R 50 50 1 1 B
X P40 40 1000 2000 200 L 50 50 1 1 B
X P41 41 1000 1900 200 L 50 50 1 1 B
X P42 42 1000 1800 200 L 50 50 1 1 B
X P43 43 1000 1700 200 L 50 50 1 1 B
X GND 44 -100 -2700 200 U 50 50 1 1 W
X TDI 45 1000 -2100 200 L 50 50 1 1 I
X P46 46 1000 1600 200 L 50 50 1 1 B
X TMS 47 1000 -2200 200 L 50 50 1 1 I
X TCK 48 1000 -2300 200 L 50 50 1 1 I
X P49 49 1000 1500 200 L 50 50 1 1 B
X VCCINT 5 -300 2700 200 D 50 50 1 1 W
X P50 50 1000 100 200 L 50 50 1 1 B
X VCCIO 51 200 2700 200 D 50 50 1 1 W
X P52 52 1000 0 200 L 50 50 1 1 B
X P53 53 1000 -100 200 L 50 50 1 1 B
X P54 54 1000 -200 200 L 50 50 1 1 B
X P55 55 1000 -300 200 L 50 50 1 1 B
X P56 56 1000 -400 200 L 50 50 1 1 B
X VCCINT 57 -200 2700 200 D 50 50 1 1 W
X P58 58 1000 -500 200 L 50 50 1 1 B
X P59 59 1000 -600 200 L 50 50 1 1 B
X P6 6 -1000 700 200 R 50 50 1 1 B
X P60 60 1000 -700 200 L 50 50 1 1 B
X P61 61 1000 -800 200 L 50 50 1 1 B
X GND 62 0 -2700 200 U 50 50 1 1 W
X P63 63 1000 -1000 200 L 50 50 1 1 B
X P64 64 1000 -1100 200 L 50 50 1 1 B
X P65 65 1000 -1200 200 L 50 50 1 1 B
X P66 66 1000 -1300 200 L 50 50 1 1 B
X P67 67 1000 -1400 200 L 50 50 1 1 B
X P68 68 1000 -1500 200 L 50 50 1 1 B
X GND 69 100 -2700 200 U 50 50 1 1 W
X P7 7 -1000 600 200 R 50 50 1 1 B
X P70 70 1000 -1600 200 L 50 50 1 1 B
X P71 71 1000 -1700 200 L 50 50 1 1 B
X P72 72 1000 -1800 200 L 50 50 1 1 B
X P73 73 1000 -1900 200 L 50 50 1 1 B
X P74 74 1000 1200 200 L 50 50 1 1 B
X GND 75 200 -2700 200 U 50 50 1 1 W
X P76 76 1000 1100 200 L 50 50 1 1 B
X P77 77 1000 1000 200 L 50 50 1 1 B
X P78 78 1000 900 200 L 50 50 1 1 B
X P79 79 1000 800 200 L 50 50 1 1 B
X P8 8 -1000 500 200 R 50 50 1 1 B
X P80 80 1000 700 200 L 50 50 1 1 B
X P81 81 1000 600 200 L 50 50 1 1 B
X P82 82 1000 500 200 L 50 50 1 1 B
X TDO 83 1000 -2400 200 L 50 50 1 1 O
X GND 84 300 -2700 200 U 50 50 1 1 W
X P85 85 1000 400 200 L 50 50 1 1 B
X P86 86 1000 300 200 L 50 50 1 1 B
X P87 87 -1000 -1000 200 R 50 50 1 1 B
X VCCIO 88 300 2700 200 D 50 50 1 1 W
X P89 89 -1000 -1100 200 R 50 50 1 1 B
X P9 9 -1000 400 200 R 50 50 1 1 B
X P90 90 -1000 -1200 200 R 50 50 1 1 B
X P91 91 -1000 -1300 200 R 50 50 1 1 B
X P92 92 -1000 -1400 200 R 50 50 1 1 B
X P93 93 -1000 -1500 200 R 50 50 1 1 B
X P94 94 -1000 -1600 200 R 50 50 1 1 B
X P95 95 -1000 -1700 200 R 50 50 1 1 B
X P96 96 -1000 -1800 200 R 50 50 1 1 B
X P97 97 -1000 -1900 200 R 50 50 1 1 B
X VCCINT 98 -100 2700 200 D 50 50 1 1 W
X I/O/GSR 99 -1000 1200 200 R 50 50 1 1 B
ENDDRAW
ENDDEF
#
# CPU_NXP_68000_MC68000FN
#
DEF CPU_NXP_68000_MC68000FN U 0 30 Y Y 1 F N
F0 "U" -650 2350 50 H V C CNN
F1 "CPU_NXP_68000_MC68000FN" 500 -2350 50 H V C CNN
F2 "Package_LCC:PLCC-68" -750 2250 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
PLCC*
$ENDFPLIST
DRAW
S -700 2300 700 -2300 0 1 10 f
X D4 1 1000 -600 300 L 50 50 1 1 B
X DTACK 10 -1000 -700 300 R 50 50 1 1 I I
X BG 11 -1000 1400 300 R 50 50 1 1 O I
X BGACK 12 -1000 1500 300 R 50 50 1 1 I I
X BR 13 -1000 1300 300 R 50 50 1 1 I I
X VCC 14 -100 2600 300 D 50 50 1 1 W
X CLK 15 -1000 2200 300 R 50 50 1 1 I C
X GND 16 100 -2600 300 U 50 50 1 1 W
X GND 17 200 -2600 300 U 50 50 1 1 W
X NC 18 -700 -1800 0 R 50 50 1 1 N N
X HALT 19 -1000 -1200 300 R 50 50 1 1 B I
X D3 2 1000 -500 300 L 50 50 1 1 B
X RESET 20 -1000 -1400 300 R 50 50 1 1 I I
X VMA 21 -1000 500 300 R 50 50 1 1 O I
X E 22 -1000 400 300 R 50 50 1 1 O
X VPA 23 -1000 300 300 R 50 50 1 1 I I
X BERR 24 -1000 -500 300 R 50 50 1 1 I I
X IPL2 25 -1000 1700 300 R 50 50 1 1 I I
X IPL1 26 -1000 1800 300 R 50 50 1 1 I I
X IPL0 27 -1000 1900 300 R 50 50 1 1 I I
X FC2 28 -1000 800 300 R 50 50 1 1 O
X FC1 29 -1000 900 300 R 50 50 1 1 O
X D2 3 1000 -400 300 L 50 50 1 1 B
X FC0 30 -1000 1000 300 R 50 50 1 1 O
X NC 31 -700 -1900 0 R 50 50 1 1 N N
X A1 32 1000 2200 300 L 50 50 1 1 O
X A2 33 1000 2100 300 L 50 50 1 1 O
X A3 34 1000 2000 300 L 50 50 1 1 O
X A4 35 1000 1900 300 L 50 50 1 1 O
X A5 36 1000 1800 300 L 50 50 1 1 O
X A6 37 1000 1700 300 L 50 50 1 1 O
X A7 38 1000 1600 300 L 50 50 1 1 O
X A8 39 1000 1500 300 L 50 50 1 1 O
X D1 4 1000 -300 300 L 50 50 1 1 B
X A9 40 1000 1400 300 L 50 50 1 1 O
X A10 41 1000 1300 300 L 50 50 1 1 O
X A11 42 1000 1200 300 L 50 50 1 1 O
X A12 43 1000 1100 300 L 50 50 1 1 O
X A13 44 1000 1000 300 L 50 50 1 1 O
X A14 45 1000 900 300 L 50 50 1 1 O
X A15 46 1000 800 300 L 50 50 1 1 O
X A16 47 1000 700 300 L 50 50 1 1 O
X A17 48 1000 600 300 L 50 50 1 1 O
X A18 49 1000 500 300 L 50 50 1 1 O
X D0 5 1000 -200 300 L 50 50 1 1 B
X A19 50 1000 400 300 L 50 50 1 1 O
X A20 51 1000 300 300 L 50 50 1 1 O
X VCC 52 100 2600 300 D 50 50 1 1 W
X A21 53 1000 200 300 L 50 50 1 1 O
X A22 54 1000 100 300 L 50 50 1 1 O
X A23 55 1000 0 300 L 50 50 1 1 O
X GND 56 -100 -2600 300 U 50 50 1 1 W
X GND 57 -200 -2600 300 U 50 50 1 1 W
X D15 58 1000 -1700 300 L 50 50 1 1 B
X D14 59 1000 -1600 300 L 50 50 1 1 B
X AS 6 1000 -1900 300 L 50 50 1 1 O I
X D13 60 1000 -1500 300 L 50 50 1 1 B
X D12 61 1000 -1400 300 L 50 50 1 1 B
X D11 62 1000 -1300 300 L 50 50 1 1 B
X D10 63 1000 -1200 300 L 50 50 1 1 B
X D9 64 1000 -1100 300 L 50 50 1 1 B
X D8 65 1000 -1000 300 L 50 50 1 1 B
X D7 66 1000 -900 300 L 50 50 1 1 B
X D6 67 1000 -800 300 L 50 50 1 1 B
X D5 68 1000 -700 300 L 50 50 1 1 B
X UDS 7 1000 -2000 300 L 50 50 1 1 O I
X LDS 8 1000 -2100 300 L 50 50 1 1 O I
X R/W 9 1000 -2200 300 L 50 50 1 1 O
ENDDRAW
ENDDEF
#
# Connector_Generic_Conn_02x05_Odd_Even
#
DEF Connector_Generic_Conn_02x05_Odd_Even J 0 40 Y N 1 F N
F0 "J" 50 300 50 H V C CNN
F1 "Connector_Generic_Conn_02x05_Odd_Even" 50 -300 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Connector*:*_2x??_*
$ENDFPLIST
DRAW
S -50 -195 0 -205 1 1 6 N
S -50 -95 0 -105 1 1 6 N
S -50 5 0 -5 1 1 6 N
S -50 105 0 95 1 1 6 N
S -50 205 0 195 1 1 6 N
S -50 250 150 -250 1 1 10 f
S 150 -195 100 -205 1 1 6 N
S 150 -95 100 -105 1 1 6 N
S 150 5 100 -5 1 1 6 N
S 150 105 100 95 1 1 6 N
S 150 205 100 195 1 1 6 N
X Pin_1 1 -200 200 150 R 50 50 1 1 P
X Pin_10 10 300 -200 150 L 50 50 1 1 P
X Pin_2 2 300 200 150 L 50 50 1 1 P
X Pin_3 3 -200 100 150 R 50 50 1 1 P
X Pin_4 4 300 100 150 L 50 50 1 1 P
X Pin_5 5 -200 0 150 R 50 50 1 1 P
X Pin_6 6 300 0 150 L 50 50 1 1 P
X Pin_7 7 -200 -100 150 R 50 50 1 1 P
X Pin_8 8 300 -100 150 L 50 50 1 1 P
X Pin_9 9 -200 -200 150 R 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Connector_USB_B_Micro
#
DEF Connector_USB_B_Micro J 0 40 Y Y 1 F N
F0 "J" -200 450 50 H V L CNN
F1 "Connector_USB_B_Micro" -200 350 50 H V L CNN
F2 "" 150 -50 50 H I C CNN
F3 "" 150 -50 50 H I C CNN
ALIAS USB_B_Mini
$FPLIST
USB*
$ENDFPLIST
DRAW
C -150 85 25 0 1 10 F
C -25 135 15 0 1 10 F
S -200 -300 200 300 0 1 10 f
S -5 -300 5 -270 0 1 0 N
S 10 50 -20 20 0 1 10 F
S 200 -205 170 -195 0 1 0 N
S 200 -105 170 -95 0 1 0 N
S 200 -5 170 5 0 1 0 N
S 200 195 170 205 0 1 0 N
P 2 0 1 10 -75 85 25 85 N
P 4 0 1 10 -125 85 -100 85 -50 135 -25 135 N
P 4 0 1 10 -100 85 -75 85 -50 35 0 35 N
P 4 0 1 10 25 110 25 60 75 85 25 110 F
P 5 0 1 0 -170 220 -70 220 -80 190 -160 190 -170 220 F
P 9 0 1 0 -185 230 -185 220 -175 190 -175 180 -65 180 -65 190 -55 220 -55 230 -185 230 N
X VBUS 1 300 200 100 L 50 50 1 1 w
X D- 2 300 -100 100 L 50 50 1 1 B
X D+ 3 300 0 100 L 50 50 1 1 B
X ID 4 300 -200 100 L 50 50 1 1 P
X GND 5 0 -400 100 U 50 50 1 1 w
X Shield 6 -100 -400 100 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_C_Small
#
DEF Device_C_Small C 0 10 N N 1 F N
F0 "C" 10 70 50 H V L CNN
F1 "Device_C_Small" 10 -80 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
C_*
$ENDFPLIST
DRAW
P 2 0 1 13 -60 -20 60 -20 N
P 2 0 1 12 -60 20 60 20 N
X ~ 1 0 100 80 D 50 50 1 1 P
X ~ 2 0 -100 80 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_Crystal_Small
#
DEF Device_Crystal_Small Y 0 40 N N 1 F N
F0 "Y" 0 100 50 H V C CNN
F1 "Device_Crystal_Small" 0 -100 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Crystal*
$ENDFPLIST
DRAW
S -30 -60 30 60 0 1 0 N
P 2 0 1 15 -50 -30 -50 30 N
P 2 0 1 15 50 -30 50 30 N
X 1 1 -100 0 50 R 50 50 1 1 P
X 2 2 100 0 50 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Device_R_Small
#
DEF Device_R_Small R 0 10 N N 1 F N
F0 "R" 30 20 50 H V L CNN
F1 "Device_R_Small" 30 -40 50 H V L CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
R_*
$ENDFPLIST
DRAW
S -30 70 30 -70 0 1 8 N
X ~ 1 0 100 30 D 50 50 1 1 P
X ~ 2 0 -100 30 U 50 50 1 1 P
ENDDRAW
ENDDEF
#
# GW_Connector_MacSEPDS
#
DEF GW_Connector_MacSEPDS J 0 40 Y Y 3 L N
F0 "J" 0 1800 50 H V C CNN
F1 "GW_Connector_MacSEPDS" 0 -1600 50 H V C CNN
F2 "" 0 1800 50 H I C CNN
F3 "" 0 1800 50 H I C CNN
DRAW
S 0 1750 -400 -1550 0 1 10 f
X FC2 A1 150 1650 150 L 50 50 1 1 U
X A7 A10 150 750 150 L 50 50 1 1 U
X A8 A11 150 650 150 L 50 50 1 1 U
X A9 A12 150 550 150 L 50 50 1 1 U
X A10 A13 150 450 150 L 50 50 1 1 U
X A11 A14 150 350 150 L 50 50 1 1 U
X A12 A15 150 250 150 L 50 50 1 1 U
X A13 A16 150 150 150 L 50 50 1 1 U
X A14 A17 150 50 150 L 50 50 1 1 U
X A15 A18 150 -50 150 L 50 50 1 1 U
X A16 A19 150 -150 150 L 50 50 1 1 U
X FC1 A2 150 1550 150 L 50 50 1 1 U
X A17 A20 150 -250 150 L 50 50 1 1 U
X A18 A21 150 -350 150 L 50 50 1 1 U
X A19 A22 150 -450 150 L 50 50 1 1 U
X A20 A23 150 -550 150 L 50 50 1 1 U
X A21 A24 150 -650 150 L 50 50 1 1 U
X A22 A25 150 -750 150 L 50 50 1 1 U
X A23 A26 150 -850 150 L 50 50 1 1 U
X E A27 150 -950 150 L 50 50 1 1 U
X C8M A28 150 -1050 150 L 50 50 1 1 U
X C16M A29 150 -1150 150 L 50 50 1 1 U
X FC0 A3 150 1450 150 L 50 50 1 1 U
X GND A30 150 -1250 150 L 50 50 1 1 U
X +12V A31 150 -1350 150 L 50 50 1 1 U
X +12V A32 150 -1450 150 L 50 50 1 1 U
X A1 A4 150 1350 150 L 50 50 1 1 U
X A2 A5 150 1250 150 L 50 50 1 1 U
X A3 A6 150 1150 150 L 50 50 1 1 U
X A4 A7 150 1050 150 L 50 50 1 1 U
X A5 A8 150 950 150 L 50 50 1 1 U
X A6 A9 150 850 150 L 50 50 1 1 U
X GND B1 150 1650 150 L 50 50 2 1 U
X NC B10 150 750 150 L 50 50 2 1 U
X NC B11 150 650 150 L 50 50 2 1 U
X ~HALT~ B12 150 550 150 L 50 50 2 1 U
X +5V B13 150 450 150 L 50 50 2 1 U
X +5V B14 150 350 150 L 50 50 2 1 U
X +5V B15 150 250 150 L 50 50 2 1 U
X +5V B16 150 150 150 L 50 50 2 1 U
X +5V B17 150 50 150 L 50 50 2 1 U
X ~IPL~0 B18 150 -50 150 L 50 50 2 1 U
X ~IPL~1 B19 150 -150 150 L 50 50 2 1 U
X GND B2 150 1550 150 L 50 50 2 1 U
X ~IPL~2 B20 150 -250 150 L 50 50 2 1 U
X ~BERR~ B21 150 -350 150 L 50 50 2 1 U
X NC B22 150 -450 150 L 50 50 2 1 U
X NC B23 150 -550 150 L 50 50 2 1 U
X NC B24 150 -650 150 L 50 50 2 1 U
X NC B25 150 -750 150 L 50 50 2 1 U
X NC B26 150 -850 150 L 50 50 2 1 U
X NC B27 150 -950 150 L 50 50 2 1 U
X ~EXT.DTK~ B28 150 -1050 150 L 50 50 2 1 U
X GND B29 150 -1150 150 L 50 50 2 1 U
X GND B3 150 1450 150 L 50 50 2 1 U
X +12V B30 150 -1250 150 L 50 50 2 1 U
X +12V B31 150 -1350 150 L 50 50 2 1 U
X -5V B32 150 -1450 150 L 50 50 2 1 U
X GND B4 150 1350 150 L 50 50 2 1 U
X GND B5 150 1250 150 L 50 50 2 1 U
X GND B6 150 1150 150 L 50 50 2 1 U
X GND B7 150 1050 150 L 50 50 2 1 U
X GND B8 150 950 150 L 50 50 2 1 U
X GND B9 150 850 150 L 50 50 2 1 U
X ~VPA~ C1 150 1650 150 L 50 50 3 1 U
X ~AS~ C10 150 750 150 L 50 50 3 1 U
X ~PMCYC~ C11 150 650 150 L 50 50 3 1 U
X ~RESET~ C12 150 550 150 L 50 50 3 1 U
X +5V C13 150 450 150 L 50 50 3 1 U
X D0 C14 150 350 150 L 50 50 3 1 U
X D1 C15 150 250 150 L 50 50 3 1 U
X D2 C16 150 150 150 L 50 50 3 1 U
X D3 C17 150 50 150 L 50 50 3 1 U
X D4 C18 150 -50 150 L 50 50 3 1 U
X D5 C19 150 -150 150 L 50 50 3 1 U
X ~VMA~ C2 150 1550 150 L 50 50 3 1 U
X D6 C20 150 -250 150 L 50 50 3 1 U
X D7 C21 150 -350 150 L 50 50 3 1 U
X D8 C22 150 -450 150 L 50 50 3 1 U
X D9 C23 150 -550 150 L 50 50 3 1 U
X D10 C24 150 -650 150 L 50 50 3 1 U
X D11 C25 150 -750 150 L 50 50 3 1 U
X D12 C26 150 -850 150 L 50 50 3 1 U
X D13 C27 150 -950 150 L 50 50 3 1 U
X D14 C28 150 -1050 150 L 50 50 3 1 U
X D15 C29 150 -1150 150 L 50 50 3 1 U
X ~BR~ C3 150 1450 150 L 50 50 3 1 U
X GND C30 150 -1250 150 L 50 50 3 1 U
X NC C31 150 -1350 150 L 50 50 3 1 U
X -12V C32 150 -1450 150 L 50 50 3 1 U
X ~BGACK~ C4 150 1350 150 L 50 50 3 1 U
X ~BG~ C5 150 1250 150 L 50 50 3 1 U
X ~DTACK~ C6 150 1150 150 L 50 50 3 1 U
X R~W~ C7 150 1050 150 L 50 50 3 1 U
X ~LDS~ C8 150 950 150 L 50 50 3 1 U
X ~UDS~ C9 150 850 150 L 50 50 3 1 U
ENDDRAW
ENDDEF
#
# GW_Logic_74573
#
DEF GW_Logic_74573 U 0 40 Y Y 1 F N
F0 "U" 0 600 50 H V C CNN
F1 "GW_Logic_74573" 0 -600 50 H V C CNN
F2 "" 0 -650 50 H I C TNN
F3 "" 0 100 60 H I C CNN
DRAW
S -200 550 200 -550 0 1 10 f
X ~OE~ 1 -400 450 200 R 50 50 1 1 I
X GND 10 -400 -450 200 R 50 50 1 1 W
X ~LE~ 11 400 -450 200 L 50 50 1 1 I
X Q7 12 400 -350 200 L 50 50 1 1 T
X Q6 13 400 -250 200 L 50 50 1 1 T
X Q5 14 400 -150 200 L 50 50 1 1 T
X Q4 15 400 -50 200 L 50 50 1 1 T
X Q3 16 400 50 200 L 50 50 1 1 T
X Q2 17 400 150 200 L 50 50 1 1 T
X Q1 18 400 250 200 L 50 50 1 1 T
X Q0 19 400 350 200 L 50 50 1 1 T
X D0 2 -400 350 200 R 50 50 1 1 I
X Vcc 20 400 450 200 L 50 50 1 1 W
X D1 3 -400 250 200 R 50 50 1 1 I
X D2 4 -400 150 200 R 50 50 1 1 I
X D3 5 -400 50 200 R 50 50 1 1 I
X D4 6 -400 -50 200 R 50 50 1 1 I
X D5 7 -400 -150 200 R 50 50 1 1 I
X D6 8 -400 -250 200 R 50 50 1 1 I
X D7 9 -400 -350 200 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# GW_Logic_Oscillator_4P
#
DEF GW_Logic_Oscillator_4P U 0 40 Y Y 1 F N
F0 "U" 0 250 50 H V C CNN
F1 "GW_Logic_Oscillator_4P" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -250 200 250 -100 0 1 10 f
X EN 1 -350 100 100 R 50 50 1 1 I
X GND 2 -350 0 100 R 50 50 1 1 W
X Output 3 350 0 100 L 50 50 1 1 O
X Vdd 4 350 100 100 L 50 50 1 1 W
ENDDRAW
ENDDEF
#
# GW_Power_AZ1117CH2
#
DEF GW_Power_AZ1117CH2 U 0 10 Y Y 1 F N
F0 "U" -150 125 50 H V C CNN
F1 "GW_Power_AZ1117CH2" 0 125 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
F3 "" 100 -250 50 H I C CNN
$FPLIST
SOT?223*TabPin2*
$ENDFPLIST
DRAW
S -200 -200 200 75 0 1 10 f
X VI 1 -300 0 100 R 50 50 1 1 W
X GND 2 0 -300 100 U 50 50 1 1 W
X VO 3 300 0 100 L 50 50 1 1 w
ENDDRAW
ENDDEF
#
# GW_RAM_DRAM-2Mx8-SOP-28
#
DEF GW_RAM_DRAM-2Mx8-SOP-28 U 0 20 Y Y 1 F N
F0 "U" 0 750 50 H V C CNN
F1 "GW_RAM_DRAM-2Mx8-SOP-28" 0 0 50 V V C CNN
F2 "stdpads:SOP-24-26-300mil" 0 -850 50 H I C CNN
F3 "" 0 -550 50 H I C CNN
DRAW
S -300 700 300 -700 0 1 10 f
X VDD 1 400 600 100 L 50 50 1 1 W
X A0 10 -400 600 100 R 50 50 1 1 I
X A1 11 -400 500 100 R 50 50 1 1 I
X A2 12 -400 400 100 R 50 50 1 1 I
X A3 13 -400 300 100 R 50 50 1 1 I
X VDD 14 400 600 100 L 50 50 1 1 W N
X GND 15 -400 -600 100 R 50 50 1 1 W N
X A4 16 -400 200 100 R 50 50 1 1 I
X A5 17 -400 100 100 R 50 50 1 1 I
X A6 18 -400 0 100 R 50 50 1 1 I
X A7 19 -400 -100 100 R 50 50 1 1 I
X I/O0 2 400 500 100 L 50 50 1 1 B
X A8 20 -400 -200 100 R 50 50 1 1 I
X A9 21 -400 -300 100 R 50 50 1 1 I
X ~OE~ 22 400 -600 100 L 50 50 1 1 I
X ~CAS~ 23 400 -300 100 L 50 50 1 1 I
X I/O4 24 400 100 100 L 50 50 1 1 B
X I/O5 25 400 0 100 L 50 50 1 1 B
X I/O6 26 400 -100 100 L 50 50 1 1 B
X I/O7 27 400 -200 100 L 50 50 1 1 B
X GND 28 -400 -600 100 R 50 50 1 1 W
X I/O1 3 400 400 100 L 50 50 1 1 B
X I/O2 4 400 300 100 L 50 50 1 1 B
X I/O3 5 400 200 100 L 50 50 1 1 B
X ~WE~ 6 400 -500 100 L 50 50 1 1 I
X ~RAS~ 7 400 -400 100 L 50 50 1 1 I
X A11/NC 8 -400 -500 100 R 50 50 1 1 I
X A10 9 -400 -400 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# GW_RAM_Flash-512Kx8-PLCC-32
#
DEF GW_RAM_Flash-512Kx8-PLCC-32 U 0 20 Y Y 1 F N
F0 "U" 0 1050 50 H V C CNN
F1 "GW_RAM_Flash-512Kx8-PLCC-32" 0 0 50 V V C CNN
F2 "stdpads:PLCC-32_SMDSocket" 0 -1050 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
S -300 1000 300 -1000 0 1 10 f
X GND 16 400 -900 100 L 50 50 0 0 W
X VCC 32 400 900 100 L 50 50 0 0 W
X A18 1 -400 -900 100 R 50 50 1 1 I
X A2 10 -400 700 100 R 50 50 1 1 I
X A1 11 -400 800 100 R 50 50 1 1 I
X A0 12 -400 900 100 R 50 50 1 1 I
X D0 13 400 700 100 L 50 50 1 1 B
X D1 14 400 600 100 L 50 50 1 1 B
X D2 15 400 500 100 L 50 50 1 1 B
X D3 17 400 400 100 L 50 50 1 1 B
X D4 18 400 300 100 L 50 50 1 1 B
X D5 19 400 200 100 L 50 50 1 1 B
X A16 2 -400 -700 100 R 50 50 1 1 I
X D6 20 400 100 100 L 50 50 1 1 B
X D7 21 400 0 100 L 50 50 1 1 B
X ~CS~ 22 400 -400 100 L 50 50 1 1 I
X A10 23 -400 -100 100 R 50 50 1 1 I
X ~OE~ 24 400 -600 100 L 50 50 1 1 I
X A11 25 -400 -200 100 R 50 50 1 1 I
X A9 26 -400 0 100 R 50 50 1 1 I
X A8 27 -400 100 100 R 50 50 1 1 I
X A13 28 -400 -400 100 R 50 50 1 1 I
X A14 29 -400 -500 100 R 50 50 1 1 I
X A15 3 -400 -600 100 R 50 50 1 1 I
X A17 30 -400 -800 100 R 50 50 1 1 I
X ~WE~ 31 400 -500 100 L 50 50 1 1 I
X A12 4 -400 -300 100 R 50 50 1 1 I
X A7 5 -400 200 100 R 50 50 1 1 I
X A6 6 -400 300 100 R 50 50 1 1 I
X A5 7 -400 400 100 R 50 50 1 1 I
X A4 8 -400 500 100 R 50 50 1 1 I
X A3 9 -400 600 100 R 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Interface_USB_CH340G
#
DEF Interface_USB_CH340G U 0 20 Y Y 1 F N
F0 "U" -200 550 50 H V R CNN
F1 "Interface_USB_CH340G" 50 550 50 H V L CNN
F2 "Package_SO:SOIC-16_3.9x9.9mm_P1.27mm" 50 -550 50 H I L CNN
F3 "" -350 800 50 H I C CNN
$FPLIST
SOIC*3.9x9.9mm*P1.27mm*
$ENDFPLIST
DRAW
S -300 500 300 -500 0 1 10 f
X GND 1 0 -600 100 U 50 50 1 1 W
X ~DSR 10 400 0 100 L 50 50 1 1 I
X ~RI 11 400 -100 100 L 50 50 1 1 I
X ~DCD 12 400 -200 100 L 50 50 1 1 I
X ~DTR 13 400 -300 100 L 50 50 1 1 O
X ~RTS 14 400 -400 100 L 50 50 1 1 O
X R232 15 -400 300 100 R 50 50 1 1 I
X VCC 16 0 600 100 D 50 50 1 1 W
X TXD 2 400 400 100 L 50 50 1 1 O
X RXD 3 400 300 100 L 50 50 1 1 I
X V3 4 -100 600 100 D 50 50 1 1 P
X UD+ 5 -400 100 100 R 50 50 1 1 B
X UD- 6 -400 0 100 R 50 50 1 1 B
X XI 7 -400 -200 100 R 50 50 1 1 I
X XO 8 -400 -400 100 R 50 50 1 1 O
X ~CTS 9 400 100 100 L 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Mechanical_Fiducial
#
DEF Mechanical_Fiducial FID 0 20 Y Y 1 F N
F0 "FID" 0 200 50 H V C CNN
F1 "Mechanical_Fiducial" 0 125 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
Fiducial*
$ENDFPLIST
DRAW
C 0 0 50 0 1 20 f
ENDDRAW
ENDDEF
#
# Mechanical_MountingHole_Pad
#
DEF Mechanical_MountingHole_Pad H 0 40 N N 1 F N
F0 "H" 0 250 50 H V C CNN
F1 "Mechanical_MountingHole_Pad" 0 175 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
MountingHole*Pad*
$ENDFPLIST
DRAW
C 0 50 50 0 1 50 N
X 1 1 0 -100 100 U 50 50 1 1 I
ENDDRAW
ENDDEF
#
# Regulator_Linear_AP1117-33
#
DEF Regulator_Linear_AP1117-33 U 0 10 Y Y 1 F N
F0 "U" -150 125 50 H V C CNN
F1 "Regulator_Linear_AP1117-33" 0 125 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-223-3_TabPin2" 0 200 50 H I C CNN
F3 "" 100 -250 50 H I C CNN
ALIAS AP1117-18 AP1117-25 AP1117-33 AP1117-50 LD1117S33TR_SOT223 LD1117S12TR_SOT223 LD1117S18TR_SOT223 LD1117S25TR_SOT223 LD1117S50TR_SOT223 NCP1117-12_SOT223 NCP1117-1.5_SOT223 NCP1117-1.8_SOT223 NCP1117-2.0_SOT223 NCP1117-2.5_SOT223 NCP1117-2.85_SOT223 NCP1117-3.3_SOT223 NCP1117-5.0_SOT223 AMS1117-1.5 AMS1117-1.8 AMS1117-2.5 AMS1117-2.85 AMS1117-3.3 AMS1117-5.0
$FPLIST
SOT?223*TabPin2*
$ENDFPLIST
DRAW
S -200 -200 200 75 0 1 10 f
X GND 1 0 -300 100 U 50 50 1 1 W
X VO 2 300 0 100 L 50 50 1 1 w
X VI 3 -300 0 100 R 50 50 1 1 W
ENDDRAW
ENDDEF
#
# Switch_SW_DIP_x03
#
DEF Switch_SW_DIP_x03 SW 0 0 Y N 1 F N
F0 "SW" 0 350 50 H V C CNN
F1 "Switch_SW_DIP_x03" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
$FPLIST
SW?DIP?x3*
$ENDFPLIST
DRAW
C -80 0 20 0 0 0 N
C -80 100 20 0 0 0 N
C -80 200 20 0 0 0 N
C 80 0 20 0 0 0 N
C 80 100 20 0 0 0 N
C 80 200 20 0 0 0 N
S -150 300 150 -100 0 1 10 f
P 2 0 0 0 -60 5 93 46 N
P 2 0 0 0 -60 105 93 146 N
P 2 0 0 0 -60 205 93 246 N
X ~ 1 -300 200 200 R 50 50 1 1 P
X ~ 2 -300 100 200 R 50 50 1 1 P
X ~ 3 -300 0 200 R 50 50 1 1 P
X ~ 4 300 0 200 L 50 50 1 1 P
X ~ 5 300 100 200 L 50 50 1 1 P
X ~ 6 300 200 200 L 50 50 1 1 P
ENDDRAW
ENDDEF
#
# Transistor_BJT_MMBT3904
#
DEF Transistor_BJT_MMBT3904 Q 0 0 Y N 1 F N
F0 "Q" 200 75 50 H V L CNN
F1 "Transistor_BJT_MMBT3904" 200 0 50 H V L CNN
F2 "Package_TO_SOT_SMD:SOT-23" 200 -75 50 H I L CIN
F3 "" 0 0 50 H I L CNN
ALIAS BC818 BC846 BC847 BC848 BC849 BC850 MMBT3904 MMBT5550L MMBT5551L
$FPLIST
SOT?23*
$ENDFPLIST
DRAW
C 50 0 111 0 1 10 N
P 2 0 1 0 25 25 100 100 N
P 3 0 1 0 25 -25 100 -100 100 -100 N
P 3 0 1 20 25 75 25 -75 25 -75 N
P 5 0 1 0 50 -70 70 -50 90 -90 50 -70 50 -70 F
X B 1 -200 0 225 R 50 50 1 1 I
X E 2 100 -200 100 U 50 50 1 1 P
X C 3 100 200 100 D 50 50 1 1 P
ENDDRAW
ENDDEF
#
# power_+12V
#
DEF power_+12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+12V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +12V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+3V3
#
DEF power_+3V3 #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+3V3" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
ALIAS +3.3V
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +3V3 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_+5V
#
DEF power_+5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -150 50 H I C CNN
F1 "power_+5V" 0 140 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 2 0 1 0 -30 50 0 100 N
P 2 0 1 0 0 0 0 100 N
P 2 0 1 0 0 100 30 50 N
X +5V 1 0 0 0 U 50 50 1 1 W N
ENDDRAW
ENDDEF
#
# power_-12V
#
DEF power_-12V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-12V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -12V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_-5V
#
DEF power_-5V #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 100 50 H I C CNN
F1 "power_-5V" 0 150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 50 30 50 0 100 -30 50 0 50 F
X -5V 1 0 0 0 U 50 50 0 0 W N
ENDDRAW
ENDDEF
#
# power_GND
#
DEF power_GND #PWR 0 0 Y Y 1 F P
F0 "#PWR" 0 -250 50 H I C CNN
F1 "power_GND" 0 -150 50 H V C CNN
F2 "" 0 0 50 H I C CNN
F3 "" 0 0 50 H I C CNN
DRAW
P 6 0 1 0 0 0 0 -50 50 -50 0 -100 -50 -50 0 -50 N
X GND 1 0 0 0 D 50 50 1 1 W N
ENDDRAW
ENDDEF
#
#End Library

Binary file not shown.

File diff suppressed because it is too large Load Diff

View File

@ -46,7 +46,7 @@
"silk_text_upright": false,
"zones": {
"45_degree_only": false,
"min_clearance": 0.15239999999999998
"min_clearance": 0.15
}
},
"diff_pair_dimensions": [
@ -121,7 +121,7 @@
"min_connection": 0.12,
"min_copper_edge_clearance": 0.4064,
"min_hole_clearance": 0.25,
"min_hole_to_hole": 0.254,
"min_hole_to_hole": 0.5,
"min_microvia_diameter": 0.19999999999999998,
"min_microvia_drill": 0.09999999999999999,
"min_resolved_spokes": 2,
@ -214,7 +214,7 @@
},
{
"diameter": 1.524,
"drill": 0.7
"drill": 0.762
}
],
"zones_allow_external_fillets": false,

View File

@ -4,6 +4,13 @@
(paper "A4")
(title_block
(title "WarpSE (GW4410A)")
(date "2024-03-27")
(rev "1.0")
(company "Garrett's Workshop")
)
(lib_symbols
(symbol "Mechanical:Fiducial" (in_bom yes) (on_board yes)
(property "Reference" "FID" (at 0 5.08 0)
@ -898,7 +905,7 @@
(effects (font (size 1.27 1.27)) (justify right))
(uuid 637f12be-fa48-4ce4-96b2-04c21a8795c8)
)
(pin "ROM~{CS}" output (at 106.68 106.68 0)
(pin "ROM~{OE}" output (at 106.68 106.68 0)
(effects (font (size 1.27 1.27)) (justify right))
(uuid 5ff19d63-2cb4-438b-93c4-e66d37a05329)
)
@ -1019,7 +1026,7 @@
(effects (font (size 1.27 1.27)) (justify left))
(uuid a64aeb89-c24a-493b-9aab-87a6be930bde)
)
(pin "ROM~{CS}" input (at 114.3 106.68 180)
(pin "ROM~{OE}" input (at 114.3 106.68 180)
(effects (font (size 1.27 1.27)) (justify left))
(uuid 946404ba-9297-43ec-9d67-30184041145f)
)

View File

@ -10,7 +10,7 @@ module RAM(
input RefReqIn, input RefUrgIn,
/* DRAM and NOR flash interface */
output [11:0] RA, output nRAS, output reg nCAS,
output nLWE, output nUWE, output reg nOE, output nROMCS, output nROMWE);
output nLWE, output nUWE, output reg nOE, output nROMOE, output nROMWE);
/* BACT and /DTACK registration */
reg DTACKr; always @(posedge CLK) DTACKr <= !nDTACK;
@ -35,13 +35,11 @@ module RAM(
assign nRAS = !((!nAS && RAMCS && RASEN) || RASrr || RASrf);
assign nLWE = !(!nLDS && !nWE && RASEL);
assign nUWE = !(!nUDS && !nWE && RASEL);
always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr && DTACKr));
/* ROM control signals */
assign nROMCS = !ROMCS;
assign nROMWE = !(!nAS && !nWE);
/* Shared ROM and RAM /OE control */
always @(posedge CLK) nOE <= !(BACT && nWE && !(BACTr && DTACKr));
assign nROMOE = !(ROMCS && !nAS && nWE);
assign nROMWE = !(ROMCS && !nAS && !nWE);
/* RAM address mux (and ROM address on RA8) */
// RA11 doesn't do anything so both should be identical.

View File

@ -50,7 +50,7 @@ NET "nRAMLWE" LOC = "P65" ;
NET "nRAMUWE" LOC = "P66" ;
NET "nRAS" LOC = "P64" ;
NET "nRES" LOC = "P91" ;
NET "nROMCS" LOC = "P35" ;
NET "nROMOE" LOC = "P35" ;
NET "nROMWE" LOC = "P34" ;
NET "nUDS_FSB" LOC = "P33" ;
NET "nUDS_IOB" LOC = "P80" ;

View File

@ -22,7 +22,7 @@ module WarpSE(
input nBERR_IOB,
inout nRES,
input nIPL2,
output nROMCS,
output nROMOE,
output nRAMLWE,
output nRAMUWE,
output nROMWE,
@ -86,7 +86,7 @@ module WarpSE(
RefReq, RefUrg,
/* DRAM and NOR flash interface */
RA[11:0], nRAS, nCAS,
nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE);
nRAMLWE, nRAMUWE, nOE, nROMOE, nROMWE);
wire IONPReady, IOPWReady;
wire IORDREQ, IOWRREQ;

View File

@ -1,4 +1,4 @@
(fp_lib_table
(version 7)
(lib (name "stdpads")(type "KiCad")(uri "$(KIPRJMOD)/../stdpads.pretty")(options "")(descr ""))
(lib (name "stdpads")(type "KiCad")(uri "${KIPRJMOD}/../stdpads.pretty")(options "")(descr ""))
)

29
gerber/WarpSE-BOM.csv Normal file
View File

@ -0,0 +1,29 @@
"Reference","Quantity","Value","Footprint","LCSC Part","DNP"
"C1, C2, C3, C4, C7, C8, C9, C10, C12, C13, C14, C15, C16, C17, C18, C19, C20, C22, C24, C45, C50, C51","22","10u","stdpads:C_0805","C15850",""
"C5, C6, C11, C21, C23, C25, C26, C27, C28, C29, C30, C31, C32, C33, C34, C35, C36, C37, C38, C39, C40, C41, C42, C43, C44, C48, C49, C53, C54","29","2u2","stdpads:C_0603","C23630",""
"C46, C47, C52","3","22p","stdpads:C_0603","C1653",""
"FID1, FID2, FID3, FID4","4","Fiducial","stdpads:Fiducial","",""
"H1, H2, H3, H4, H5","5","","stdpads:PasteHole_1.152mm_NPTH","",""
"J1","1","MacSEPDS","stdpads:DIN41612_R_3x32_Male_Vertical_THT","",""
"J2","1","JTAG","Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical","",""
"J3","1","microUSB","stdpads:USB_Micro-B_Amphenol_10118192-0001","C404969",""
"J4","1","DBG","stdpads:PinHeader_2x06_P2.54mm_Vertical","",""
"J5","1","CLKIN","stdpads:PinHeader_1x02_P2.54mm_Vertical","",""
"J6","1","CLKDIS","stdpads:PinHeader_1x02_P2.54mm_Vertical","",""
"Q1","1","MMBT3904","stdpads:SOT-23","C20526",""
"R1, R2, R3, R5, R7, R17, R18, R19, R20, R23","10","47","stdpads:R_0603","C23182",""
"R4, R6, R12","3","DNP","stdpads:R_0603","",""
"R8, R10, R11","3","1k","stdpads:R_0603","",""
"R15, R16","2","10k","stdpads:R_0603","C25804",""
"R21, R22","2","0","stdpads:R_0603","C23182",""
"U1","1","XC95144XL-TQ100","stdpads:TQFP-100_14x14mm_P0.5mm","C45126",""
"U2, U13, U15, U16, U17, U18, U19, U20, U21, U22, U23, U24","12","74AHCT573PW","stdpads:TSSOP-20_4.4x6.5mm_P0.65mm","C141311",""
"U3","1","AZ1117CH-3.3","stdpads:SOT-223","C108494",""
"U5","1","50M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","C32526",""
"U6, U7","2","74LVC1G74DC","stdpads:NXP_VSSOP-8_2.3x2mm","",""
"U8, U9","2","KM48C2100","stdpads:SOJ-28_300mil","",""
"U10, U11","2","39SF040","stdpads:PLCC-32","C72257",""
"U12","1","CH340G","stdpads:SOIC-16_3.9mm","C14267",""
"U14","1","MC68HC000FN20","stdpads:PLCC-68","",""
"U26, U27, U28","3","74LVC1G07GW","stdpads:SOT-353","",""
"Y1","1","12M","stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm","",""
1 Reference Quantity Value Footprint LCSC Part DNP
2 C1, C2, C3, C4, C7, C8, C9, C10, C12, C13, C14, C15, C16, C17, C18, C19, C20, C22, C24, C45, C50, C51 22 10u stdpads:C_0805 C15850
3 C5, C6, C11, C21, C23, C25, C26, C27, C28, C29, C30, C31, C32, C33, C34, C35, C36, C37, C38, C39, C40, C41, C42, C43, C44, C48, C49, C53, C54 29 2u2 stdpads:C_0603 C23630
4 C46, C47, C52 3 22p stdpads:C_0603 C1653
5 FID1, FID2, FID3, FID4 4 Fiducial stdpads:Fiducial
6 H1, H2, H3, H4, H5 5 stdpads:PasteHole_1.152mm_NPTH
7 J1 1 MacSEPDS stdpads:DIN41612_R_3x32_Male_Vertical_THT
8 J2 1 JTAG Connector:Tag-Connect_TC2050-IDC-FP_2x05_P1.27mm_Vertical
9 J3 1 microUSB stdpads:USB_Micro-B_Amphenol_10118192-0001 C404969
10 J4 1 DBG stdpads:PinHeader_2x06_P2.54mm_Vertical
11 J5 1 CLKIN stdpads:PinHeader_1x02_P2.54mm_Vertical
12 J6 1 CLKDIS stdpads:PinHeader_1x02_P2.54mm_Vertical
13 Q1 1 MMBT3904 stdpads:SOT-23 C20526
14 R1, R2, R3, R5, R7, R17, R18, R19, R20, R23 10 47 stdpads:R_0603 C23182
15 R4, R6, R12 3 DNP stdpads:R_0603
16 R8, R10, R11 3 1k stdpads:R_0603
17 R15, R16 2 10k stdpads:R_0603 C25804
18 R21, R22 2 0 stdpads:R_0603 C23182
19 U1 1 XC95144XL-TQ100 stdpads:TQFP-100_14x14mm_P0.5mm C45126
20 U2, U13, U15, U16, U17, U18, U19, U20, U21, U22, U23, U24 12 74AHCT573PW stdpads:TSSOP-20_4.4x6.5mm_P0.65mm C141311
21 U3 1 AZ1117CH-3.3 stdpads:SOT-223 C108494
22 U5 1 50M stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm C32526
23 U6, U7 2 74LVC1G74DC stdpads:NXP_VSSOP-8_2.3x2mm
24 U8, U9 2 KM48C2100 stdpads:SOJ-28_300mil
25 U10, U11 2 39SF040 stdpads:PLCC-32 C72257
26 U12 1 CH340G stdpads:SOIC-16_3.9mm C14267
27 U14 1 MC68HC000FN20 stdpads:PLCC-68
28 U26, U27, U28 3 74LVC1G07GW stdpads:SOT-353
29 Y1 1 12M stdpads:Crystal_SMD_3225-4Pin_3.2x2.5mm

35244
gerber/WarpSE-B_Cu.gbl Normal file

File diff suppressed because it is too large Load Diff

5987
gerber/WarpSE-B_Mask.gbs Normal file

File diff suppressed because it is too large Load Diff

View File

@ -0,0 +1,251 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10*
G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Legend,Bot*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10C,0.203200*%
%ADD11C,0.120000*%
%ADD12C,3.002400*%
%ADD13RoundRect,0.274580X0.576620X0.576620X-0.576620X0.576620X-0.576620X-0.576620X0.576620X-0.576620X0*%
%ADD14C,1.702400*%
%ADD15C,1.448000*%
%ADD16C,2.527300*%
%ADD17C,1.143000*%
%ADD18RoundRect,0.076200X0.850000X0.850000X-0.850000X0.850000X-0.850000X-0.850000X0.850000X-0.850000X0*%
%ADD19O,1.852400X1.852400*%
%ADD20RoundRect,0.076200X0.850000X-0.850000X0.850000X0.850000X-0.850000X0.850000X-0.850000X-0.850000X0*%
%ADD21RoundRect,0.272412X-0.653788X-0.653788X0.653788X-0.653788X0.653788X0.653788X-0.653788X0.653788X0*%
G04 APERTURE END LIST*
D10*
X113568237Y-39430649D02*
X113026371Y-39430649D01*
X113026371Y-39430649D02*
X113568237Y-40243449D01*
X113568237Y-40243449D02*
X113026371Y-40243449D01*
X112716733Y-40243449D02*
X112716733Y-39430649D01*
X112252276Y-40243449D02*
X112600618Y-39778992D01*
X112252276Y-39430649D02*
X112716733Y-39895106D01*
X111865228Y-40204745D02*
X111865228Y-40243449D01*
X111865228Y-40243449D02*
X111903933Y-40320859D01*
X111903933Y-40320859D02*
X111942637Y-40359564D01*
X110471856Y-39469354D02*
X110549266Y-39430649D01*
X110549266Y-39430649D02*
X110665380Y-39430649D01*
X110665380Y-39430649D02*
X110781494Y-39469354D01*
X110781494Y-39469354D02*
X110858904Y-39546764D01*
X110858904Y-39546764D02*
X110897609Y-39624173D01*
X110897609Y-39624173D02*
X110936313Y-39778992D01*
X110936313Y-39778992D02*
X110936313Y-39895106D01*
X110936313Y-39895106D02*
X110897609Y-40049925D01*
X110897609Y-40049925D02*
X110858904Y-40127335D01*
X110858904Y-40127335D02*
X110781494Y-40204745D01*
X110781494Y-40204745D02*
X110665380Y-40243449D01*
X110665380Y-40243449D02*
X110587971Y-40243449D01*
X110587971Y-40243449D02*
X110471856Y-40204745D01*
X110471856Y-40204745D02*
X110433152Y-40166040D01*
X110433152Y-40166040D02*
X110433152Y-39895106D01*
X110433152Y-39895106D02*
X110587971Y-39895106D01*
X109813875Y-39817697D02*
X110084809Y-39817697D01*
X110084809Y-40243449D02*
X110084809Y-39430649D01*
X110084809Y-39430649D02*
X109697761Y-39430649D01*
D11*
X209730000Y-129840000D02*
X209730000Y-129240000D01*
X209730000Y-129240000D02*
X209050000Y-129540000D01*
X209050000Y-129540000D02*
X209730000Y-129840000D01*
%LPC*%
D12*
X203500000Y-135170000D03*
X203500000Y-45170000D03*
D13*
X205740000Y-129540000D03*
D14*
X205740000Y-127000000D03*
X205740000Y-124460000D03*
X205740000Y-121920000D03*
X205740000Y-119380000D03*
X205740000Y-116840000D03*
X205740000Y-114300000D03*
X205740000Y-111760000D03*
X205740000Y-109220000D03*
X205740000Y-106680000D03*
X205740000Y-104140000D03*
X205740000Y-101600000D03*
X205740000Y-99060000D03*
X205740000Y-96520000D03*
X205740000Y-93980000D03*
X205740000Y-91440000D03*
X205740000Y-88900000D03*
X205740000Y-86360000D03*
X205740000Y-83820000D03*
X205740000Y-81280000D03*
X205740000Y-78740000D03*
X205740000Y-76200000D03*
X205740000Y-73660000D03*
X205740000Y-71120000D03*
X205740000Y-68580000D03*
X205740000Y-66040000D03*
X205740000Y-63500000D03*
X205740000Y-60960000D03*
X205740000Y-58420000D03*
X205740000Y-55880000D03*
X205740000Y-53340000D03*
X205740000Y-50800000D03*
X203200000Y-129540000D03*
X203200000Y-127000000D03*
X203200000Y-124460000D03*
X203200000Y-121920000D03*
X203200000Y-119380000D03*
X203200000Y-116840000D03*
X203200000Y-114300000D03*
X203200000Y-111760000D03*
X203200000Y-109220000D03*
X203200000Y-106680000D03*
X203200000Y-104140000D03*
X203200000Y-101600000D03*
X203200000Y-99060000D03*
X203200000Y-96520000D03*
X203200000Y-93980000D03*
X203200000Y-91440000D03*
X203200000Y-88900000D03*
X203200000Y-86360000D03*
X203200000Y-83820000D03*
X203200000Y-81280000D03*
X203200000Y-78740000D03*
X203200000Y-76200000D03*
X203200000Y-73660000D03*
X203200000Y-71120000D03*
X203200000Y-68580000D03*
X203200000Y-66040000D03*
X203200000Y-63500000D03*
X203200000Y-60960000D03*
X203200000Y-58420000D03*
X203200000Y-55880000D03*
X203200000Y-53340000D03*
X203200000Y-50800000D03*
X200660000Y-129540000D03*
X200660000Y-127000000D03*
X200660000Y-124460000D03*
X200660000Y-121920000D03*
X200660000Y-119380000D03*
X200660000Y-116840000D03*
X200660000Y-114300000D03*
X200660000Y-111760000D03*
X200660000Y-109220000D03*
X200660000Y-106680000D03*
X200660000Y-104140000D03*
X200660000Y-101600000D03*
X200660000Y-99060000D03*
X200660000Y-96520000D03*
X200660000Y-93980000D03*
X200660000Y-91440000D03*
X200660000Y-88900000D03*
X200660000Y-86360000D03*
X200660000Y-83820000D03*
X200660000Y-81280000D03*
X200660000Y-78740000D03*
X200660000Y-76200000D03*
X200660000Y-73660000D03*
X200660000Y-71120000D03*
X200660000Y-68580000D03*
X200660000Y-66040000D03*
X200660000Y-63500000D03*
X200660000Y-60960000D03*
X200660000Y-58420000D03*
X200660000Y-55880000D03*
X200660000Y-53340000D03*
X200660000Y-50800000D03*
D15*
X107823000Y-39878000D03*
X210312000Y-39878000D03*
X210312000Y-137922000D03*
X196469000Y-137922000D03*
X107823000Y-127508000D03*
D16*
X107854910Y-48944962D03*
D17*
X109650961Y-50741013D03*
D16*
X111447012Y-52537064D03*
X111896025Y-44903846D03*
X115488128Y-48495949D03*
D17*
X114320694Y-44634439D03*
X115757535Y-46071280D03*
D18*
X133750000Y-89550000D03*
D19*
X133750000Y-87010000D03*
D20*
X179500000Y-61900000D03*
D19*
X182040000Y-61900000D03*
D21*
X105283000Y-112268000D03*
D19*
X107823000Y-112268000D03*
X105283000Y-114808000D03*
X107823000Y-114808000D03*
X105283000Y-117348000D03*
X107823000Y-117348000D03*
X105283000Y-119888000D03*
X107823000Y-119888000D03*
X105283000Y-122428000D03*
X107823000Y-122428000D03*
X105283000Y-124968000D03*
X107823000Y-124968000D03*
%LPD*%
M02*

View File

@ -0,0 +1,60 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10*
G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Profile,NP*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 #@! TA.AperFunction,Profile*
%ADD10C,0.150000*%
G04 #@! TD*
G04 APERTURE END LIST*
D10*
X103251000Y-127508000D02*
G75*
G03*
X105283000Y-129540000I2032000J0D01*
G01*
X194437000Y-131572000D02*
G75*
G03*
X192405000Y-129540000I-2032000J0D01*
G01*
X194437000Y-137922000D02*
G75*
G03*
X196469000Y-139954000I2032000J0D01*
G01*
X210312000Y-139954000D02*
G75*
G03*
X212344000Y-137922000I0J2032000D01*
G01*
X210312000Y-37846000D02*
X105283000Y-37846000D01*
X103251000Y-127508000D02*
X103251000Y-39878000D01*
X192405000Y-129540000D02*
X105283000Y-129540000D01*
X194437000Y-137922000D02*
X194437000Y-131572000D01*
X210312000Y-139954000D02*
X196469000Y-139954000D01*
X212344000Y-39878000D02*
X212344000Y-137922000D01*
X105283000Y-37846000D02*
G75*
G03*
X103251000Y-39878000I0J-2032000D01*
G01*
X212344000Y-39878000D02*
G75*
G03*
X210312000Y-37846000I-2032000J0D01*
G01*
M02*

61239
gerber/WarpSE-F_Cu.gtl Normal file

File diff suppressed because it is too large Load Diff

32067
gerber/WarpSE-F_Mask.gts Normal file

File diff suppressed because it is too large Load Diff

916
gerber/WarpSE-F_Paste.gtp Normal file
View File

@ -0,0 +1,916 @@
G04 #@! TF.GenerationSoftware,KiCad,Pcbnew,7.0.10*
G04 #@! TF.CreationDate,2024-03-29T04:01:23-04:00*
G04 #@! TF.ProjectId,WarpSE,57617270-5345-42e6-9b69-6361645f7063,1.0*
G04 #@! TF.SameCoordinates,Original*
G04 #@! TF.FileFunction,Paste,Top*
G04 #@! TF.FilePolarity,Positive*
%FSLAX46Y46*%
G04 Gerber Fmt 4.6, Leading zero omitted, Abs format (unit mm)*
G04 Created by KiCad (PCBNEW 7.0.10) date 2024-03-29 04:01:23*
%MOMM*%
%LPD*%
G01*
G04 APERTURE LIST*
G04 Aperture macros list*
%AMRoundRect*
0 Rectangle with rounded corners*
0 $1 Rounding radius*
0 $2 $3 $4 $5 $6 $7 $8 $9 X,Y pos of 4 corners*
0 Add a 4 corners polygon primitive as box body*
4,1,4,$2,$3,$4,$5,$6,$7,$8,$9,$2,$3,0*
0 Add four circle primitives for the rounded corners*
1,1,$1+$1,$2,$3*
1,1,$1+$1,$4,$5*
1,1,$1+$1,$6,$7*
1,1,$1+$1,$8,$9*
0 Add four rect primitives between the rounded corners*
20,1,$1+$1,$2,$3,$4,$5,0*
20,1,$1+$1,$4,$5,$6,$7,0*
20,1,$1+$1,$6,$7,$8,$9,0*
20,1,$1+$1,$8,$9,$2,$3,0*%
G04 Aperture macros list end*
%ADD10RoundRect,0.250000X-0.250000X-0.425000X0.250000X-0.425000X0.250000X0.425000X-0.250000X0.425000X0*%
%ADD11RoundRect,0.057500X0.645000X0.057500X-0.645000X0.057500X-0.645000X-0.057500X0.645000X-0.057500X0*%
%ADD12RoundRect,0.057500X0.057500X0.645000X-0.057500X0.645000X-0.057500X-0.645000X0.057500X-0.645000X0*%
%ADD13RoundRect,0.250000X0.425000X-0.250000X0.425000X0.250000X-0.425000X0.250000X-0.425000X-0.250000X0*%
%ADD14RoundRect,0.250000X-0.425000X0.250000X-0.425000X-0.250000X0.425000X-0.250000X0.425000X0.250000X0*%
%ADD15RoundRect,0.137500X-0.137500X1.361500X-0.137500X-1.361500X0.137500X-1.361500X0.137500X1.361500X0*%
%ADD16RoundRect,0.192500X-0.192500X-0.242500X0.192500X-0.242500X0.192500X0.242500X-0.192500X0.242500X0*%
%ADD17RoundRect,0.092500X-0.592500X-0.092500X0.592500X-0.092500X0.592500X0.092500X-0.592500X0.092500X0*%
%ADD18RoundRect,0.192500X0.192500X0.242500X-0.192500X0.242500X-0.192500X-0.242500X0.192500X-0.242500X0*%
%ADD19RoundRect,0.192500X-0.242500X0.192500X-0.242500X-0.192500X0.242500X-0.192500X0.242500X0.192500X0*%
%ADD20RoundRect,0.192500X0.242500X-0.192500X0.242500X0.192500X-0.242500X0.192500X-0.242500X-0.192500X0*%
%ADD21RoundRect,0.137500X0.575000X-0.137500X0.575000X0.137500X-0.575000X0.137500X-0.575000X-0.137500X0*%
%ADD22RoundRect,0.137500X0.137500X-0.575000X0.137500X0.575000X-0.137500X0.575000X-0.137500X-0.575000X0*%
%ADD23RoundRect,0.137500X-0.137500X-0.687500X0.137500X-0.687500X0.137500X0.687500X-0.137500X0.687500X0*%
%ADD24RoundRect,0.137500X-0.687500X-0.137500X0.687500X-0.137500X0.687500X0.137500X-0.687500X0.137500X0*%
%ADD25RoundRect,0.280000X-0.420000X0.670000X-0.420000X-0.670000X0.420000X-0.670000X0.420000X0.670000X0*%
%ADD26RoundRect,0.285000X-1.565000X0.665000X-1.565000X-0.665000X1.565000X-0.665000X1.565000X0.665000X0*%
%ADD27RoundRect,0.150000X0.150000X0.275000X-0.150000X0.275000X-0.150000X-0.275000X0.150000X-0.275000X0*%
%ADD28RoundRect,0.150000X0.300520X0.088388X0.088388X0.300520X-0.300520X-0.088388X-0.088388X-0.300520X0*%
%ADD29RoundRect,0.175000X-0.175000X0.450000X-0.175000X-0.450000X0.175000X-0.450000X0.175000X0.450000X0*%
%ADD30RoundRect,0.137500X0.812500X0.137500X-0.812500X0.137500X-0.812500X-0.137500X0.812500X-0.137500X0*%
%ADD31RoundRect,0.150000X-0.150000X-0.275000X0.150000X-0.275000X0.150000X0.275000X-0.150000X0.275000X0*%
%ADD32RoundRect,0.080000X0.080000X-0.380000X0.080000X0.380000X-0.080000X0.380000X-0.080000X-0.380000X0*%
%ADD33RoundRect,0.080000X0.080000X0.555000X-0.080000X0.555000X-0.080000X-0.555000X0.080000X-0.555000X0*%
%ADD34RoundRect,0.075000X0.075000X0.550000X-0.075000X0.550000X-0.075000X-0.550000X0.075000X-0.550000X0*%
%ADD35RoundRect,0.437500X0.437500X0.487500X-0.437500X0.487500X-0.437500X-0.487500X0.437500X-0.487500X0*%
%ADD36RoundRect,0.387500X0.637500X0.387500X-0.637500X0.387500X-0.637500X-0.387500X0.637500X-0.387500X0*%
%ADD37RoundRect,0.462500X0.462500X0.462500X-0.462500X0.462500X-0.462500X-0.462500X0.462500X-0.462500X0*%
%ADD38RoundRect,0.150000X0.275000X-0.150000X0.275000X0.150000X-0.275000X0.150000X-0.275000X-0.150000X0*%
%ADD39RoundRect,0.150000X-0.275000X0.150000X-0.275000X-0.150000X0.275000X-0.150000X0.275000X0.150000X0*%
%ADD40RoundRect,0.250000X0.250000X0.425000X-0.250000X0.425000X-0.250000X-0.425000X0.250000X-0.425000X0*%
%ADD41RoundRect,0.275000X0.375000X0.275000X-0.375000X0.275000X-0.375000X-0.275000X0.375000X-0.275000X0*%
%ADD42RoundRect,0.087500X-0.087500X0.387500X-0.087500X-0.387500X0.087500X-0.387500X0.087500X0.387500X0*%
%ADD43RoundRect,0.062500X-0.062500X0.412500X-0.062500X-0.412500X0.062500X-0.412500X0.062500X0.412500X0*%
%ADD44RoundRect,0.087500X0.087500X0.387500X-0.087500X0.387500X-0.087500X-0.387500X0.087500X-0.387500X0*%
%ADD45RoundRect,0.080000X0.380000X0.080000X-0.380000X0.080000X-0.380000X-0.080000X0.380000X-0.080000X0*%
G04 APERTURE END LIST*
D10*
X112550000Y-76800000D03*
X114250000Y-76800000D03*
X116600000Y-76800000D03*
X118300000Y-76800000D03*
X116600000Y-79400000D03*
X118300000Y-79400000D03*
X112550000Y-79400000D03*
X114250000Y-79400000D03*
D11*
X126912500Y-115100000D03*
X126912500Y-114600000D03*
X126912500Y-114100000D03*
X126912500Y-113600000D03*
X126912500Y-113100000D03*
X126912500Y-112600000D03*
X126912500Y-112100000D03*
X126912500Y-111600000D03*
X126912500Y-111100000D03*
X126912500Y-110600000D03*
X126912500Y-110100000D03*
X126912500Y-109600000D03*
X126912500Y-109100000D03*
X126912500Y-108600000D03*
X126912500Y-108100000D03*
X126912500Y-107600000D03*
X126912500Y-107100000D03*
X126912500Y-106600000D03*
X126912500Y-106100000D03*
X126912500Y-105600000D03*
X126912500Y-105100000D03*
X126912500Y-104600000D03*
X126912500Y-104100000D03*
X126912500Y-103600000D03*
X126912500Y-103100000D03*
D12*
X125250000Y-101437500D03*
X124750000Y-101437500D03*
X124250000Y-101437500D03*
X123750000Y-101437500D03*
X123250000Y-101437500D03*
X122750000Y-101437500D03*
X122250000Y-101437500D03*
X121750000Y-101437500D03*
X121250000Y-101437500D03*
X120750000Y-101437500D03*
X120250000Y-101437500D03*
X119750000Y-101437500D03*
X119250000Y-101437500D03*
X118750000Y-101437500D03*
X118250000Y-101437500D03*
X117750000Y-101437500D03*
X117250000Y-101437500D03*
X116750000Y-101437500D03*
X116250000Y-101437500D03*
X115750000Y-101437500D03*
X115250000Y-101437500D03*
X114750000Y-101437500D03*
X114250000Y-101437500D03*
X113750000Y-101437500D03*
X113250000Y-101437500D03*
D11*
X111587500Y-103100000D03*
X111587500Y-103600000D03*
X111587500Y-104100000D03*
X111587500Y-104600000D03*
X111587500Y-105100000D03*
X111587500Y-105600000D03*
X111587500Y-106100000D03*
X111587500Y-106600000D03*
X111587500Y-107100000D03*
X111587500Y-107600000D03*
X111587500Y-108100000D03*
X111587500Y-108600000D03*
X111587500Y-109100000D03*
X111587500Y-109600000D03*
X111587500Y-110100000D03*
X111587500Y-110600000D03*
X111587500Y-111100000D03*
X111587500Y-111600000D03*
X111587500Y-112100000D03*
X111587500Y-112600000D03*
X111587500Y-113100000D03*
X111587500Y-113600000D03*
X111587500Y-114100000D03*
X111587500Y-114600000D03*
X111587500Y-115100000D03*
D12*
X113250000Y-116762500D03*
X113750000Y-116762500D03*
X114250000Y-116762500D03*
X114750000Y-116762500D03*
X115250000Y-116762500D03*
X115750000Y-116762500D03*
X116250000Y-116762500D03*
X116750000Y-116762500D03*
X117250000Y-116762500D03*
X117750000Y-116762500D03*
X118250000Y-116762500D03*
X118750000Y-116762500D03*
X119250000Y-116762500D03*
X119750000Y-116762500D03*
X120250000Y-116762500D03*
X120750000Y-116762500D03*
X121250000Y-116762500D03*
X121750000Y-116762500D03*
X122250000Y-116762500D03*
X122750000Y-116762500D03*
X123250000Y-116762500D03*
X123750000Y-116762500D03*
X124250000Y-116762500D03*
X124750000Y-116762500D03*
X125250000Y-116762500D03*
D13*
X197167500Y-45466000D03*
X197167500Y-43766000D03*
D14*
X194754500Y-50800000D03*
X194754500Y-52500000D03*
X197167500Y-50800000D03*
X197167500Y-52500000D03*
D15*
X169545000Y-54991000D03*
X168275000Y-54991000D03*
X167005000Y-54991000D03*
X165735000Y-54991000D03*
X164465000Y-54991000D03*
X163195000Y-54991000D03*
X161925000Y-54991000D03*
X160655000Y-54991000D03*
X159385000Y-54991000D03*
X158115000Y-54991000D03*
X156845000Y-54991000D03*
X155575000Y-54991000D03*
X154305000Y-54991000D03*
X153035000Y-54991000D03*
X153035000Y-61341000D03*
X154305000Y-61341000D03*
X155575000Y-61341000D03*
X156845000Y-61341000D03*
X158115000Y-61341000D03*
X159385000Y-61341000D03*
X160655000Y-61341000D03*
X161925000Y-61341000D03*
X163195000Y-61341000D03*
X164465000Y-61341000D03*
X165735000Y-61341000D03*
X167005000Y-61341000D03*
X168275000Y-61341000D03*
X169545000Y-61341000D03*
D16*
X125250000Y-99450000D03*
X126750000Y-99450000D03*
D17*
X176650000Y-95800000D03*
X176650000Y-96450000D03*
X176650000Y-97100000D03*
X176650000Y-97750000D03*
X176650000Y-98400000D03*
X176650000Y-99050000D03*
X176650000Y-99700000D03*
X176650000Y-100350000D03*
X176650000Y-101000000D03*
X176650000Y-101650000D03*
X182550000Y-101650000D03*
X182550000Y-101000000D03*
X182550000Y-100350000D03*
X182550000Y-99700000D03*
X182550000Y-99050000D03*
X182550000Y-98400000D03*
X182550000Y-97750000D03*
X182550000Y-97100000D03*
X182550000Y-96450000D03*
X182550000Y-95800000D03*
D18*
X182550000Y-94350000D03*
X181050000Y-94350000D03*
X191050000Y-57950000D03*
X189550000Y-57950000D03*
X191050000Y-94350000D03*
X189550000Y-94350000D03*
X191050000Y-85250000D03*
X189550000Y-85250000D03*
X182550000Y-112550000D03*
X181050000Y-112550000D03*
X191050000Y-112550000D03*
X189550000Y-112550000D03*
X191050000Y-67050000D03*
X189550000Y-67050000D03*
X182550000Y-67050000D03*
X181050000Y-67050000D03*
X182550000Y-76150000D03*
X181050000Y-76150000D03*
X182550000Y-103450000D03*
X181050000Y-103450000D03*
X191050000Y-103450000D03*
X189550000Y-103450000D03*
D13*
X197167500Y-99060000D03*
X197167500Y-97360000D03*
D14*
X131572000Y-98171000D03*
X131572000Y-99871000D03*
X165608000Y-103251000D03*
X165608000Y-104951000D03*
D17*
X176650000Y-68500000D03*
X176650000Y-69150000D03*
X176650000Y-69800000D03*
X176650000Y-70450000D03*
X176650000Y-71100000D03*
X176650000Y-71750000D03*
X176650000Y-72400000D03*
X176650000Y-73050000D03*
X176650000Y-73700000D03*
X176650000Y-74350000D03*
X182550000Y-74350000D03*
X182550000Y-73700000D03*
X182550000Y-73050000D03*
X182550000Y-72400000D03*
X182550000Y-71750000D03*
X182550000Y-71100000D03*
X182550000Y-70450000D03*
X182550000Y-69800000D03*
X182550000Y-69150000D03*
X182550000Y-68500000D03*
X176650000Y-77600000D03*
X176650000Y-78250000D03*
X176650000Y-78900000D03*
X176650000Y-79550000D03*
X176650000Y-80200000D03*
X176650000Y-80850000D03*
X176650000Y-81500000D03*
X176650000Y-82150000D03*
X176650000Y-82800000D03*
X176650000Y-83450000D03*
X182550000Y-83450000D03*
X182550000Y-82800000D03*
X182550000Y-82150000D03*
X182550000Y-81500000D03*
X182550000Y-80850000D03*
X182550000Y-80200000D03*
X182550000Y-79550000D03*
X182550000Y-78900000D03*
X182550000Y-78250000D03*
X182550000Y-77600000D03*
X185150000Y-59400000D03*
X185150000Y-60050000D03*
X185150000Y-60700000D03*
X185150000Y-61350000D03*
X185150000Y-62000000D03*
X185150000Y-62650000D03*
X185150000Y-63300000D03*
X185150000Y-63950000D03*
X185150000Y-64600000D03*
X185150000Y-65250000D03*
X191050000Y-65250000D03*
X191050000Y-64600000D03*
X191050000Y-63950000D03*
X191050000Y-63300000D03*
X191050000Y-62650000D03*
X191050000Y-62000000D03*
X191050000Y-61350000D03*
X191050000Y-60700000D03*
X191050000Y-60050000D03*
X191050000Y-59400000D03*
X185150000Y-68500000D03*
X185150000Y-69150000D03*
X185150000Y-69800000D03*
X185150000Y-70450000D03*
X185150000Y-71100000D03*
X185150000Y-71750000D03*
X185150000Y-72400000D03*
X185150000Y-73050000D03*
X185150000Y-73700000D03*
X185150000Y-74350000D03*
X191050000Y-74350000D03*
X191050000Y-73700000D03*
X191050000Y-73050000D03*
X191050000Y-72400000D03*
X191050000Y-71750000D03*
X191050000Y-71100000D03*
X191050000Y-70450000D03*
X191050000Y-69800000D03*
X191050000Y-69150000D03*
X191050000Y-68500000D03*
X185150000Y-86700000D03*
X185150000Y-87350000D03*
X185150000Y-88000000D03*
X185150000Y-88650000D03*
X185150000Y-89300000D03*
X185150000Y-89950000D03*
X185150000Y-90600000D03*
X185150000Y-91250000D03*
X185150000Y-91900000D03*
X185150000Y-92550000D03*
X191050000Y-92550000D03*
X191050000Y-91900000D03*
X191050000Y-91250000D03*
X191050000Y-90600000D03*
X191050000Y-89950000D03*
X191050000Y-89300000D03*
X191050000Y-88650000D03*
X191050000Y-88000000D03*
X191050000Y-87350000D03*
X191050000Y-86700000D03*
X185150000Y-77600000D03*
X185150000Y-78250000D03*
X185150000Y-78900000D03*
X185150000Y-79550000D03*
X185150000Y-80200000D03*
X185150000Y-80850000D03*
X185150000Y-81500000D03*
X185150000Y-82150000D03*
X185150000Y-82800000D03*
X185150000Y-83450000D03*
X191050000Y-83450000D03*
X191050000Y-82800000D03*
X191050000Y-82150000D03*
X191050000Y-81500000D03*
X191050000Y-80850000D03*
X191050000Y-80200000D03*
X191050000Y-79550000D03*
X191050000Y-78900000D03*
X191050000Y-78250000D03*
X191050000Y-77600000D03*
X176650000Y-104900000D03*
X176650000Y-105550000D03*
X176650000Y-106200000D03*
X176650000Y-106850000D03*
X176650000Y-107500000D03*
X176650000Y-108150000D03*
X176650000Y-108800000D03*
X176650000Y-109450000D03*
X176650000Y-110100000D03*
X176650000Y-110750000D03*
X182550000Y-110750000D03*
X182550000Y-110100000D03*
X182550000Y-109450000D03*
X182550000Y-108800000D03*
X182550000Y-108150000D03*
X182550000Y-107500000D03*
X182550000Y-106850000D03*
X182550000Y-106200000D03*
X182550000Y-105550000D03*
X182550000Y-104900000D03*
X176650000Y-114000000D03*
X176650000Y-114650000D03*
X176650000Y-115300000D03*
X176650000Y-115950000D03*
X176650000Y-116600000D03*
X176650000Y-117250000D03*
X176650000Y-117900000D03*
X176650000Y-118550000D03*
X176650000Y-119200000D03*
X176650000Y-119850000D03*
X182550000Y-119850000D03*
X182550000Y-119200000D03*
X182550000Y-118550000D03*
X182550000Y-117900000D03*
X182550000Y-117250000D03*
X182550000Y-116600000D03*
X182550000Y-115950000D03*
X182550000Y-115300000D03*
X182550000Y-114650000D03*
X182550000Y-114000000D03*
X185150000Y-95800000D03*
X185150000Y-96450000D03*
X185150000Y-97100000D03*
X185150000Y-97750000D03*
X185150000Y-98400000D03*
X185150000Y-99050000D03*
X185150000Y-99700000D03*
X185150000Y-100350000D03*
X185150000Y-101000000D03*
X185150000Y-101650000D03*
X191050000Y-101650000D03*
X191050000Y-101000000D03*
X191050000Y-100350000D03*
X191050000Y-99700000D03*
X191050000Y-99050000D03*
X191050000Y-98400000D03*
X191050000Y-97750000D03*
X191050000Y-97100000D03*
X191050000Y-96450000D03*
X191050000Y-95800000D03*
X185150000Y-104900000D03*
X185150000Y-105550000D03*
X185150000Y-106200000D03*
X185150000Y-106850000D03*
X185150000Y-107500000D03*
X185150000Y-108150000D03*
X185150000Y-108800000D03*
X185150000Y-109450000D03*
X185150000Y-110100000D03*
X185150000Y-110750000D03*
X191050000Y-110750000D03*
X191050000Y-110100000D03*
X191050000Y-109450000D03*
X191050000Y-108800000D03*
X191050000Y-108150000D03*
X191050000Y-107500000D03*
X191050000Y-106850000D03*
X191050000Y-106200000D03*
X191050000Y-105550000D03*
X191050000Y-104900000D03*
X185150000Y-114000000D03*
X185150000Y-114650000D03*
X185150000Y-115300000D03*
X185150000Y-115950000D03*
X185150000Y-116600000D03*
X185150000Y-117250000D03*
X185150000Y-117900000D03*
X185150000Y-118550000D03*
X185150000Y-119200000D03*
X185150000Y-119850000D03*
X191050000Y-119850000D03*
X191050000Y-119200000D03*
X191050000Y-118550000D03*
X191050000Y-117900000D03*
X191050000Y-117250000D03*
X191050000Y-116600000D03*
X191050000Y-115950000D03*
X191050000Y-115300000D03*
X191050000Y-114650000D03*
X191050000Y-114000000D03*
D15*
X144145000Y-54991000D03*
X142875000Y-54991000D03*
X141605000Y-54991000D03*
X140335000Y-54991000D03*
X139065000Y-54991000D03*
X137795000Y-54991000D03*
X136525000Y-54991000D03*
X135255000Y-54991000D03*
X133985000Y-54991000D03*
X132715000Y-54991000D03*
X131445000Y-54991000D03*
X130175000Y-54991000D03*
X128905000Y-54991000D03*
X127635000Y-54991000D03*
X127635000Y-61341000D03*
X128905000Y-61341000D03*
X130175000Y-61341000D03*
X131445000Y-61341000D03*
X132715000Y-61341000D03*
X133985000Y-61341000D03*
X135255000Y-61341000D03*
X136525000Y-61341000D03*
X137795000Y-61341000D03*
X139065000Y-61341000D03*
X140335000Y-61341000D03*
X141605000Y-61341000D03*
X142875000Y-61341000D03*
X144145000Y-61341000D03*
D16*
X124000000Y-118750000D03*
X125500000Y-118750000D03*
D19*
X109600000Y-106600000D03*
X109600000Y-108100000D03*
D18*
X119000000Y-118750000D03*
X117500000Y-118750000D03*
X119250000Y-99450000D03*
X117750000Y-99450000D03*
D19*
X128900000Y-113100000D03*
X128900000Y-114600000D03*
D20*
X109600000Y-103100000D03*
X109600000Y-101600000D03*
D21*
X129052500Y-76200000D03*
X129052500Y-77470000D03*
X129052500Y-78740000D03*
X129052500Y-80010000D03*
D22*
X130810000Y-81762500D03*
X132080000Y-81762500D03*
X133350000Y-81762500D03*
X134620000Y-81762500D03*
X135890000Y-81762500D03*
X137160000Y-81762500D03*
X138430000Y-81762500D03*
X139700000Y-81762500D03*
X140970000Y-81762500D03*
D21*
X142727500Y-80010000D03*
X142727500Y-78740000D03*
X142727500Y-77470000D03*
X142727500Y-76200000D03*
X142727500Y-74930000D03*
X142727500Y-73660000D03*
X142727500Y-72390000D03*
D22*
X140970000Y-70637500D03*
X139700000Y-70637500D03*
X138430000Y-70637500D03*
X137160000Y-70637500D03*
X135890000Y-70637500D03*
X134620000Y-70637500D03*
X133350000Y-70637500D03*
X132080000Y-70637500D03*
X130810000Y-70637500D03*
D21*
X129052500Y-72390000D03*
X129052500Y-73660000D03*
X129052500Y-74930000D03*
X154452500Y-76200000D03*
X154452500Y-77470000D03*
X154452500Y-78740000D03*
X154452500Y-80010000D03*
D22*
X156210000Y-81762500D03*
X157480000Y-81762500D03*
X158750000Y-81762500D03*
X160020000Y-81762500D03*
X161290000Y-81762500D03*
X162560000Y-81762500D03*
X163830000Y-81762500D03*
X165100000Y-81762500D03*
X166370000Y-81762500D03*
D21*
X168127500Y-80010000D03*
X168127500Y-78740000D03*
X168127500Y-77470000D03*
X168127500Y-76200000D03*
X168127500Y-74930000D03*
X168127500Y-73660000D03*
X168127500Y-72390000D03*
D22*
X166370000Y-70637500D03*
X165100000Y-70637500D03*
X163830000Y-70637500D03*
X162560000Y-70637500D03*
X161290000Y-70637500D03*
X160020000Y-70637500D03*
X158750000Y-70637500D03*
X157480000Y-70637500D03*
X156210000Y-70637500D03*
D21*
X154452500Y-72390000D03*
X154452500Y-73660000D03*
X154452500Y-74930000D03*
D23*
X148590000Y-91451000D03*
X147320000Y-91451000D03*
X146050000Y-91451000D03*
X144780000Y-91451000D03*
X143510000Y-91451000D03*
X142240000Y-91451000D03*
X140970000Y-91451000D03*
X139700000Y-91451000D03*
X138430000Y-91451000D03*
D24*
X136790000Y-93091000D03*
X136790000Y-94361000D03*
X136790000Y-95631000D03*
X136790000Y-96901000D03*
X136790000Y-98171000D03*
X136790000Y-99441000D03*
X136790000Y-100711000D03*
X136790000Y-101981000D03*
X136790000Y-103251000D03*
X136790000Y-104521000D03*
X136790000Y-105791000D03*
X136790000Y-107061000D03*
X136790000Y-108331000D03*
X136790000Y-109601000D03*
X136790000Y-110871000D03*
X136790000Y-112141000D03*
X136790000Y-113411000D03*
D23*
X138430000Y-115051000D03*
X139700000Y-115051000D03*
X140970000Y-115051000D03*
X142240000Y-115051000D03*
X143510000Y-115051000D03*
X144780000Y-115051000D03*
X146050000Y-115051000D03*
X147320000Y-115051000D03*
X148590000Y-115051000D03*
X149860000Y-115051000D03*
X151130000Y-115051000D03*
X152400000Y-115051000D03*
X153670000Y-115051000D03*
X154940000Y-115051000D03*
X156210000Y-115051000D03*
X157480000Y-115051000D03*
X158750000Y-115051000D03*
D24*
X160390000Y-113411000D03*
X160390000Y-112141000D03*
X160390000Y-110871000D03*
X160390000Y-109601000D03*
X160390000Y-108331000D03*
X160390000Y-107061000D03*
X160390000Y-105791000D03*
X160390000Y-104521000D03*
X160390000Y-103251000D03*
X160390000Y-101981000D03*
X160390000Y-100711000D03*
X160390000Y-99441000D03*
X160390000Y-98171000D03*
X160390000Y-96901000D03*
X160390000Y-95631000D03*
X160390000Y-94361000D03*
X160390000Y-93091000D03*
D23*
X158750000Y-91451000D03*
X157480000Y-91451000D03*
X156210000Y-91451000D03*
X154940000Y-91451000D03*
X153670000Y-91451000D03*
X152400000Y-91451000D03*
X151130000Y-91451000D03*
X149860000Y-91451000D03*
D25*
X117250000Y-84450000D03*
D26*
X114950000Y-90750000D03*
D25*
X114950000Y-84450000D03*
X112650000Y-84450000D03*
D14*
X111800000Y-90950000D03*
X111800000Y-92650000D03*
X118100000Y-90950000D03*
X118100000Y-92650000D03*
X123952000Y-74930000D03*
X123952000Y-76630000D03*
X149352000Y-74930000D03*
X149352000Y-76630000D03*
X172085000Y-54991000D03*
X172085000Y-56691000D03*
X125095000Y-54991000D03*
X125095000Y-56691000D03*
X146685000Y-54991000D03*
X146685000Y-56691000D03*
X150495000Y-54991000D03*
X150495000Y-56691000D03*
D18*
X191050000Y-76150000D03*
X189550000Y-76150000D03*
D27*
X107300000Y-57550000D03*
X105700000Y-57550000D03*
D28*
X118479370Y-46216370D03*
X117348000Y-45085000D03*
D29*
X196200000Y-111400000D03*
X194300000Y-111400000D03*
X195250000Y-113500000D03*
D14*
X174250000Y-90300000D03*
X174250000Y-92000000D03*
D30*
X185228000Y-54800500D03*
X185228000Y-53530500D03*
X185228000Y-52260500D03*
X185228000Y-50990500D03*
X185228000Y-49720500D03*
X185228000Y-48450500D03*
X185228000Y-47180500D03*
X185228000Y-45910500D03*
X180278000Y-45910500D03*
X180278000Y-47180500D03*
X180278000Y-48450500D03*
X180278000Y-49720500D03*
X180278000Y-50990500D03*
X180278000Y-52260500D03*
X180278000Y-53530500D03*
X180278000Y-54800500D03*
D16*
X180276500Y-56451500D03*
X181776500Y-56451500D03*
D27*
X196050000Y-109650000D03*
X194450000Y-109650000D03*
D19*
X121850000Y-57700000D03*
X121850000Y-59200000D03*
D31*
X165189000Y-98615500D03*
X166789000Y-98615500D03*
D32*
X194250000Y-65000000D03*
X194900000Y-65000000D03*
X195550000Y-65000000D03*
X195550000Y-63300000D03*
X194250000Y-63300000D03*
D20*
X186900000Y-126150000D03*
X186900000Y-124650000D03*
D27*
X110150000Y-57550000D03*
X108550000Y-57550000D03*
D33*
X184550000Y-41925000D03*
X183900000Y-41925000D03*
X183250000Y-41925000D03*
X182600000Y-41925000D03*
D34*
X181950000Y-41925000D03*
D35*
X187050000Y-39250000D03*
D36*
X186350000Y-41800000D03*
D37*
X184450000Y-39250000D03*
X182050000Y-39250000D03*
D36*
X180150000Y-41800000D03*
D35*
X179450000Y-39250000D03*
D31*
X187100000Y-123200000D03*
X188700000Y-123200000D03*
X183134000Y-56451500D03*
X184734000Y-56451500D03*
X129750000Y-89850000D03*
X131350000Y-89850000D03*
D16*
X194250000Y-61500000D03*
X195750000Y-61500000D03*
D31*
X165189000Y-97091500D03*
X166789000Y-97091500D03*
D10*
X144145000Y-47625000D03*
X145845000Y-47625000D03*
D38*
X123550000Y-98350000D03*
X123550000Y-96750000D03*
D31*
X124850000Y-96750000D03*
X126450000Y-96750000D03*
D32*
X194250000Y-58750000D03*
X194900000Y-58750000D03*
X195550000Y-58750000D03*
X195550000Y-57050000D03*
X194250000Y-57050000D03*
D27*
X195650000Y-115150000D03*
X194050000Y-115150000D03*
D31*
X165189000Y-100139500D03*
X166789000Y-100139500D03*
D16*
X189350000Y-49099400D03*
X190850000Y-49099400D03*
X184550000Y-43900000D03*
X186050000Y-43900000D03*
D39*
X197200000Y-61700000D03*
X197200000Y-63300000D03*
D31*
X127800000Y-96750000D03*
X129400000Y-96750000D03*
D19*
X192850000Y-45499400D03*
X192850000Y-46999400D03*
D27*
X127250000Y-90100000D03*
X125650000Y-90100000D03*
D20*
X127900000Y-94550000D03*
X127900000Y-93050000D03*
D16*
X194250000Y-55250000D03*
X195750000Y-55250000D03*
D20*
X130000000Y-88400000D03*
X130000000Y-86900000D03*
D40*
X153035000Y-47625000D03*
X151335000Y-47625000D03*
D41*
X190849400Y-45500000D03*
X188649400Y-45500000D03*
X188649400Y-47200000D03*
X190849400Y-47200000D03*
D16*
X186118500Y-56451500D03*
X187618500Y-56451500D03*
D38*
X197200000Y-58650000D03*
X197200000Y-57050000D03*
D42*
X126450000Y-91850000D03*
D43*
X125900000Y-91850000D03*
X125400000Y-91850000D03*
D42*
X124850000Y-91850000D03*
D44*
X124850000Y-94550000D03*
D43*
X125400000Y-94550000D03*
X125900000Y-94550000D03*
D42*
X126450000Y-94550000D03*
D27*
X197250000Y-107950000D03*
X195650000Y-107950000D03*
D20*
X132450000Y-94550000D03*
X132450000Y-93050000D03*
D41*
X128050000Y-86700000D03*
X125850000Y-86700000D03*
X125850000Y-88400000D03*
X128050000Y-88400000D03*
D10*
X147750000Y-67850000D03*
X149450000Y-67850000D03*
D31*
X165189000Y-95567500D03*
X166789000Y-95567500D03*
X179500000Y-59400000D03*
X181100000Y-59400000D03*
D42*
X131000000Y-91850000D03*
D43*
X130450000Y-91850000D03*
X129950000Y-91850000D03*
D42*
X129400000Y-91850000D03*
D44*
X129400000Y-94550000D03*
D43*
X129950000Y-94550000D03*
X130450000Y-94550000D03*
D42*
X131000000Y-94550000D03*
D20*
X187579000Y-50990500D03*
X187579000Y-49490500D03*
D45*
X190400000Y-126150000D03*
X190400000Y-125500000D03*
X190400000Y-124850000D03*
X188700000Y-124850000D03*
X188700000Y-126150000D03*
M02*

File diff suppressed because it is too large Load Diff

23241
gerber/WarpSE-In1_Cu.g2 Normal file

File diff suppressed because it is too large Load Diff

43916
gerber/WarpSE-In2_Cu.g3 Normal file

File diff suppressed because it is too large Load Diff

3871
gerber/WarpSE-NET.xml Normal file

File diff suppressed because it is too large Load Diff

165
gerber/WarpSE-job.gbrjob Normal file
View File

@ -0,0 +1,165 @@
{
"Header": {
"GenerationSoftware": {
"Vendor": "KiCad",
"Application": "Pcbnew",
"Version": "7.0.10"
},
"CreationDate": "2024-03-29T04:01:23-04:00"
},
"GeneralSpecs": {
"ProjectId": {
"Name": "WarpSE",
"GUID": "57617270-5345-42e6-9b69-6361645f7063",
"Revision": "1.0"
},
"Size": {
"X": 109.243,
"Y": 102.258
},
"LayerNumber": 4,
"BoardThickness": 1.6108,
"Finish": "None"
},
"DesignRules": [
{
"Layers": "Outer",
"PadToPad": 0.15,
"PadToTrack": 0.15,
"TrackToTrack": 0.15,
"MinLineWidth": 0.15,
"TrackToRegion": 0.15,
"RegionToRegion": 0.15
},
{
"Layers": "Inner",
"PadToPad": 0.15,
"PadToTrack": 0.15,
"TrackToTrack": 0.15,
"MinLineWidth": 0.8,
"TrackToRegion": 0.15,
"RegionToRegion": 0.15
}
],
"FilesAttributes": [
{
"Path": "WarpSE-F_Cu.gtl",
"FileFunction": "Copper,L1,Top",
"FilePolarity": "Positive"
},
{
"Path": "WarpSE-In1_Cu.g2",
"FileFunction": "Copper,L2,Inr",
"FilePolarity": "Positive"
},
{
"Path": "WarpSE-In2_Cu.g3",
"FileFunction": "Copper,L3,Inr",
"FilePolarity": "Positive"
},
{
"Path": "WarpSE-B_Cu.gbl",
"FileFunction": "Copper,L4,Bot",
"FilePolarity": "Positive"
},
{
"Path": "WarpSE-F_Paste.gtp",
"FileFunction": "SolderPaste,Top",
"FilePolarity": "Positive"
},
{
"Path": "WarpSE-F_Silkscreen.gto",
"FileFunction": "Legend,Top",
"FilePolarity": "Positive"
},
{
"Path": "WarpSE-B_Silkscreen.gbo",
"FileFunction": "Legend,Bot",
"FilePolarity": "Positive"
},
{
"Path": "WarpSE-F_Mask.gts",
"FileFunction": "SolderMask,Top",
"FilePolarity": "Negative"
},
{
"Path": "WarpSE-B_Mask.gbs",
"FileFunction": "SolderMask,Bot",
"FilePolarity": "Negative"
},
{
"Path": "WarpSE-Edge_Cuts.gm1",
"FileFunction": "Profile",
"FilePolarity": "Positive"
}
],
"MaterialStackup": [
{
"Type": "Legend",
"Name": "Top Silk Screen"
},
{
"Type": "SolderPaste",
"Name": "Top Solder Paste"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Top Solder Mask"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "F.Cu"
},
{
"Type": "Dielectric",
"Thickness": 0.2104,
"Material": "FR4",
"Name": "F.Cu/In1.Cu",
"Notes": "Type: dielectric layer 1 (from F.Cu to In1.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.0175,
"Name": "In1.Cu"
},
{
"Type": "Dielectric",
"Thickness": 1.065,
"Material": "FR4",
"Name": "In1.Cu/In2.Cu",
"Notes": "Type: dielectric layer 2 (from In1.Cu to In2.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.0175,
"Name": "In2.Cu"
},
{
"Type": "Dielectric",
"Thickness": 0.2104,
"Material": "FR4",
"Name": "In2.Cu/B.Cu",
"Notes": "Type: dielectric layer 3 (from In2.Cu to B.Cu)"
},
{
"Type": "Copper",
"Thickness": 0.035,
"Name": "B.Cu"
},
{
"Type": "SolderMask",
"Thickness": 0.01,
"Name": "Bottom Solder Mask"
},
{
"Type": "SolderPaste",
"Name": "Bottom Solder Paste"
},
{
"Type": "Legend",
"Name": "Bottom Silk Screen"
}
]
}

108
gerber/WarpSE-top-pos.csv Normal file
View File

@ -0,0 +1,108 @@
Ref,Val,Package,MidX,MidY,Rotation,Side
"C1","10u","C_0805",131.572000,-99.021000,-90.000000,top
"C2","10u","C_0805",165.608000,-104.101000,-90.000000,top
"C3","10u","C_0805",113.400000,-79.400000,0.000000,top
"C4","10u","C_0805",113.400000,-76.800000,0.000000,top
"C5","2u2","C_0603",127.900000,-93.800000,90.000000,top
"C6","2u2","C_0603",132.450000,-93.800000,90.000000,top
"C7","10u","C_0805",111.800000,-91.800000,-90.000000,top
"C8","10u","C_0805",118.100000,-91.800000,-90.000000,top
"C9","10u","C_0805",117.450000,-79.400000,0.000000,top
"C10","10u","C_0805",117.450000,-76.800000,0.000000,top
"C11","2u2","C_0603",121.850000,-58.450000,-90.000000,top
"C12","10u","C_0805",125.095000,-55.841000,-90.000000,top
"C13","10u","C_0805",146.685000,-55.841000,-90.000000,top
"C14","10u","C_0805",150.495000,-55.841000,-90.000000,top
"C15","10u","C_0805",172.085000,-55.841000,-90.000000,top
"C16","10u","C_0805",123.952000,-75.780000,-90.000000,top
"C17","10u","C_0805",149.352000,-75.780000,-90.000000,top
"C18","10u","C_0805",197.167500,-98.210000,90.000000,top
"C19","10u","C_0805",174.250000,-91.150000,-90.000000,top
"C20","10u","C_0805",194.754500,-51.650000,-90.000000,top
"C21","2u2","C_0603",195.000000,-61.500000,0.000000,top
"C22","10u","C_0805",197.167500,-44.616000,90.000000,top
"C23","2u2","C_0603",181.026500,-56.451500,0.000000,top
"C24","10u","C_0805",197.167500,-51.650000,-90.000000,top
"C25","2u2","C_0603",185.300000,-43.900000,0.000000,top
"C26","2u2","C_0603",118.500000,-99.450000,180.000000,top
"C27","2u2","C_0603",126.000000,-99.450000,0.000000,top
"C28","2u2","C_0603",128.900000,-113.850000,-90.000000,top
"C29","2u2","C_0603",124.750000,-118.750000,0.000000,top
"C30","2u2","C_0603",118.250000,-118.750000,180.000000,top
"C31","2u2","C_0603",109.600000,-107.350000,-90.000000,top
"C32","2u2","C_0603",109.600000,-102.350000,90.000000,top
"C33","2u2","C_0603",190.300000,-57.950000,180.000000,top
"C34","2u2","C_0603",181.800000,-67.050000,180.000000,top
"C35","2u2","C_0603",190.300000,-67.050000,180.000000,top
"C36","2u2","C_0603",181.800000,-76.150000,180.000000,top
"C37","2u2","C_0603",190.300000,-76.150000,180.000000,top
"C38","2u2","C_0603",190.300000,-85.250000,180.000000,top
"C39","2u2","C_0603",181.800000,-94.350000,180.000000,top
"C40","2u2","C_0603",190.300000,-94.350000,180.000000,top
"C41","2u2","C_0603",181.800000,-103.450000,180.000000,top
"C42","2u2","C_0603",190.300000,-103.450000,180.000000,top
"C43","2u2","C_0603",181.800000,-112.550000,180.000000,top
"C44","2u2","C_0603",190.300000,-112.550000,180.000000,top
"C45","10u","C_0805",148.600000,-67.850000,0.000000,top
"C46","22p","C_0603",192.850000,-46.249400,-90.000000,top
"C47","22p","C_0603",190.100000,-49.099400,0.000000,top
"C48","2u2","C_0603",186.900000,-125.400000,90.000000,top
"C49","2u2","C_0603",187.579000,-50.240500,90.000000,top
"C50","10u","C_0805",144.995000,-47.625000,0.000000,top
"C51","10u","C_0805",152.185000,-47.625000,180.000000,top
"C52","22p","C_0603",186.868500,-56.451500,0.000000,top
"C53","2u2","C_0603",130.000000,-87.650000,90.000000,top
"C54","2u2","C_0603",195.000000,-55.250000,0.000000,top
"FID1","Fiducial","Fiducial",105.283000,-39.878000,0.000000,top
"FID2","Fiducial","Fiducial",207.772000,-39.878000,0.000000,top
"FID3","Fiducial","Fiducial",210.312000,-135.382000,0.000000,top
"FID4","Fiducial","Fiducial",105.283000,-127.508000,0.000000,top
"J3","microUSB","USB_Micro-B_Amphenol_10118192-0001",183.250000,-39.800000,180.000000,top
"Q1","MMBT3904","SOT-23",195.250000,-112.450000,90.000000,top
"R1","47","R_0603",128.600000,-96.750000,0.000000,top
"R2","47","R_0603",123.550000,-97.550000,90.000000,top
"R3","47","R_0603",126.450000,-90.100000,180.000000,top
"R4","DNP","R_0603",165.989000,-97.091500,0.000000,top
"R5","47","R_0603",130.550000,-89.850000,0.000000,top
"R6","DNP","R_0603",165.989000,-100.139500,0.000000,top
"R7","47","R_0603",197.200000,-57.850000,90.000000,top
"R8","1k","R_0603",180.300000,-59.400000,0.000000,top
"R10","1k","R_0603",196.450000,-107.950000,180.000000,top
"R11","1k","R_0603",195.250000,-109.650000,180.000000,top
"R12","DNP","R_0603",125.650000,-96.750000,0.000000,top
"R15","10k","R_0603",117.913685,-45.650685,135.000000,top
"R16","10k","R_0603",106.500000,-57.550000,180.000000,top
"R17","47","R_0603",197.200000,-62.500000,-90.000000,top
"R18","47","R_0603",187.900000,-123.200000,0.000000,top
"R19","47","R_0603",194.850000,-115.150000,180.000000,top
"R20","47","R_0603",183.934000,-56.451500,0.000000,top
"R21","0","R_0603",165.989000,-95.567500,0.000000,top
"R22","0","R_0603",165.989000,-98.615500,0.000000,top
"R23","47","R_0603",109.350000,-57.550000,180.000000,top
"U1","XC95144XL-TQ100","TQFP-100_14x14mm_P0.5mm",119.250000,-109.100000,90.000000,top
"U2","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-98.725000,-90.000000,top
"U3","AZ1117CH-3.3","SOT-223",114.950000,-87.600000,90.000000,top
"U5","50M","Crystal_SMD_3225-4Pin_3.2x2.5mm",126.950000,-87.550000,180.000000,top
"U6","74LVC1G74DC","NXP_VSSOP-8_2.3x2mm",130.200000,-93.200000,-90.000000,top
"U7","74LVC1G74DC","NXP_VSSOP-8_2.3x2mm",125.650000,-93.200000,-90.000000,top
"U8","KM48C2100","SOJ-28_300mil",135.890000,-58.166000,-90.000000,top
"U9","KM48C2100","SOJ-28_300mil",161.290000,-58.166000,-90.000000,top
"U10","39SF040","PLCC-32",135.890000,-76.200000,0.000000,top
"U11","39SF040","PLCC-32",161.290000,-76.200000,0.000000,top
"U12","CH340G","SOIC-16_3.9mm",182.753000,-50.355500,90.000000,top
"U13","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-116.925000,-90.000000,top
"U14","MC68HC000FN20","PLCC-68",148.590000,-103.251000,0.000000,top
"U15","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-107.825000,-90.000000,top
"U16","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-98.725000,-90.000000,top
"U17","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-116.925000,-90.000000,top
"U18","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-107.825000,-90.000000,top
"U19","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-80.525000,-90.000000,top
"U20","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-89.625000,-90.000000,top
"U21","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-71.425000,-90.000000,top
"U22","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",188.100000,-62.325000,-90.000000,top
"U23","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-80.525000,-90.000000,top
"U24","74AHCT573PW","TSSOP-20_4.4x6.5mm_P0.65mm",179.600000,-71.425000,-90.000000,top
"U26","74LVC1G07GW","SOT-353",189.550000,-125.500000,90.000000,top
"U27","74LVC1G07GW","SOT-353",194.900000,-64.150000,0.000000,top
"U28","74LVC1G07GW","SOT-353",194.900000,-57.900000,0.000000,top
"Y1","12M","Crystal_SMD_3225-4Pin_3.2x2.5mm",189.749400,-46.350000,180.000000,top
1 Ref Val Package MidX MidY Rotation Side
2 C1 10u C_0805 131.572000 -99.021000 -90.000000 top
3 C2 10u C_0805 165.608000 -104.101000 -90.000000 top
4 C3 10u C_0805 113.400000 -79.400000 0.000000 top
5 C4 10u C_0805 113.400000 -76.800000 0.000000 top
6 C5 2u2 C_0603 127.900000 -93.800000 90.000000 top
7 C6 2u2 C_0603 132.450000 -93.800000 90.000000 top
8 C7 10u C_0805 111.800000 -91.800000 -90.000000 top
9 C8 10u C_0805 118.100000 -91.800000 -90.000000 top
10 C9 10u C_0805 117.450000 -79.400000 0.000000 top
11 C10 10u C_0805 117.450000 -76.800000 0.000000 top
12 C11 2u2 C_0603 121.850000 -58.450000 -90.000000 top
13 C12 10u C_0805 125.095000 -55.841000 -90.000000 top
14 C13 10u C_0805 146.685000 -55.841000 -90.000000 top
15 C14 10u C_0805 150.495000 -55.841000 -90.000000 top
16 C15 10u C_0805 172.085000 -55.841000 -90.000000 top
17 C16 10u C_0805 123.952000 -75.780000 -90.000000 top
18 C17 10u C_0805 149.352000 -75.780000 -90.000000 top
19 C18 10u C_0805 197.167500 -98.210000 90.000000 top
20 C19 10u C_0805 174.250000 -91.150000 -90.000000 top
21 C20 10u C_0805 194.754500 -51.650000 -90.000000 top
22 C21 2u2 C_0603 195.000000 -61.500000 0.000000 top
23 C22 10u C_0805 197.167500 -44.616000 90.000000 top
24 C23 2u2 C_0603 181.026500 -56.451500 0.000000 top
25 C24 10u C_0805 197.167500 -51.650000 -90.000000 top
26 C25 2u2 C_0603 185.300000 -43.900000 0.000000 top
27 C26 2u2 C_0603 118.500000 -99.450000 180.000000 top
28 C27 2u2 C_0603 126.000000 -99.450000 0.000000 top
29 C28 2u2 C_0603 128.900000 -113.850000 -90.000000 top
30 C29 2u2 C_0603 124.750000 -118.750000 0.000000 top
31 C30 2u2 C_0603 118.250000 -118.750000 180.000000 top
32 C31 2u2 C_0603 109.600000 -107.350000 -90.000000 top
33 C32 2u2 C_0603 109.600000 -102.350000 90.000000 top
34 C33 2u2 C_0603 190.300000 -57.950000 180.000000 top
35 C34 2u2 C_0603 181.800000 -67.050000 180.000000 top
36 C35 2u2 C_0603 190.300000 -67.050000 180.000000 top
37 C36 2u2 C_0603 181.800000 -76.150000 180.000000 top
38 C37 2u2 C_0603 190.300000 -76.150000 180.000000 top
39 C38 2u2 C_0603 190.300000 -85.250000 180.000000 top
40 C39 2u2 C_0603 181.800000 -94.350000 180.000000 top
41 C40 2u2 C_0603 190.300000 -94.350000 180.000000 top
42 C41 2u2 C_0603 181.800000 -103.450000 180.000000 top
43 C42 2u2 C_0603 190.300000 -103.450000 180.000000 top
44 C43 2u2 C_0603 181.800000 -112.550000 180.000000 top
45 C44 2u2 C_0603 190.300000 -112.550000 180.000000 top
46 C45 10u C_0805 148.600000 -67.850000 0.000000 top
47 C46 22p C_0603 192.850000 -46.249400 -90.000000 top
48 C47 22p C_0603 190.100000 -49.099400 0.000000 top
49 C48 2u2 C_0603 186.900000 -125.400000 90.000000 top
50 C49 2u2 C_0603 187.579000 -50.240500 90.000000 top
51 C50 10u C_0805 144.995000 -47.625000 0.000000 top
52 C51 10u C_0805 152.185000 -47.625000 180.000000 top
53 C52 22p C_0603 186.868500 -56.451500 0.000000 top
54 C53 2u2 C_0603 130.000000 -87.650000 90.000000 top
55 C54 2u2 C_0603 195.000000 -55.250000 0.000000 top
56 FID1 Fiducial Fiducial 105.283000 -39.878000 0.000000 top
57 FID2 Fiducial Fiducial 207.772000 -39.878000 0.000000 top
58 FID3 Fiducial Fiducial 210.312000 -135.382000 0.000000 top
59 FID4 Fiducial Fiducial 105.283000 -127.508000 0.000000 top
60 J3 microUSB USB_Micro-B_Amphenol_10118192-0001 183.250000 -39.800000 180.000000 top
61 Q1 MMBT3904 SOT-23 195.250000 -112.450000 90.000000 top
62 R1 47 R_0603 128.600000 -96.750000 0.000000 top
63 R2 47 R_0603 123.550000 -97.550000 90.000000 top
64 R3 47 R_0603 126.450000 -90.100000 180.000000 top
65 R4 DNP R_0603 165.989000 -97.091500 0.000000 top
66 R5 47 R_0603 130.550000 -89.850000 0.000000 top
67 R6 DNP R_0603 165.989000 -100.139500 0.000000 top
68 R7 47 R_0603 197.200000 -57.850000 90.000000 top
69 R8 1k R_0603 180.300000 -59.400000 0.000000 top
70 R10 1k R_0603 196.450000 -107.950000 180.000000 top
71 R11 1k R_0603 195.250000 -109.650000 180.000000 top
72 R12 DNP R_0603 125.650000 -96.750000 0.000000 top
73 R15 10k R_0603 117.913685 -45.650685 135.000000 top
74 R16 10k R_0603 106.500000 -57.550000 180.000000 top
75 R17 47 R_0603 197.200000 -62.500000 -90.000000 top
76 R18 47 R_0603 187.900000 -123.200000 0.000000 top
77 R19 47 R_0603 194.850000 -115.150000 180.000000 top
78 R20 47 R_0603 183.934000 -56.451500 0.000000 top
79 R21 0 R_0603 165.989000 -95.567500 0.000000 top
80 R22 0 R_0603 165.989000 -98.615500 0.000000 top
81 R23 47 R_0603 109.350000 -57.550000 180.000000 top
82 U1 XC95144XL-TQ100 TQFP-100_14x14mm_P0.5mm 119.250000 -109.100000 90.000000 top
83 U2 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 179.600000 -98.725000 -90.000000 top
84 U3 AZ1117CH-3.3 SOT-223 114.950000 -87.600000 90.000000 top
85 U5 50M Crystal_SMD_3225-4Pin_3.2x2.5mm 126.950000 -87.550000 180.000000 top
86 U6 74LVC1G74DC NXP_VSSOP-8_2.3x2mm 130.200000 -93.200000 -90.000000 top
87 U7 74LVC1G74DC NXP_VSSOP-8_2.3x2mm 125.650000 -93.200000 -90.000000 top
88 U8 KM48C2100 SOJ-28_300mil 135.890000 -58.166000 -90.000000 top
89 U9 KM48C2100 SOJ-28_300mil 161.290000 -58.166000 -90.000000 top
90 U10 39SF040 PLCC-32 135.890000 -76.200000 0.000000 top
91 U11 39SF040 PLCC-32 161.290000 -76.200000 0.000000 top
92 U12 CH340G SOIC-16_3.9mm 182.753000 -50.355500 90.000000 top
93 U13 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -116.925000 -90.000000 top
94 U14 MC68HC000FN20 PLCC-68 148.590000 -103.251000 0.000000 top
95 U15 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -107.825000 -90.000000 top
96 U16 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -98.725000 -90.000000 top
97 U17 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 179.600000 -116.925000 -90.000000 top
98 U18 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 179.600000 -107.825000 -90.000000 top
99 U19 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -80.525000 -90.000000 top
100 U20 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -89.625000 -90.000000 top
101 U21 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -71.425000 -90.000000 top
102 U22 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 188.100000 -62.325000 -90.000000 top
103 U23 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 179.600000 -80.525000 -90.000000 top
104 U24 74AHCT573PW TSSOP-20_4.4x6.5mm_P0.65mm 179.600000 -71.425000 -90.000000 top
105 U26 74LVC1G07GW SOT-353 189.550000 -125.500000 90.000000 top
106 U27 74LVC1G07GW SOT-353 194.900000 -64.150000 0.000000 top
107 U28 74LVC1G07GW SOT-353 194.900000 -57.900000 0.000000 top
108 Y1 12M Crystal_SMD_3225-4Pin_3.2x2.5mm 189.749400 -46.350000 180.000000 top

Binary file not shown.

Binary file not shown.

1510
gerber/WarpSE.drl Normal file

File diff suppressed because it is too large Load Diff

Binary file not shown.

Binary file not shown.