diff --git a/cpld/CS.v b/cpld/CS.v index c5753b0..2a50b67 100644 --- a/cpld/CS.v +++ b/cpld/CS.v @@ -25,7 +25,7 @@ module CS( /* RAM select signals */ assign RAMCS0X = A[23:22]==2'b00; - assign RAMCS = 0;// RAMCS0X && !Overlay; + assign RAMCS = RAMCS0X && !Overlay; wire VidRAMCSWR64k = RAMCS && !nWE && (A[23:20]==4'h3) && (A[19:16]==4'hF); // 3F0000-3FFFFF wire VidRAMCSWR = VidRAMCSWR64k && ( (A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video @@ -40,9 +40,6 @@ module CS( (A[15:12]==4'hD) || // 4096 bytes video (A[15:12]==4'hE) || // 4096 bytes video (A[15:12]==4'hF)); // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound - assign SndRAMCSWR = VidRAMCSWR64k && ( - ((A[15:12]==4'hF) && ((A[11:8]==4'hD) || (A[11:8]==4'hE) || (A[11:8]==4'hF))) || - ((A[15:12]==4'hA) && ((A[11:8]==4'h1) || (A[11:8]==4'h2) || (A[11:8]==4'h3)))); /* Select signals - IOB domain */ assign IACS = (A[23:20]==4'hF) && (A[19:18]==2'b11); // IACK @@ -57,7 +54,6 @@ module CS( (A[23:20]==4'h7) || // empty (A[23:20]==4'h6) || // empty (A[23:20]==4'h5) || // SCSI - ((A[23:20]==4'h4) && Overlay) || // ROM once - (A[23:22]==2'b00); // IORAM - assign IOPWCS = (A[23:22]==2'b00) && !nWE; + ((A[23:20]==4'h4) && Overlay); // ROM once + assign IOPWCS = VidRAMCSWR; endmodule diff --git a/cpld/FSB.v b/cpld/FSB.v index 0802b1b..06f6d35 100644 --- a/cpld/FSB.v +++ b/cpld/FSB.v @@ -7,7 +7,6 @@ module FSB( input ROMCS, input RAMCS, input RAMReady, input IOPWCS, input IOPWReady, input IONPReady, - input QoSCS, input QoSReady, /* Interrupt acknowledge select */ input IACS); @@ -18,9 +17,8 @@ module FSB( /* DTACK/VPA control */ - wire Ready = /*(RAMCS && RAMReady && !IOPWCS) ||*/ - (/*RAMCS && RAMReady &&*/ IOPWCS && IOPWReady /*&& !QoSCS*/) || - /*(RAMCS && RAMReady && IOPWCS && IOPWReady && QoSCS && QoSReady) ||*/ + wire Ready = (RAMCS && RAMReady && !IOPWCS) || + (RAMCS && RAMReady && IOPWCS && IOPWReady) || (ROMCS) || (IONPReady); always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS); always @(posedge FCLK, posedge nAS) begin diff --git a/cpld/IOBS.v b/cpld/IOBS.v index f0b8b70..6531a5e 100644 --- a/cpld/IOBS.v +++ b/cpld/IOBS.v @@ -21,9 +21,8 @@ module IOBS( reg IOACTr = 0; always @(posedge CLK) IOACTr <= IOACT; /* IODTACK input synchronization */ - reg [1:0] IODONEr; - always @(posedge CLK) IODONEr[1:0] <= { IODONEr[0], IODONEin }; - wire IODONE = IODONEr[0]; + reg IODONEr; always @(posedge CLK) IODONEr <= IODONEin; + wire IODONE = IODONEr; /* Read data OE control */ assign nDinOE = !(!nAS && IOCS && nWE && !ROMCS); diff --git a/cpld/WarpSE.v b/cpld/WarpSE.v index e3e5807..a051481 100644 --- a/cpld/WarpSE.v +++ b/cpld/WarpSE.v @@ -59,7 +59,7 @@ module WarpSE( /* FSB chip select signals */ wire IOCS, IOPWCS, IACS; wire ROMCS, ROMCS4X; - wire RAMCS, RAMCS0X, SndRAMCSWR; + wire RAMCS, RAMCS0X; CS cs( /* MC68HC000 interface */ A_FSB[23:08], FCLK, nRESin, nWE_FSB, @@ -68,7 +68,7 @@ module WarpSE( /* Device select outputs */ IOCS, IOPWCS, IACS, ROMCS, ROMCS4X, - RAMCS, RAMCS0X, SndRAMCSWR); + RAMCS, RAMCS0X); wire RAMReady; RAM ram( @@ -147,7 +147,6 @@ module WarpSE( ROMCS4X, RAMCS0X, RAMReady, IOPWCS, IOPWReady, IONPReady, - SndRAMCSWR, 1, /* Interrupt acknowledge select */ IACS); diff --git a/cpld/XC95144XL/CNT.v b/cpld/XC95144XL/CNT.v new file mode 100644 index 0000000..bb3c949 --- /dev/null +++ b/cpld/XC95144XL/CNT.v @@ -0,0 +1,83 @@ +module CNT( + /* FSB clock and E clock inputs */ + input CLK, input E, + /* Refresh request */ + output reg RefReq, output RefUrg, + /* Reset, button */ + output reg nRESout, input nIPL2, + /* Mac PDS bus master control outputs */ + output reg AoutOE, output reg nBR_IOB); + + /* E clock synchronization */ + reg [1:0] Er; + wire EFall = Er[1] && !Er[0]; + always @(posedge CLK) Er[1:0] <= { Er[0], E }; + + /* Timer counts from 0 to 1010 (10) -- 11 states == 14.042 us + * Refresh timer sequence + * | Timer | RefReq | RefUrg | + * |------------------------------| + * | 0 0000 | 0 | 0 | + * | 1 0001 | 0 | 0 | + * | 2 0010 | 1 | 0 | + * | 3 0011 | 1 | 0 | + * | 4 0100 | 1 | 0 | + * | 5 0101 | 1 | 0 | + * | 6 0110 | 1 | 0 | + * | 7 0111 | 1 | 0 | + * | 8 1000 | 1 | 1 | + * | 9 1001 | 1 | 1 | + * | 10 1010 | 1 | 1 | + * back to timer==0 + */ + reg [3:0] Timer = 0; + reg TimerTC; + always @(posedge CLK) begin + if (EFall) begin + if (TimerTC) Timer <= 0; + else Timer <= Timer+1; + RefReq <= !(Timer==4'h0 || Timer==4'h1); + TimerTC <= Timer[3:0]==4'h9; + end + end + assign RefUrg = Timer[3]; + + /* Long timer counts from 0 to 8191 -- 8192 states == 115.033 ms */ + reg [12:0] LTimer; + reg LTimerTC; + always @(posedge CLK) begin + if (EFall && TimerTC) begin + LTimer <= LTimer+1; + LTimerTC <= LTimer[12:0]==13'h1FFE; + end + end + + reg nIPL2r; always @(posedge CLK) nIPL2r <= nIPL2; + + /* Startup sequence control */ + reg [1:0] INITS = 0; + wire INITSTC = EFall && TimerTC && LTimerTC; + always @(posedge CLK) begin + case (INITS[1:0]) + 2'h0: begin + AoutOE <= 0; // Tristate PDS address and control + nRESout <= 0; // Hold reset low + nBR_IOB <= 0; // Default to request bus + if (INITSTC) INITS <= 1; + end 2'h1: begin + AoutOE <= 0; + nRESout <= 0; + nBR_IOB <= !(!nBR_IOB && nIPL2r); // Disable bus request if NMI pressed + if (INITSTC && nIPL2r) INITS <= 2; + end 2'h2: begin + AoutOE <= !nBR_IOB; + nRESout <= 0; + if (INITSTC) INITS <= 3; + end 2'h3: begin + nRESout <= 1; // Release reset + INITS <= 3; + end + endcase + end + +endmodule diff --git a/cpld/XC95144XL/CS.v b/cpld/XC95144XL/CS.v new file mode 100644 index 0000000..a6fa5bd --- /dev/null +++ b/cpld/XC95144XL/CS.v @@ -0,0 +1,60 @@ +module CS( + /* MC68HC000 interface */ + input [23:08] A, input CLK, input nRES, input nWE, + /* AS cycle detection */ + input BACT, + /* Device select outputs */ + output IOCS, output IOPWCS, output IACS, + output ROMCS, output ROMCS4X, + output RAMCS, output RAMCS0X, output SndRAMCSWR); + + /* Overlay control */ + reg nOverlay = 0; wire Overlay = !nOverlay; + reg ODCSr; + always @(posedge CLK) begin + ODCSr <= ROMCS4X && BACT; + if (!BACT) begin + if (!nRES) nOverlay <= 0; + else if (ODCSr) nOverlay <= 1; + end + end + + /* ROM select signals */ + assign ROMCS4X = A[23:20]==4'h4; + assign ROMCS = ((A[23:20]==4'h0) && Overlay) || ROMCS4X; + + /* RAM select signals */ + assign RAMCS0X = A[23:22]==2'b00; + assign RAMCS = RAMCS0X && !Overlay; + wire VidRAMCSWR64k = RAMCS && !nWE && (A[23:20]==4'h3) && (A[19:16]==4'hF); // 3F0000-3FFFFF + wire VidRAMCSWR = VidRAMCSWR64k && ( + (A[15:12]==4'h2) || // 1792 bytes RAM, 2304 bytes video + (A[15:12]==4'h3) || // 4096 bytes video + (A[15:12]==4'h4) || // 4096 bytes video + (A[15:12]==4'h5) || // 4096 bytes video + (A[15:12]==4'h6) || // 4096 bytes video + (A[15:12]==4'h7) || // 3200 bytes video, 896 bytes RAM, + (A[15:12]==4'hA) || // 256 bytes RAM, 768 bytes sound, 768 bytes RAM, 2304 bytes video + (A[15:12]==4'hB) || // 4096 bytes video + (A[15:12]==4'hC) || // 4096 bytes video + (A[15:12]==4'hD) || // 4096 bytes video + (A[15:12]==4'hE) || // 4096 bytes video + (A[15:12]==4'hF)); // 3200 bytes video, 128 bytes RAM (system error space), 768 bytes sound + + /* Select signals - IOB domain */ + assign IACS = (A[23:20]==4'hF) && (A[19:18]==2'b11); // IACK + assign IOCS = (A[23:20]==4'hF) || // IACK + (A[23:20]==4'hE) || // VIA + (A[23:20]==4'hD) || // IWM + (A[23:20]==4'hC) || // empty / fast ROM + (A[23:20]==4'hB) || // SCC write + (A[23:20]==4'hA) || // empty + (A[23:20]==4'h9) || // SCC read/reset + (A[23:20]==4'h8) || // empty + (A[23:20]==4'h7) || // empty + (A[23:20]==4'h6) || // empty + (A[23:20]==4'h5) || // SCSI + ((A[23:20]==4'h4) && Overlay) || + VidRAMCSWR; // ROM once + assign IOPWCS = VidRAMCSWR; +endmodule diff --git a/cpld/XC95144XL/FSB.v b/cpld/XC95144XL/FSB.v new file mode 100644 index 0000000..06f6d35 --- /dev/null +++ b/cpld/XC95144XL/FSB.v @@ -0,0 +1,29 @@ +module FSB( + /* MC68HC000 interface */ + input FCLK, input nAS, output reg nDTACK, output reg nVPA, + /* AS cycle detection */ + output BACT, + /* Ready inputs */ + input ROMCS, + input RAMCS, input RAMReady, + input IOPWCS, input IOPWReady, input IONPReady, + /* Interrupt acknowledge select */ + input IACS); + + /* AS cycle detection */ + reg ASrf = 0; + always @(negedge FCLK) begin ASrf <= !nAS; end + assign BACT = !nAS || ASrf; // BACT - bus active + + + /* DTACK/VPA control */ + wire Ready = (RAMCS && RAMReady && !IOPWCS) || + (RAMCS && RAMReady && IOPWCS && IOPWReady) || + (ROMCS) || (IONPReady); + always @(posedge FCLK) nDTACK <= !(Ready && BACT && !IACS); + always @(posedge FCLK, posedge nAS) begin + if (nAS) nVPA <= 1; + else nVPA <= !(Ready && BACT && IACS); + end + +endmodule diff --git a/cpld/XC95144XL/IOBM.v b/cpld/XC95144XL/IOBM.v new file mode 100644 index 0000000..331f2d8 --- /dev/null +++ b/cpld/XC95144XL/IOBM.v @@ -0,0 +1,120 @@ +module IOBM( + /* PDS interface */ + input C16M, input C8M, input E, + output reg nASout, output reg nLDS, output reg nUDS, output reg nVMA, + input nDTACK, input nVPA, input nBERR, input nRES, + /* PDS address and data latch control */ + input AoutOE, output nDoutOE, output reg ALE0, output reg nDinLE, + /* IO bus slave port interface */ + input IORDREQ, input IOWRREQ, input IOLDS, input IOUDS, + output reg IOACT, output reg IODONE, output reg IOBERR); + + /* C8M clock registration */ + reg C8Mr; always @(posedge C16M) C8Mr <= C8M; + + /* I/O request input synchronization */ + reg IORDREQr; always @(posedge C16M) IORDREQr <= IORDREQ; + reg IOWRREQr; always @(posedge C16M) IOWRREQr <= IOWRREQ; + wire IOREQr = IORDREQr || IOWRREQr; + + /* VPA synchronization */ + reg VPAr; always @(negedge C8M) VPAr <= !nVPA; + + /* E clock synchronization */ + reg Er; always @(negedge C8M) begin Er <= E; end + + /* E clock state */ + reg [3:0] ES; + always @(negedge C8M) begin + if (!E && Er) ES <= 1; + else if (ES==0 || ES==9) ES <= 0; + else ES <= ES+1; + end + + /* ETACK and VMA generation */ + wire ETACK = (ES==8) && !nVMA; + always @(posedge C8M) begin + if ((ES==5) && IOACT && VPAr) nVMA <= 0; + else if(ES==0) nVMA <= 1; + end + + /* DTACK and BERR synchronization */ + always @(negedge C8M, posedge nASout) begin + if (nASout) begin + IODONE <= 0; + IOBERR <= 0; + end else begin + IODONE <= (!nDTACK || ETACK || !nRES); + IOBERR <= !nBERR; + end + end + + /* I/O bus state */ + reg [2:0] IOS = 0; + reg IOS0; + always @(posedge C16M) begin + if (IOS==0) begin + if (IOREQr && !C8Mr && AoutOE) begin // "IOS1" + IOS <= 2; + IOS0 <= 0; + end else begin // "regular" IOS0 + IOS <= 0; + IOS0 <= 1; + end + IOACT <= IOREQr && AoutOE; + ALE0 <= IOREQr && AoutOE; + end else if (IOS==2) begin + IOS <= 3; + IOS0 <= 0; + IOACT <= 1; + ALE0 <= 1; + end else if (IOS==3) begin + IOS <= 4; + IOS0 <= 0; + IOACT <= 1; + ALE0 <= 1; + end else if (IOS==4) begin + IOS <= 5; + IOS0 <= 0; + IOACT <= 1; + ALE0 <= 1; + end else if (IOS==5) begin + if (!C8Mr && (IODONE || IOBERR)) begin + IOS <= 6; + IOACT <= 0; + end else begin + IOS <= 5; + IOACT <= 1; + end + IOS0 <= 0; + ALE0 <= 1; + end else if (IOS==6) begin + IOS <= 7; + IOS0 <= 0; + IOACT <= 0; + ALE0 <= 0; + end else if (IOS==7) begin + IOS <= 0; + IOS0 <= 1; + IOACT <= 0; + ALE0 <= 0; + end + end + + /* PDS address and data latch control */ + always @(negedge C16M) begin nDinLE = IOS==4 || IOS==5; end + reg DoutOE = 0; + always @(posedge C16M) begin + DoutOE <= (IOS==0 && IOWRREQr && !C8Mr) || + (DoutOE && (IOS==2 || IOS==3 || IOS==4 || IOS==5)); + end + assign nDoutOE = !(AoutOE && (DoutOE || (IOS0 && !IOREQr))); + + /* AS, DS control */ + always @(negedge C16M) begin + nASout <= !((IOS==0 && IOREQr && !C8Mr) || IOS==2 || IOS==3 || IOS==4 || IOS==5); + nLDS <= !(IOLDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && !nLDS) || IOS==3 || IOS==4 || IOS==5)); + nUDS <= !(IOUDS && ((IOS==0 && IORDREQr && !C8Mr) || (IOS==2 && !nUDS) || IOS==3 || IOS==4 || IOS==5)); + end + +endmodule diff --git a/cpld/XC95144XL/IOBS.v b/cpld/XC95144XL/IOBS.v new file mode 100644 index 0000000..6531a5e --- /dev/null +++ b/cpld/XC95144XL/IOBS.v @@ -0,0 +1,148 @@ +module IOBS( + /* MC68HC000 interface */ + input CLK, input nWE, input nAS, input nLDS, input nUDS, + /* AS cycle detection */ + input BACT, + /* Select signals */ + input IOCS, input IOPWCS, input ROMCS, + /* FSB cycle termination outputs */ + output reg IONPReady, output reg IOPWReady, output reg nBERR_FSB, + /* Read data OE control */ + output nDinOE, + /* IOB master controller interface */ + output reg IORDREQ, output reg IOWRREQ, + input IOACT, input IODONEin, input IOBERR, + /* FIFO primary level control */ + output reg ALE0, output reg IOL0, output reg IOU0, + /* FIFO secondary level control */ + output reg ALE1); + + /* IOACT input synchronization */ + reg IOACTr = 0; always @(posedge CLK) IOACTr <= IOACT; + + /* IODTACK input synchronization */ + reg IODONEr; always @(posedge CLK) IODONEr <= IODONEin; + wire IODONE = IODONEr; + + /* Read data OE control */ + assign nDinOE = !(!nAS && IOCS && nWE && !ROMCS); + + /* I/O transfer state + * TS0 - I/O bridge idle: + * asserts IOREQ + * transitions to TS3 when BACT && IOCS && !ALE1 && !Sent + * TS3 - starting I/O transfer: + latches LDS and UDS from FSB or FIFO secondary level + transitions immediately to TS2 + * TS2 - waiting for IOBM to begin: + transitions to TS1 when IOACT true + * TS1 - waiting for IOBM to finish: + * transitions to TS1 when IOACT false */ + reg [1:0] TS = 0; + reg Sent = 0; + + /* FIFO secondary level control */ + reg Load1; + reg Clear1; + reg IORW1; + reg IOL1; + reg IOU1; + always @(posedge CLK) begin // ALE and R/W load control + // If write currently posting (TS!=0), + // I/O selected, and FIFO secondary level empty + if (BACT && IOCS && !ALE1 && !Sent && IOPWCS && TS!=0) begin + // Latch R/W now but latch address and LDS/UDS next cycle + IORW1 <= nWE; + Load1 <= 1; + end else Load1 <= 0; + end + always @(posedge CLK) begin // ALE clear control + // Make address latch transparent in cycle after TS3 + // (i.e. first TS2 cycle that's not part of current write) + if (TS==3) Clear1 <= 1; + else Clear1 <= 0; + end + always @(posedge CLK) begin // LDS, UDS, ALE control + if (Load1) begin // Latch address, LDS, UDS when Load1 true + ALE1 <= 1; + IOL1 <= !nLDS; + IOU1 <= !nUDS; + end else if (Clear1) ALE1 <= 0; + end + + /* FIFO primary level control */ + always @(posedge CLK) begin + if (TS==0) begin + if (ALE1) begin // If FIFO secondary level occupied + // Request transfer from IOBM and latch R/W from FIFO + TS <= 3; + IORDREQ <= IORW1; + IOWRREQ <= !IORW1; + IOL0 <= IOL1; + IOU0 <= IOU1; + end else if (BACT && IOCS && !ALE1 && !Sent) begin // FSB request + // Request transfer from IOBM and latch R/W from FSB + TS <= 3; + IORDREQ <= nWE; + IOWRREQ <= !nWE; + IOL0 <= !nLDS; + IOU0 <= !nUDS; + end else begin // Otherwise stay in idle + TS <= 0; + IORDREQ <= 0; + IOWRREQ <= 0; + end + ALE0 <= 0; + end else if (TS==3) begin + TS <= 2; // Always go to TS2. Keep IORDREQ/IOWRREQ active + ALE0 <= 1; // Latch address (and data) + // Latch data strobes from FIFO or FSB as appropriate + if (ALE1) begin + IOL0 <= IOL1; + IOU0 <= IOU1; + end else begin + IOL0 <= !nLDS; + IOU0 <= !nUDS; + end + end else if (TS==2) begin + // Wait for IOACT then withdraw IOREQ and enter TS1 + if (IOACTr) begin + TS <= 1; + IORDREQ <= 0; + IOWRREQ <= 0; + end else TS <= 2; + ALE0 <= 1; // Keep address latched + end else if (TS==1) begin + // Wait for IOACT low (transfer over) before going back to idle + if (!IOACTr) TS <= 0; + else TS <= 1; + IORDREQ <= 0; + IOWRREQ <= 0; + ALE0 <= 0; // Release addr latch since it's controlled by IOBM now + end + end + + /* Sent control */ + always @(posedge CLK) begin + if (!BACT) Sent <= 0; + else if (BACT && IOCS && !ALE1 && (IOPWCS || TS==0)) Sent <= 1; + end + + /* Nonposted ready */ + always @(posedge CLK) begin + if (!BACT) IONPReady <= 0; + else if (Sent && !IOPWCS && IODONE) IONPReady <= 1; + end + + /* Posted ready */ + always @(posedge CLK) begin + if (!BACT) IOPWReady <= 0; + else if (Clear1 || !ALE1) IOPWReady <= 1; + end + + /* BERR control */ + always @(posedge CLK) begin + if (!BACT) nBERR_FSB <= 1; + else if (Sent && IOBERR) nBERR_FSB <= 0; + end +endmodule diff --git a/cpld/XC95144XL/RAM.v b/cpld/XC95144XL/RAM.v new file mode 100644 index 0000000..73e3e07 --- /dev/null +++ b/cpld/XC95144XL/RAM.v @@ -0,0 +1,153 @@ +module RAM( + /* MC68HC000 interface */ + input CLK, input [21:1] A, input nWE, input nAS, input nLDS, input nUDS, + /* AS cycle detection */ + input BACT, + /* Select and ready signals */ + input RAMCS, input ROMCS, output reg RAMReady, + /* Refresh Counter Interface */ + input RefReqIn, input RefUrgIn, + /* DRAM and NOR flash interface */ + output [11:0] RA, output nRAS, output reg nCAS, + output nLWE, output nUWE, output nOE, output nROMCS, output nROMWE); + + /* BACT saved from last cycle */ + reg BACTr; always @(posedge CLK) BACTr <= BACT; + + /* RAM control state */ + reg [2:0] RS = 0; + reg RAMEN = 0; + reg Once = 0; + reg RASEL = 0; + reg CAS = 0; + reg RASrr = 0; + reg RASrf = 0; + + /* Refresh command generation */ + reg RefDone; // Refresh done "remember" + always @(posedge CLK) begin + if (!RefReqIn && !RefUrgIn) RefDone <= 0; + else if (RS==4 || RS==5) RefDone <= 1; + end + wire RefReq = RefReqIn && !RefDone; + wire RefUrg = RefUrgIn && !RefDone; + + /* RAM control signals */ + assign nRAS = !((!nAS && RAMCS && RAMEN) || RASrr || RASrf); + assign nOE = !((!nAS && nWE)); // Shared with ROM + assign nLWE = !((!nAS && !nWE && !nLDS && RAMEN)); + assign nUWE = !((!nAS && !nWE && !nUDS && RAMEN)); + + /* ROM control signals */ + assign nROMCS = !ROMCS; + assign nROMWE = !((!nAS && !nWE)); + + /* RAM address mux (and ROM address on RA8) */ + // RA11 doesn't do anything so both should be identical. + assign RA[11] = !RASEL ? A[19] : A[20]; // ROM address 19 + assign RA[03] = !RASEL ? A[19] : A[20]; + // RA10 has only row so different rows but same column. + assign RA[10] = !RASEL ? A[17] : A[07]; + assign RA[02] = !RASEL ? A[16] : A[07]; + // Remainder of RA bus is unpaired + assign RA[09] = !RASEL ? A[15] : A[08]; + assign RA[08] = !RASEL ? A[18] : A[21]; // ROM address 18 + assign RA[07] = !RASEL ? A[14] : A[06]; + assign RA[06] = !RASEL ? A[13] : A[05]; + assign RA[05] = !RASEL ? A[12] : A[04]; + assign RA[04] = !RASEL ? A[11] : A[03]; + assign RA[01] = !RASEL ? A[10] : A[02]; + assign RA[00] = !RASEL ? A[09] : A[01]; + + wire RefFromRS0 = ((RefReq && BACT && !BACTr && !RAMCS) || + (RefUrg && !BACT) || + (RefUrg && BACT && !RAMEN)); + wire RefFromRS2 = RefUrg; + wire RAMStart = BACT && RAMCS && RAMEN; + always @(posedge CLK) begin + case (RS[2:0]) + 0: begin + if (RAMStart) begin + RS <= 1; + RASEL <= 1; + CAS <= 1; + RASrr <= 1; + end else if (RefFromRS0) begin + RS <= 3; + RASEL <= 0; + CAS <= 1; + RASrr <= 0; + end else begin + RS <= 0; + RASEL <= 0; + CAS <= 0; + RASrr <= 0; + end + end 1: begin + RS <= 2; + RASEL <= 1; + CAS <= 1; + RASrr <= 0; + end 2: begin + if (RefFromRS2) begin + RS <= 3; + RASEL <= 0; + CAS <= 1; + RASrr <= 0; + end else begin + RS <= 7; + RASEL <= 0; + CAS <= 0; + RASrr <= 0; + end + end 3: begin + RS <= 4; + RASEL <= 0; + CAS <= 1; + RASrr <= 1; + end 4: begin + RS <= 5; + RASEL <= 0; + CAS <= 0; + RASrr <= 1; + end 5: begin + RS <= 6; + RASEL <= 0; + CAS <= 0; + RASrr <= 0; + end 6: begin + RS <= 7; + RASEL <= 0; + CAS <= 0; + RASrr <= 0; + end 7: begin + RS <= 0; + RASEL <= 0; + CAS <= 0; + RASrr <= 0; + end + endcase + end + always @(negedge CLK) RASrf <= RS==1; + always @(negedge CLK) nCAS <= !CAS; + + /* RAM state control */ + always @(posedge CLK) begin + if (RS==0 && RefFromRS0) RAMEN <= 0; + else if (RS==1) RAMEN <= 0; + else if (!BACT && RS==7) RAMEN <= 1; + else if (!BACT && RS==0) RAMEN <= 1; + else if (!Once && RS==7) RAMEN <= 1; + else if (!Once && RS==0) RAMEN <= 1; // not needed? + end + always @(posedge CLK) begin + if (!BACT) Once <= 0; + else if (RS==0 && RAMStart) Once <= 1; + end + + /* RAM ready signal */ + always @(posedge CLK) begin + RAMReady <= (BACT && RAMReady) || (RS==7) || (RS==0 && !RefFromRS0); + end + +endmodule diff --git a/cpld/XC95144XL/WarpSE-XC95144XL.ucf b/cpld/XC95144XL/WarpSE-XC95144XL.ucf new file mode 100644 index 0000000..ea86049 --- /dev/null +++ b/cpld/XC95144XL/WarpSE-XC95144XL.ucf @@ -0,0 +1,75 @@ +NET "A_FSB<10>" LOC = "P8" ; +NET "A_FSB<11>" LOC = "P9" ; +NET "A_FSB<12>" LOC = "P10" ; +NET "A_FSB<13>" LOC = "P11" ; +NET "A_FSB<14>" LOC = "P12" ; +NET "A_FSB<15>" LOC = "P13" ; +NET "A_FSB<16>" LOC = "P14" ; +NET "A_FSB<17>" LOC = "P15" ; +NET "A_FSB<18>" LOC = "P16" ; +NET "A_FSB<19>" LOC = "P17" ; +NET "A_FSB<1>" LOC = "P94" ; +NET "A_FSB<20>" LOC = "P18" ; +NET "A_FSB<21>" LOC = "P19" ; +NET "A_FSB<22>" LOC = "P20" ; +NET "A_FSB<23>" LOC = "P24" ; +NET "A_FSB<2>" LOC = "P95" ; +NET "A_FSB<3>" LOC = "P96" ; +NET "A_FSB<4>" LOC = "P97" ; +NET "A_FSB<5>" LOC = "P2" ; +NET "A_FSB<6>" LOC = "P3" ; +NET "A_FSB<7>" LOC = "P4" ; +NET "A_FSB<8>" LOC = "P6" ; +NET "A_FSB<9>" LOC = "P7" ; +NET "C20MEN" LOC = "P59" ; +NET "C25MEN" LOC = "P58" ; +NET "FCLK" LOC = "P27" ; +NET "C16M" LOC = "P22" ; +NET "C8M" LOC = "P23" ; +NET "E" LOC = "P25" ; +NET "nADoutLE0" LOC = "P85" ; +NET "nADoutLE1" LOC = "P82" ; +NET "nAoutOE" LOC = "P87" ; +NET "nAS_FSB" LOC = "P32" ; +NET "nAS_IOB" LOC = "P81" ; +NET "nBERR_FSB" LOC = "P70" ; +NET "nBERR_IOB" LOC = "P76" ; +NET "nBG_IOB" LOC = "P73" ; +NET "nBR_IOB" LOC = "P72" ; +NET "nCAS" LOC = "P36" ; +NET "nDinLE" LOC = "P86" ; +NET "nDinOE" LOC = "P90" ; +NET "nDoutOE" LOC = "P89" ; +NET "nDTACK_FSB" LOC = "P28" ; +NET "nDTACK_IOB" LOC = "P78" ; +NET "nIPL2" LOC = "P92" ; +NET "nLDS_FSB" LOC = "P30" ; +NET "nLDS_IOB" LOC = "P79" ; +NET "nOE" LOC = "P37" ; +NET "nRAMLWE" LOC = "P65" ; +NET "nRAMUWE" LOC = "P66" ; +NET "nRAS" LOC = "P64" ; +NET "nRES" LOC = "P91" ; +NET "nROMCS" LOC = "P35" ; +NET "nROMWE" LOC = "P34" ; +NET "nUDS_FSB" LOC = "P33" ; +NET "nUDS_IOB" LOC = "P80" ; +NET "nVMA_IOB" LOC = "P74" ; +NET "nVPA_FSB" LOC = "P93" ; +NET "nVPA_IOB" LOC = "P77" ; +NET "nWE_FSB" LOC = "P29" ; +NET "RA<0>" LOC = "P53" ; +NET "RA<10>" LOC = "P55" ; +NET "RA<11>" LOC = "P63" ; +NET "RA<1>" LOC = "P50" ; +NET "RA<2>" LOC = "P43" ; +NET "RA<3>" LOC = "P41" ; +NET "RA<4>" LOC = "P40" ; +NET "RA<5>" LOC = "P42" ; +NET "RA<6>" LOC = "P46" ; +NET "RA<7>" LOC = "P52" ; +NET "RA<8>" LOC = "P54" ; +NET "RA<9>" LOC = "P56" ; +NET "SW<1>" LOC = "P61" ; +NET "SW<2>" LOC = "P60" ; +NET "SW<3>" LOC = "P67" ; diff --git a/cpld/XC95144XL/WarpSE.bld b/cpld/XC95144XL/WarpSE.bld index e2ad7b3..d5d9fec 100644 --- a/cpld/XC95144XL/WarpSE.bld +++ b/cpld/XC95144XL/WarpSE.bld @@ -2,17 +2,15 @@ Release 14.7 ngdbuild P.20131013 (nt64) Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved. Command Line: C:\Xilinx\14.7\ISE_DS\ISE\bin\nt64\unwrapped\ngdbuild.exe --intstyle ise -dd _ngo -uc -C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p -xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +-intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc +WarpSE.ngd Reading NGO file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.ngc" ... Gathering constraint information from source properties... Done. -Annotating constraints to design from ucf file -"C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf" ... +Annotating constraints to design from ucf file "WarpSE-XC95144XL.ucf" ... Resolving constraint associations... Checking Constraint Associations... Done... @@ -30,7 +28,7 @@ NGDBUILD Design Results Summary: Number of errors: 0 Number of warnings: 0 -Total memory usage is 150692 kilobytes +Total memory usage is 151012 kilobytes Writing NGD file "WarpSE.ngd" ... Total REAL time to NGDBUILD completion: 3 sec diff --git a/cpld/XC95144XL/WarpSE.cmd_log b/cpld/XC95144XL/WarpSE.cmd_log index 37e86f0..d5fb1cb 100644 --- a/cpld/XC95144XL/WarpSE.cmd_log +++ b/cpld/XC95144XL/WarpSE.cmd_log @@ -1,394 +1,22 @@ xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -taengine -intstyle ise -f WarpSE -w --format html1 -l WarpSE_html/tim/timing_report.htm -hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd +ngdbuild -intstyle ise -dd _ngo -uc WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd XSLTProcess WarpSE_build.xml tsim -intstyle ise WarpSE WarpSE.nga hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -htmlrpt -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -XSLTProcess WarpSE_build.xml -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err} -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE -taengine -intstyle ise -f WarpSE -l WarpSE.tim -e {C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\taengine.err} -xst -intstyle ise -ifn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.xst" -ofn "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/WarpSE.syr" -ngdbuild -intstyle ise -dd _ngo -uc C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf -p xc95144xl-TQ100-10 WarpSE.ngc WarpSE.ngd -cpldfit -intstyle ise -p xc95144xl-10-TQ100 -ofmt vhdl -optimize speed -loc on -slew fast -init low -inputs 54 -pterms 25 -unused float -power std -terminate keeper WarpSE.ngd -tsim -intstyle ise WarpSE WarpSE.nga -hprep6 -s IEEE1149 -n WarpSE -i WarpSE diff --git a/cpld/XC95144XL/WarpSE.data b/cpld/XC95144XL/WarpSE.data deleted file mode 100644 index 31fc178..0000000 --- a/cpld/XC95144XL/WarpSE.data +++ /dev/null @@ -1,922 +0,0 @@ -MODELDATA -MODELDATA_VERSION "v1998.8" -DESIGN "WarpSE"; - -/* port drive, load, max capacitance and max transition in data file */ -PORTDATA -A_FSB<23>: MAXTRANS(0.0); -A_FSB<22>: MAXTRANS(0.0); -A_FSB<21>: MAXTRANS(0.0); -A_FSB<20>: MAXTRANS(0.0); -A_FSB<19>: MAXTRANS(0.0); -A_FSB<18>: MAXTRANS(0.0); -C8M: MAXTRANS(0.0); -C16M: MAXTRANS(0.0); -FCLK: MAXTRANS(0.0); -nAS_FSB: MAXTRANS(0.0); -nWE_FSB: MAXTRANS(0.0); -nBERR_IOB: MAXTRANS(0.0); -nDTACK_IOB: MAXTRANS(0.0); -nLDS_FSB: MAXTRANS(0.0); -nUDS_FSB: MAXTRANS(0.0); -E: MAXTRANS(0.0); -nIPL2: MAXTRANS(0.0); -nVPA_IOB: MAXTRANS(0.0); -A_FSB<10>: MAXTRANS(0.0); -A_FSB<11>: MAXTRANS(0.0); -A_FSB<12>: MAXTRANS(0.0); -A_FSB<13>: MAXTRANS(0.0); -A_FSB<14>: MAXTRANS(0.0); -A_FSB<15>: MAXTRANS(0.0); -A_FSB<16>: MAXTRANS(0.0); -A_FSB<17>: MAXTRANS(0.0); -A_FSB<9>: MAXTRANS(0.0); -nRES: MAXTRANS(0.0); -nVMA_IOB: MAXTRANS(0.0); -nAS_IOB: MAXTRANS(0.0); -nLDS_IOB: MAXTRANS(0.0); -nUDS_IOB: MAXTRANS(0.0); -nBERR_FSB: MAXTRANS(0.0); -nVPA_FSB: MAXTRANS(0.0); -nBR_IOB: MAXTRANS(0.0); -nOE: MAXTRANS(0.0); -nROMWE: MAXTRANS(0.0); -nADoutLE0: MAXTRANS(0.0); -nCAS: MAXTRANS(0.0); -nDTACK_FSB: MAXTRANS(0.0); -nDinLE: MAXTRANS(0.0); -RA<1>: MAXTRANS(0.0); -RA<4>: MAXTRANS(0.0); -RA<5>: MAXTRANS(0.0); -RA<6>: MAXTRANS(0.0); -RA<7>: MAXTRANS(0.0); -RA<9>: MAXTRANS(0.0); -RA<2>: MAXTRANS(0.0); -RA<10>: MAXTRANS(0.0); -RA<8>: MAXTRANS(0.0); -RA<3>: MAXTRANS(0.0); -RA<11>: MAXTRANS(0.0); -RA<0>: MAXTRANS(0.0); -nADoutLE1: MAXTRANS(0.0); -nAoutOE: MAXTRANS(0.0); -nDinOE: MAXTRANS(0.0); -nDoutOE: MAXTRANS(0.0); -nRAMLWE: MAXTRANS(0.0); -nRAMUWE: MAXTRANS(0.0); -nRAS: MAXTRANS(0.0); -nROMCS: MAXTRANS(0.0); -C20MEN: MAXTRANS(0.0); -C25MEN: MAXTRANS(0.0); -ENDPORTDATA - -/* timing arc data */ -TIMINGDATA - -ARCDATA -A_FSB<9>_RA<0>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<17>_RA<10>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_RA<11>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<10>_RA<1>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<16>_RA<2>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_RA<3>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<11>_RA<4>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<12>_RA<5>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<13>_RA<6>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<14>_RA<7>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<18>_RA<8>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<15>_RA<9>_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nOE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nLDS_FSB_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nUDS_FSB_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_nROMWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_nROMWE_delay: -CELL_RISE(scalar) { - VALUES("10"); -} -CELL_FALL(scalar) { - VALUES("10"); -} -ENDARCDATA - -ARCDATA -FCLK_nRES_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nVMA_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nAS_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nLDS_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nUDS_IOB_delay: -CELL_RISE(scalar) { - VALUES("14.5"); -} -CELL_FALL(scalar) { - VALUES("14.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nBERR_FSB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nVPA_FSB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nBR_IOB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nADoutLE0_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nCAS_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nDTACK_FSB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nADoutLE1_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nAoutOE_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nDinOE_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nDoutOE_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nRAMLWE_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nRAMUWE_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -FCLK_nRAS_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -FCLK_nROMCS_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -C16M_nAS_IOB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -C16M_nLDS_IOB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -C16M_nUDS_IOB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -C16M_nADoutLE0_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -C16M_nDinLE_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -C16M_nDoutOE_delay: -CELL_RISE(scalar) { - VALUES("13.5"); -} -CELL_FALL(scalar) { - VALUES("13.5"); -} -ENDARCDATA - -ARCDATA -C8M_nVMA_IOB_delay: -CELL_RISE(scalar) { - VALUES("5.8"); -} -CELL_FALL(scalar) { - VALUES("5.8"); -} -ENDARCDATA - -ARCDATA -A_FSB<18>_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -E_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -nIPL2_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nLDS_FSB_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nRES_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nUDS_FSB_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_FCLK_setup: -CONSTRAINT(scalar) { - VALUES("10.5"); -} -ENDARCDATA - -ARCDATA -A_FSB<18>_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -A_FSB<19>_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -A_FSB<20>_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -A_FSB<21>_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -A_FSB<22>_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -A_FSB<23>_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -E_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nAS_FSB_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -nIPL2_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nLDS_FSB_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nRES_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nUDS_FSB_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nWE_FSB_FCLK_hold: -CONSTRAINT(scalar) { - VALUES("-4"); -} -ENDARCDATA - -ARCDATA -C8M_C16M_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -C8M_C16M_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -E_C8M_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nBERR_IOB_C8M_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nDTACK_IOB_C8M_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nRES_C8M_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -nVPA_IOB_C8M_setup: -CONSTRAINT(scalar) { - VALUES("9.5"); -} -ENDARCDATA - -ARCDATA -E_C8M_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nBERR_IOB_C8M_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nDTACK_IOB_C8M_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nRES_C8M_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ARCDATA -nVPA_IOB_C8M_hold: -CONSTRAINT(scalar) { - VALUES("-3"); -} -ENDARCDATA - -ENDTIMINGDATA -ENDMODELDATA diff --git a/cpld/XC95144XL/WarpSE.gise b/cpld/XC95144XL/WarpSE.gise index f546af3..23f8fa7 100644 --- a/cpld/XC95144XL/WarpSE.gise +++ b/cpld/XC95144XL/WarpSE.gise @@ -38,8 +38,6 @@ - - @@ -59,15 +57,14 @@ - + + + + - - - - - + @@ -83,11 +80,11 @@ - + - + @@ -96,7 +93,7 @@ - + @@ -106,22 +103,23 @@ - - - + - - + + + + + diff --git a/cpld/XC95144XL/WarpSE.gyd b/cpld/XC95144XL/WarpSE.gyd index 5857995..be843e9 100644 --- a/cpld/XC95144XL/WarpSE.gyd +++ b/cpld/XC95144XL/WarpSE.gyd @@ -11,10 +11,18 @@ A_FSB<16> S:PIN14 A_FSB<17> S:PIN15 A_FSB<18> S:PIN16 A_FSB<19> S:PIN17 +A_FSB<1> S:PIN94 A_FSB<20> S:PIN18 A_FSB<21> S:PIN19 A_FSB<22> S:PIN20 A_FSB<23> S:PIN24 +A_FSB<2> S:PIN95 +A_FSB<3> S:PIN96 +A_FSB<4> S:PIN97 +A_FSB<5> S:PIN2 +A_FSB<6> S:PIN3 +A_FSB<7> S:PIN4 +A_FSB<8> S:PIN6 A_FSB<9> S:PIN7 C16M S:PIN22 C8M S:PIN23 @@ -28,21 +36,21 @@ nLDS_FSB S:PIN30 nUDS_FSB S:PIN33 nVPA_IOB S:PIN77 nWE_FSB S:PIN29 +nRES S:PIN91 +C20MEN S:PIN59 +C25MEN S:PIN58 +RA<0> S:PIN53 +RA<10> S:PIN55 +RA<3> S:PIN41 +RA<11> S:PIN63 RA<1> S:PIN50 +RA<2> S:PIN43 RA<4> S:PIN40 RA<5> S:PIN42 RA<6> S:PIN46 RA<7> S:PIN52 -RA<9> S:PIN56 -RA<2> S:PIN43 -RA<10> S:PIN55 RA<8> S:PIN54 -RA<3> S:PIN41 -RA<11> S:PIN63 -RA<0> S:PIN53 -nRES S:PIN91 -C20MEN S:PIN59 -C25MEN S:PIN58 +RA<9> S:PIN56 nADoutLE0 S:PIN85 nADoutLE1 S:PIN82 nAS_IOB S:PIN81 @@ -69,39 +77,41 @@ nVPA_FSB S:PIN93 ;The remaining section of the .gyd file is for documentation purposes only. ;It shows where your internal equations were placed in the last successful fit. -PARTITION FB1_1 iobm/Er -PARTITION FB1_11 cs/nOverlay iobm/ES<2> iobm/ES<0> iobm/ES<3> - iobm/ES<1> IODONE IOU0 IOL0 - -PARTITION FB2_15 iobm/VPAr cnt/nIPL2r IOBERR cnt/Timer<1> - -PARTITION FB3_4 ram/RS_FSM_FFd4 ram/RS_FSM_FFd1 iobs/IODONEr<0> cnt/INITS_FSM_FFd1 - cnt/Er<0> nDTACK_FSB_OBUF cnt/TimerTC cnt/LTimer<3> - cnt/LTimer<2> cnt/LTimer<1> cnt/INITS_FSM_FFd2 RefReq - cnt/Timer<2> nROMWE_OBUF RefUrg -PARTITION FB4_1 ram/BACTr nAoutOE_OBUF nRESout iobs/Clear1 - nDoutOE_OBUF nDinOE_OBUF ram/Once C20MEN_OBUF - iobs/TS_FSM_FFd1 cs/ODCSr nVPA_FSB_OBUF IOWRREQ - iobs/Load1 iobs/IORW1 IONPReady iobs/TS_FSM_FFd2 - IORDREQ iobs/Sent -PARTITION FB5_1 cnt/LTimerTC nROMCS_OBUF cnt/LTimer<9> cnt/LTimer<8> - nCAS_OBUF nOE_OBUF cnt/LTimer<7> cnt/LTimer<6> - A_FSB_11_IBUF$BUF0 cnt/LTimer<5> A_FSB_19_IBUF$BUF0 A_FSB_12_IBUF$BUF0 - cnt/LTimer<4> A_FSB_16_IBUF$BUF0 A_FSB_13_IBUF$BUF0 cnt/LTimer<12> - cnt/LTimer<11> cnt/LTimer<10> -PARTITION FB6_2 nVMA_IOBout -PARTITION FB6_5 iobs/IOACTr iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 - nLDS_IOBout iobm/C8Mr nUDS_IOBout nAS_IOBout - iobm/IOS_FSM_FFd6 nADoutLE1_OBUF nADoutLE0_OBUF iobm/IOS_FSM_FFd7 - nDinLE_OBUF ALE0M -PARTITION FB7_1 iobm/IOWRREQr A_FSB_10_IBUF$BUF0 iobm/IORDREQr cnt/LTimer<0> - A_FSB_14_IBUF$BUF0 A_FSB_9_IBUF$BUF0 cnt/Er<1> A_FSB_18_IBUF$BUF0 - A_FSB_17_IBUF$BUF0 iobm/IOS_FSM_FFd2 A_FSB_15_IBUF$BUF0 C25MEN_OBUF - cnt/Timer<0> C20MEN_OBUF$BUF0 iobm/IOS_FSM_FFd3 iobm/DoutOE - iobm/IOS0 IOACT -PARTITION FB8_1 ram/RS_FSM_FFd3 A_FSB_19_IBUF$BUF1 ram/RS_FSM_FFd2 fsb/ASrf - nRAS_OBUF nRAMLWE_OBUF ALE0S nRAMUWE_OBUF - ram/RefDone iobs/IOU1 iobs/IOL1 nBERR_FSB_OBUF - IOPWReady ram/RS_FSM_FFd6 nBR_IOB_OBUF ram/RS_FSM_FFd8 - ram/CAS ram/RAMEN +PARTITION FB1_1 iobs/IODONEr iobs/IOACTr iobm/VPAr iobm/IOWRREQr + iobm/IOS_FSM_FFd5 iobm/IOS_FSM_FFd4 iobm/IOS_FSM_FFd1 iobm/IORDREQr + iobm/Er iobm/C8Mr cnt/nIPL2r cnt/Er<0> + ALE0S iobs/IOU1 iobs/IOL1 iobm/IOS_FSM_FFd2 + IOBERR iobm/ES<2> +PARTITION FB2_14 ram/RS_FSM_FFd5 ram/RS_FSM_FFd3 ram/RS_FSM_FFd2 ram/RS_FSM_FFd1 + ram/RASrf +PARTITION FB3_1 ram/BACTr fsb/ASrf cnt/LTimerTC cnt/LTimer<9> + cnt/LTimer<8> cnt/LTimer<7> cnt/LTimer<6> cnt/LTimer<5> + nDTACK_FSB_OBUF cnt/LTimer<4> cnt/LTimer<3> cnt/LTimer<2> + cnt/LTimer<1> cnt/LTimer<12> cnt/LTimer<11> cnt/LTimer<10> + nROMWE_OBUF IOPWReady +PARTITION FB4_1 nRESout nAoutOE_OBUF ram/RS_FSM_FFd8 iobs/IORW1 + nDoutOE_OBUF nDinOE_OBUF +PARTITION FB4_8 C20MEN_OBUF IORDREQ cs/ODCSr nVPA_FSB_OBUF + iobs/Load1 iobs/TS_FSM_FFd1 EXP10_ RAMReady + ram/RS_FSM_FFd7 iobs/Sent EXP11_ +PARTITION FB5_1 EXP12_ nROMCS_OBUF ram/RAMEN ram/RASrr + nCAS_OBUF nOE_OBUF ram/RS_FSM_FFd6 ram/Once + RA_4_OBUF ram/RASEL RA_11_OBUF RA_5_OBUF + cs/nOverlay RA_2_OBUF RA_6_OBUF ram/RS_FSM_FFd4 + ram/RefDone ram/CAS +PARTITION FB6_1 iobm/IOS_FSM_FFd6 nVMA_IOBout iobm/IOS_FSM_FFd7 iobm/IOS_FSM_FFd3 + iobm/ES<0> iobm/ES<3> iobm/ES<1> iobm/DoutOE + nLDS_IOBout IODONE nUDS_IOBout nAS_IOBout + iobm/IOS0 nADoutLE1_OBUF nADoutLE0_OBUF ALE0M + nDinLE_OBUF IOACT +PARTITION FB7_1 cnt/LTimer<0> RA_1_OBUF cnt/INITS_FSM_FFd1 cnt/Er<1> + RA_7_OBUF RA_0_OBUF cnt/TimerTC RA_8_OBUF + RA_10_OBUF cnt/Timer<0> RA_9_OBUF C25MEN_OBUF + cnt/INITS_FSM_FFd2 C20MEN_OBUF$BUF0 RefReq cnt/Timer<1> + cnt/Timer<2> RefUrg +PARTITION FB8_1 EXP13_ RA_11_OBUF$BUF0 EXP14_ iobs/TS_FSM_FFd2 + nRAS_OBUF nRAMLWE_OBUF EXP15_ nRAMUWE_OBUF + IOWRREQ EXP16_ EXP17_ nBERR_FSB_OBUF + IOU0 EXP18_ nBR_IOB_OBUF iobs/Clear1 + IONPReady IOL0 diff --git a/cpld/XC95144XL/WarpSE.jed b/cpld/XC95144XL/WarpSE.jed index 50c476f..3130dbf 100644 --- a/cpld/XC95144XL/WarpSE.jed +++ b/cpld/XC95144XL/WarpSE.jed @@ -1,5 +1,5 @@ Programmer Jedec Bit Map -Date Extracted: Fri Apr 07 00:29:14 2023 +Date Extracted: Fri Apr 07 02:26:23 2023 QF93312* QP100* @@ -90,606 +90,606 @@ N PPMAP 153 95* N PPMAP 155 96* N PPMAP 157 97* N PPMAP 160 99* -L0000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0000128 00001000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* -L0000192 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000100* -L0000256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0000320 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0000384 00000000 00000000 00000000 01000000 10000000 00000000 00000000 00000000* -L0000448 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0000512 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00001100* -L0000576 000000 000000 000000 000000 000000 000000 000000 000001* -L0000624 000000 000000 000000 000000 000000 000000 000000 000000* -L0000672 000100 000000 000000 000000 000000 000000 000000 000000* -L0000720 000000 000000 000000 000000 000000 000000 000000 000000* -L0000768 000000 000000 000000 000000 000000 000000 000000 000000* -L0000816 000000 000000 000000 000000 000000 000000 000000 000001* -L0000864 00001000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* -L0000928 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0000064 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0000128 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0000192 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000100* +L0000256 00000000 00000000 00000000 01000000 00000000 00001100 00000000 00000000* +L0000320 00000000 00000000 00000000 10001000 10000000 00000000 00000000 00000000* +L0000384 00000000 00000000 00000000 10001000 10000000 00100000 00000000 00000000* +L0000448 00000000 00000000 00000000 10001000 00000000 00100000 00000000 00000000* +L0000512 00000000 00000000 00000000 10001000 00000000 00100000 00000000 00000000* +L0000576 000000 000000 000000 100010 000000 000000 000000 000000* +L0000624 000010 000000 000000 000000 000000 000100 000000 000000* +L0000672 000000 000000 000000 000000 000000 000000 000000 000000* +L0000720 000000 000000 000000 000000 000000 000100 000000 000000* +L0000768 000000 000000 000000 000000 000000 000100 000000 000000* +L0000816 000000 000000 000000 000010 000000 000000 000000 000000* +L0000864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0000928 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* L0000992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0001120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001184 00001000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0001248 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0001312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001184 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0001248 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0001312 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* L0001376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001440 000000 000000 000000 000000 000000 000000 000000 000000* +L0001440 000000 000000 000000 000000 000000 000100 000000 000000* L0001488 000000 000000 000000 000000 000000 000000 000000 000000* L0001536 000000 000000 000000 000000 000000 000000 000000 000000* -L0001584 000110 000000 000000 000000 000000 000000 000000 000000* -L0001632 000000 000000 000000 000000 000000 000000 000000 000000* -L0001680 000000 000000 000000 000000 000000 000000 000000 000000* -L0001728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001792 00000010 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0001856 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0001584 000000 000000 000000 000000 000000 000001 000000 000000* +L0001632 000000 000000 001000 000000 000000 000000 000000 000000* +L0001680 000000 000000 000000 000000 000000 001000 000000 000000* +L0001728 00000000 00000000 00000000 00000000 00001000 10000000 00000000 00000000* +L0001792 00000010 00000000 00000000 00000000 00001000 10000000 00000000 00000100* +L0001856 00000010 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0001920 00000010 00000000 00000000 00000000 00000000 00000000 00000000 01000000* L0001984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002048 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* -L0002112 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0002176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002048 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0002112 00000000 00000000 00000000 00000000 00000000 10010100 00000000 00000000* +L0002176 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000100* L0002240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0002304 000000 000000 000000 000000 000000 000000 000000 000000* -L0002352 000000 000000 000000 000000 000000 000000 000000 000000* -L0002400 000000 000000 000000 000000 000000 000000 000000 000000* -L0002448 000000 000000 000000 000000 000000 000000 000000 000000* +L0002352 000000 000000 000000 000000 000000 000000 000000 000100* +L0002400 000000 000000 000000 000000 000000 101100 000000 000000* +L0002448 000000 000000 000000 000000 000000 100000 000000 000100* L0002496 000000 000000 000000 000000 000000 000000 000000 000000* -L0002544 000010 000000 000000 000000 000000 000000 000001 000000* -L0002592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0002544 000000 000000 000000 000000 000001 000001 000000 000000* +L0002592 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00010000* +L0002656 00000000 00000000 00000000 00001000 11000000 00001000 00000000 00000000* +L0002720 00000000 00000000 00000000 00000000 11010000 00000000 00000000 00000000* +L0002784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0002848 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00010000* L0002912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0003040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003168 000000 000000 000000 000000 000000 000000 000000 000000* +L0002976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0003040 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00000000* +L0003104 00000000 00000000 00000000 00000000 01100100 00000000 00000000 00000000* +L0003168 000000 000000 000000 000000 000000 000000 000000 001000* L0003216 000000 000000 000000 000000 000000 000000 000000 000000* -L0003264 000000 000000 000000 000000 000000 000000 000000 000000* -L0003312 000000 000000 000000 000000 000000 000000 000000 000000* +L0003264 000000 000000 000000 001000 010000 000000 000000 000000* +L0003312 000000 000000 000000 000000 110000 000000 000000 000000* L0003360 000000 000000 000000 000000 000000 000000 000000 000000* -L0003408 000000 000000 000000 000000 000000 000000 000000 000000* -L0003456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003408 000000 000000 000000 000000 000000 000000 000000 001000* +L0003456 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0003520 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* L0003584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0003648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003712 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003840 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0003712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0003776 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0003840 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0003904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004032 000000 000000 000000 000000 000000 000000 000000 000000* -L0004080 000000 000000 000000 000000 000000 000000 000000 000000* +L0003968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0004032 000000 000000 000000 001000 000000 000000 000000 110000* +L0004080 000000 000000 000000 000000 000000 000000 000000 100000* L0004128 000000 000000 000000 000000 000000 000000 000000 000000* L0004176 000000 000000 000000 000000 000000 000000 000000 000000* -L0004224 000000 000000 000000 000000 000000 000100 000000 000000* -L0004272 000001 000000 000000 000000 000000 000001 000000 000000* -L0004320 00000000 00000000 00000000 00000000 10000100 00001000 00000000 00000000* -L0004384 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* -L0004448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004640 00000000 00000000 01000000 00000000 00000100 00000000 00000000 00000000* -L0004704 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0004768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0004896 000000 000000 000000 000000 000000 000000 000000 000000* -L0004944 000000 000000 000000 000000 000001 000000 000000 000000* -L0004992 000000 000000 000000 000000 000000 000000 000000 000000* -L0005040 000000 000000 000000 000000 000000 000000 000000 000000* -L0005088 000000 000000 000000 000000 000000 000000 000000 000000* -L0005136 000000 000000 000000 000000 000000 000000 000000 000000* -L0005184 00000010 00000000 00000000 00100000 00000000 00000000 00000000 00000100* -L0005248 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0005312 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0005376 10000011 00000000 00000000 00100000 00000000 00000000 00000000 00000100* -L0005440 00000110 00000000 00000000 00000100 00000000 00000000 00000000 00000100* -L0005504 00010001 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005568 00010000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0005632 00000010 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0005696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0005760 000000 000000 000000 000110 000000 000000 000000 000000* -L0005808 000000 000000 000000 000001 000000 000000 000000 000000* -L0005856 000000 000000 000000 000000 000000 000000 000000 000000* -L0005904 000000 000000 000000 000000 000000 000000 000000 000001* -L0005952 000000 000000 000000 000010 000000 000000 000000 000000* +L0004224 000000 000000 000000 000000 000000 000000 000000 000100* +L0004272 000000 000000 000000 000000 000000 000000 000000 000010* +L0004320 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0004384 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00010000* +L0004448 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00010000* +L0004512 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00010000* +L0004576 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00010100* +L0004640 00000000 00000000 00000000 00010000 00000000 00000000 00010000 00100100* +L0004704 00000000 00000000 00000000 01010000 00000000 00000000 00000000 00100000* +L0004768 00000000 00000000 00000000 01000000 00000000 10000000 00000000 00000000* +L0004832 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0004896 000000 000000 000000 010000 000000 000000 000000 000000* +L0004944 000000 000000 000000 000101 000000 000000 000000 000000* +L0004992 000000 000000 000000 010101 000000 000000 000000 000000* +L0005040 000000 000000 000000 000101 000000 000000 000000 000000* +L0005088 000000 000000 000000 000101 000000 000000 000000 000000* +L0005136 000000 000000 000000 000101 000000 000000 000000 000000* +L0005184 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005248 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005312 00000010 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005376 00000011 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005440 00000010 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0005504 00000001 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0005568 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0005632 00000010 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0005696 00000000 00000000 00000000 00000000 00000000 00100100 00000000 00000000* +L0005760 000000 000000 000000 000000 000000 000000 000000 000000* +L0005808 000000 000000 000000 000000 000000 000100 000000 000000* +L0005856 000000 000000 000000 000000 000000 000000 000001 000000* +L0005904 000000 000000 000000 000000 000000 000100 000000 001000* +L0005952 000000 000000 000000 010000 000000 000100 000000 000000* L0006000 000000 000000 000000 000000 000000 000000 000000 000000* -L0006048 00000010 00000000 00001000 00000000 10000100 00000000 00000000 00000000* -L0006112 00000011 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0006176 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0006240 00000001 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0006048 00001010 00000000 00000100 00000000 00000000 00000000 00011000 00000000* +L0006112 00000011 00000000 00000000 00000000 00000000 01000000 00001000 00000000* +L0006176 00000000 00000000 00000000 00000000 00000000 01000000 00000100 00000000* +L0006240 00000001 00000000 00000000 00000000 00000000 00000000 10110100 00000000* L0006304 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006368 00000011 00000000 00010000 00000000 00000100 00000000 00010000 00000000* -L0006432 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0006496 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0006560 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0006624 000000 000000 000000 000000 000000 000000 000000 000000* -L0006672 000000 000000 000100 000000 100001 000000 000000 000000* +L0006368 00000011 00000000 00001000 00000000 00000000 00010000 00000000 00000000* +L0006432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0006496 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0006560 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0006624 000000 000000 000000 000000 000000 000000 000001 000000* +L0006672 000000 000000 100010 000000 000000 001000 100000 000000* L0006720 000000 000000 000000 000000 000000 000000 000000 000000* L0006768 000000 000000 000000 000000 000000 000000 000000 000000* -L0006816 000000 000000 000000 000000 000000 000000 000000 000000* -L0006864 000000 000000 000000 000000 000000 000000 000000 000000* -L0006912 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0006976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007232 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007488 000000 000000 000000 000000 000000 000000 000000 000000* -L0007536 000000 000000 000000 000000 000000 000000 000000 000000* -L0007584 000000 000000 000000 000000 000000 000000 000000 000000* -L0007632 000000 000000 000000 000000 000000 000000 000000 000000* -L0007680 000000 000000 000000 000000 000000 000000 000000 000000* -L0007728 000000 000000 000000 000000 000000 000000 000000 000000* -L0007776 00000000 00000000 01000000 00000000 00000000 00000000 00000000 00000000* -L0007840 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0007904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0007968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008096 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0008160 00010000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0008224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008352 000000 000000 000000 000000 000000 000000 000000 000000* -L0008400 000000 000000 000000 000000 000000 000000 010000 000000* -L0008448 000000 000000 000000 001100 000000 000000 000000 000000* -L0008496 000000 000000 000000 000000 000000 000000 000000 000000* +L0006816 000000 000000 000000 000000 000000 000001 000011 000000* +L0006864 000000 000000 000000 000000 000000 000000 000001 000000* +L0006912 00000000 00000000 00000000 01000100 00000000 00010000 00000000 00000000* +L0006976 00000000 00000000 00000000 01000100 00010000 00000000 00000000 00000000* +L0007040 00000000 00000000 00000000 01001000 00010000 00000000 00000000 00000000* +L0007104 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0007168 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0007232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0007296 00000000 00000000 00000000 01000000 00100000 00000000 00000000 00000000* +L0007360 00000000 00000000 00000000 01100000 01100100 00000000 00000000 00000000* +L0007424 00000000 00000000 00000000 01000000 01000100 00000000 00000000 00000000* +L0007488 000000 000000 000000 010000 000000 000000 000000 000000* +L0007536 000000 000000 000000 000100 000000 000000 000000 000000* +L0007584 000000 000000 000000 000101 000000 000000 000000 000000* +L0007632 000000 000000 000000 000101 000000 000000 000000 000000* +L0007680 000000 000000 000000 100101 000000 000000 000000 000000* +L0007728 000000 000000 000000 100101 000000 000000 000000 000000* +L0007776 00000000 00000000 01000100 00010000 00000000 00000000 00000000 00000000* +L0007840 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0007904 00000000 00000000 00000000 00000100 11000000 00000000 00000000 00000000* +L0007968 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0008032 00000000 00000000 00000000 00000100 00100000 00000000 00000000 00000000* +L0008096 00000000 00000000 00001000 00010000 10000000 00000000 10000000 00000000* +L0008160 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0008224 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0008288 00000000 00000000 00000000 10000100 00000000 00000000 00000000 00000000* +L0008352 000000 000000 000000 000001 100001 000000 000000 000000* +L0008400 010000 000000 100010 000001 000000 000000 000000 000000* +L0008448 000000 000000 000000 010000 000000 000000 000000 000000* +L0008496 000000 000000 000000 010000 010000 000000 000000 000000* L0008544 000000 000000 000000 000000 000000 000000 000000 000000* -L0008592 000000 000000 000000 000000 000000 000000 000000 000000* -L0008640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0008960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0008592 000000 000000 000000 000010 000000 000000 000000 000000* +L0008640 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0008704 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0008768 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0008832 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0008896 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0008960 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0009024 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0009088 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0009152 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0009216 000000 000000 000000 000000 000000 000000 000000 000000* -L0009264 000000 000000 000000 000000 000000 000101 000000 000000* -L0009312 000000 000000 000000 000010 000000 000001 000000 000000* -L0009360 000000 000000 000000 000000 000000 000101 000000 000000* -L0009408 000000 000000 000000 000000 000000 000101 000000 000000* -L0009456 000000 000000 000000 000000 000000 000001 000000 000000* -L0009504 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0009568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009824 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* -L0009888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0009952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0010016 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0010080 000000 000000 000000 000000 000000 000000 000000 000000* -L0010128 000000 000000 000000 000000 000000 000000 000000 000000* -L0010176 000000 000000 000000 000000 000000 000000 000000 000000* -L0010224 000000 000000 000000 000000 000000 000000 000000 000000* -L0010272 000000 000000 000000 000000 000000 001000 000000 000000* -L0010320 000000 000000 000000 000000 000000 000000 000000 000000* -L0010368 00000010 00000000 00100001 00000001 00000011 00000001 00000011 00000011* -L0010432 00000001 00000000 00000001 00000011 00000011 00000011 00000011 00000011* -L0010496 00000001 00000000 00000001 00000011 00000011 00000001 00000010 00000011* -L0010560 00000001 00000000 00000011 00000011 00000011 00000001 00000001 00000011* -L0010624 00000001 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0010688 00000001 00000001 00000011 00000011 00000011 00000111 00000011 00000011* -L0010752 00000001 00000001 00001011 00000011 00000011 00000011 00000011 00000011* -L0010816 00000001 00000001 00000011 00000001 00000011 00000011 00000011 00000011* -L0010880 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0009264 000000 000000 000000 000000 000000 000100 000000 000000* +L0009312 000000 000000 000000 000000 000000 000000 000000 000000* +L0009360 000000 000000 000000 000000 000000 000100 000000 000000* +L0009408 000000 000000 000000 000000 000000 000100 000000 000000* +L0009456 000000 000000 000000 000000 000000 000000 000000 000000* +L0009504 00000000 00000000 01000100 01000000 00000000 01000000 00000000 00000000* +L0009568 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0009632 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0009696 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0009760 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0009824 00000000 00000000 01001000 00000000 00001000 00000000 00000000 00000000* +L0009888 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0009952 00000000 00000000 00000000 01000000 00000000 00010000 00000000 00000000* +L0010016 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0010080 000000 000000 000000 010000 000000 000000 000000 000000* +L0010128 000000 000000 100010 000100 000000 000001 000000 000000* +L0010176 000000 000000 000000 000101 000000 000000 000000 000000* +L0010224 000000 000000 000000 000101 000000 001000 000000 000000* +L0010272 000000 000000 000000 000101 000000 000000 000000 000000* +L0010320 000000 000000 000000 000101 000000 000000 000000 000000* +L0010368 00000011 00000000 00000011 00000011 00000001 00000011 00000001 00000000* +L0010432 00000011 00000000 00000011 00000001 00000011 00000011 00000011 00000010* +L0010496 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000001* +L0010560 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0010624 00000011 00000001 00000011 00000010 00000011 00000011 00000010 00000010* +L0010688 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0010752 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000001* +L0010816 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000001* +L0010880 00000011 00000001 00000011 00000010 00000011 00000111 00000011 00000011* L0010944 000000 000000 000000 000000 000000 000000 000000 000000* -L0010992 000000 000000 000000 000000 000000 000101 000000 000010* -L0011040 000000 000000 000000 000000 000000 000001 000000 000010* -L0011088 000000 000000 000000 000000 000000 000101 000000 000000* -L0011136 000000 000000 000000 000000 000000 000101 000000 000000* -L0011184 000000 000000 000000 000000 000000 000001 000000 000000* -L0011232 00000000 00000100 00000000 00000010 11000100 00000000 00001000 00000000* -L0011296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0011360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0011424 00000000 00000000 00000000 00000000 00000000 00000000 01001000 00000000* -L0011488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0011552 00000000 00000000 00001000 00000000 00000100 00000000 00000000 00000000* -L0011616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0011680 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0011744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0011808 000000 000000 000000 000000 000000 000000 000000 000000* -L0011856 000000 000000 000000 000000 100001 000000 000000 000000* -L0011904 000000 000000 000000 000000 000000 000000 000000 000000* -L0011952 000000 000000 000000 000000 000000 001000 000000 000000* +L0010992 000000 000000 000000 000000 000000 100000 000000 000000* +L0011040 000000 000000 000000 000000 000000 100000 000000 000000* +L0011088 000000 000000 000000 000000 000000 100000 000001 000000* +L0011136 000000 000000 000000 000000 000000 000000 000000 000000* +L0011184 000000 000000 000000 000000 000000 000000 000000 000000* +L0011232 00000000 00000000 01000100 00000000 00000010 00001000 00011000 00000011* +L0011296 00000000 00000000 00000000 00000010 00000000 00000100 00001000 00000001* +L0011360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000010* +L0011424 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0011488 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0011552 00000000 00000000 01001000 00000000 00000000 00000000 00000000 00000000* +L0011616 00000000 00000000 00000000 00000000 01000000 00000000 00000000 00000010* +L0011680 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000010* +L0011744 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0011808 000000 000000 000000 000000 000000 000000 000001 000000* +L0011856 000001 000000 110010 000000 000000 000000 100000 000000* +L0011904 000001 000000 000000 000000 000001 000000 000000 000000* +L0011952 000000 000000 000000 000000 000000 000000 000000 000000* L0012000 000000 000000 000000 000000 000000 000000 000000 000000* -L0012048 000000 000000 000000 000000 000000 000000 000000 000000* -L0012096 00000000 00000000 00100000 00000001 00000000 00000100 00001001 00000000* -L0012160 00000101 00000000 00000000 00000011 00000010 00000111 00000000 00000000* -L0012224 00000001 00000000 00000000 00000001 00000000 00000101 00000000 00000001* -L0012288 00000001 00000000 00011100 00000001 00000000 00000001 01001000 00000001* -L0012352 00000001 00000000 00000101 00000011 00000000 00000001 00000000 00000001* -L0012416 00000001 00000000 00001000 00000011 00000000 00000000 00000001 00000001* -L0012480 00000001 00000000 00001001 00000001 00000000 00000001 00000001 00000001* -L0012544 00000001 00000000 00000000 00000011 00000000 00000000 00000001 00000001* -L0012608 00000001 00000001 00010011 00000011 00000000 00000011 00000001 00000011* +L0012048 000000 000000 000000 000000 000000 000000 000001 000000* +L0012096 00000000 00000000 00000000 00000001 00000001 00000011 00000000 00000000* +L0012160 00000000 00000000 00000000 00000001 00000011 00000011 00000011 00000010* +L0012224 00000000 00000000 00000000 00000011 00000011 00000011 00000000 10000000* +L0012288 00000000 00000000 00000000 00000011 00000001 00001011 00000001 00000011* +L0012352 00000000 00000000 00000000 00000000 00000001 00000111 00000010 00000000* +L0012416 00000000 00000000 00000000 00000011 00000001 00000010 00000010 00000001* +L0012480 00000001 00000000 00000000 00000001 00100011 00000011 00000001 00000000* +L0012544 00000000 00000000 00000000 00000011 00100011 00000010 00000011 01001000* +L0012608 00000001 00000000 00000011 00000010 00000011 00000011 00000011 00000011* L0012672 000000 000000 000000 000000 000000 000000 000000 000000* L0012720 000000 000000 000000 000000 000000 000000 000000 000000* L0012768 000000 000000 000000 000000 000000 000000 000000 000000* L0012816 000000 000000 000000 000000 000000 000000 000000 000000* -L0012864 000000 000000 000111 000000 000000 000000 000000 000000* -L0012912 000000 000000 000001 000000 000000 000000 000000 000000* -L0012960 00000010 00000000 00000001 00000010 11100100 00000001 00100010 00000010* -L0013024 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0013088 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0013152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0013216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0013280 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* -L0013344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0013408 00000000 00000000 00000010 00000010 00000000 00000010 00000000 00000000* -L0013472 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0013536 000000 000000 000000 000000 000000 000000 000000 000000* -L0013584 000000 000000 000001 000000 100001 000000 000000 000000* -L0013632 000000 000000 000000 000000 000000 000001 000000 000000* -L0013680 000000 000000 000000 000000 000000 000000 000000 000000* -L0013728 000000 000000 000000 000000 000000 000000 000000 000000* -L0013776 000000 000000 000000 000000 000000 000000 000000 000000* -L0013824 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* -L0013888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 10000100* -L0013952 00000001 00000000 00000000 00000001 00000000 00000001 00000000 10000101* -L0014016 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000100* -L0014080 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000101* -L0014144 00000001 00000000 00000100 00000011 00000000 00000000 00000001 00001000* -L0014208 00000001 00000000 00000001 00000001 00000000 00000001 00000001 00001101* -L0014272 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00001101* -L0014336 00000001 00000001 00000011 00000001 00000000 00000011 00000001 00001101* -L0014400 000000 000000 000000 000000 000000 000000 000000 000001* +L0012864 000000 000000 000000 000000 000000 000100 000000 000000* +L0012912 000000 000000 000000 000000 000000 000000 000000 000000* +L0012960 00000000 00000000 01100101 01000010 00000010 10000000 00000000 00000011* +L0013024 00000000 00000000 00000000 01000010 00000000 00000011 00000000 00010001* +L0013088 00000000 00000000 00000000 01001000 00000000 00000001 00000000 00010011* +L0013152 00000000 00000000 00000000 01001000 00000010 00000000 00000000 00010000* +L0013216 00000000 00000000 00000000 00001011 00000010 00010000 00000000 00010111* +L0013280 00000000 00000000 01001000 00000000 00100010 00000000 00000000 00000110* +L0013344 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000001* +L0013408 00000000 00000000 00000010 01000010 00000000 00000001 00000000 00000011* +L0013472 00000000 00000000 00000000 01000001 00000000 00000010 00000000 00000000* +L0013536 000000 000000 000000 010000 000000 001000 000000 000000* +L0013584 000000 000000 110010 000100 000000 000000 001000 000000* +L0013632 000000 000000 000000 000101 100000 100000 000000 000000* +L0013680 000000 000000 000000 000101 100000 000000 000000 000000* +L0013728 000000 000000 000000 000101 000000 000000 000000 000000* +L0013776 000000 000000 000000 000101 000000 000001 000000 000000* +L0013824 00000000 00000000 00000000 00000000 10000001 00000001 00000000 00000000* +L0013888 00000000 00000000 00000000 00000000 00010000 00000011 00000000 00000000* +L0013952 00000000 00000000 00000000 00000011 00000010 00000011 00000000 00000000* +L0014016 00000000 00000000 00000000 00000010 11100000 00000011 00000000 00000011* +L0014080 00000000 00000000 00000000 00000000 00100000 00000010 00000000 00000000* +L0014144 00000000 00000000 10000100 00000011 00000000 00000010 00000000 00000000* +L0014208 00000000 00000000 00000000 00000000 00100010 00000011 00000001 00000000* +L0014272 00000001 00000000 00000000 00000001 01000110 01000010 00000001 00000000* +L0014336 00000001 00000000 00000010 00000010 10000001 00000011 00000001 00000011* +L0014400 000000 000000 000000 000000 100001 000000 000000 000000* L0014448 000000 000000 000000 000000 010000 000000 000000 000000* -L0014496 000000 000000 000000 000000 000000 000000 000000 001000* -L0014544 000000 000000 000000 000000 000000 000000 000000 000000* -L0014592 000000 000000 000000 000000 000000 000000 000000 000001* -L0014640 000000 000000 000000 000000 000000 001000 000000 000001* -L0014688 00000010 00000000 00000000 00001110 00000000 00000000 00000010 00000010* -L0014752 00000000 00000000 00000000 00000111 00000000 00000000 00000000 00000000* -L0014816 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0014880 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0014944 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0015008 00010000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0015072 00010001 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0015136 00000000 00000001 00000000 00001000 00000000 00000000 00000000 00000000* -L0015200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0014496 000000 000000 000000 000000 100000 000000 000000 000000* +L0014544 000000 000000 000000 001000 000000 010000 000000 000000* +L0014592 000000 000000 000000 000000 010000 000000 000000 000000* +L0014640 000000 000000 000000 000000 000000 000000 000000 000000* +L0014688 01000000 00000000 10000000 00000010 00001010 00000001 00000000 00000011* +L0014752 00000000 00000000 00000000 00000010 00001010 00000000 00000000 00000010* +L0014816 00000000 00000000 00000000 00000000 00100000 00100000 00000000 00000011* +L0014880 00000000 00000000 00000000 00000001 00000010 00000000 00000000 00000000* +L0014944 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000011* +L0015008 00000000 00000000 00000000 00000000 00100010 01000000 00000000 00000011* +L0015072 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0015136 00000001 00000000 00000010 00000010 10000001 00000001 00000000 00000011* +L0015200 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* L0015264 000000 000000 000000 000000 000000 000000 000000 000000* -L0015312 000000 000000 000000 000100 000000 000000 000000 000000* -L0015360 000000 000000 001000 001100 000000 000000 000000 000000* -L0015408 000000 000000 000000 000001 000000 000000 000000 000000* -L0015456 000000 000000 000000 000001 000000 000000 000000 000000* -L0015504 000000 000000 000000 000000 000000 000000 000000 000000* -L0015552 00000000 00000000 00000001 00000000 00000011 00001000 00000000 00000001* -L0015616 00000000 00000000 00000001 00000001 00000000 00011101 00000000 00000001* -L0015680 00000000 00000000 00000001 00000001 00000010 00000101 00000000 00000000* -L0015744 00000000 00000000 00000001 00000001 00000011 00000000 10000011 00000000* -L0015808 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0015872 00000001 00000000 00000001 00000010 00000000 00000000 00000000 00000000* -L0015936 00000000 00000000 00000001 00000010 00000011 00100000 00000001 00000001* -L0016000 00000001 00000000 00000000 00000001 00000011 00000000 00000001 00000001* -L0016064 00000001 00000001 00000011 00000001 00000001 00000011 00000001 00000001* -L0016128 000000 000000 000000 000000 000000 000000 000001 000000* -L0016176 000000 000000 000000 000000 000000 000000 000000 000000* -L0016224 000000 000000 000000 000000 000000 000000 000000 000000* -L0016272 000000 000000 000000 000000 000000 000000 000000 000000* +L0015312 000000 000000 000001 000000 000000 010000 000000 001000* +L0015360 000000 000000 001000 000000 000000 000000 000000 001000* +L0015408 000000 000000 000000 000000 000000 000000 000000 000000* +L0015456 000000 000000 000000 000000 000000 000000 000000 000000* +L0015504 000000 000000 000000 000000 000001 000000 000000 000000* +L0015552 00000000 00000000 00000001 00000000 00000000 10000001 00000011 00000000* +L0015616 00000000 00000000 00000001 00000001 00000000 10000001 00000000 00000000* +L0015680 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000000* +L0015744 00000000 00000000 00000011 00000010 00000000 00000001 00000000 00000011* +L0015808 00000001 00000000 00000011 00000000 00000000 00010000 00000000 00000000* +L0015872 00000001 00000000 00000011 00000001 00000000 00100010 01000001 00000000* +L0015936 00000000 00000000 00000011 00000000 00000010 00000011 10110011 10000000* +L0016000 00000000 00000000 00000010 00000001 00000000 00000010 00000001 00000000* +L0016064 00000000 00000000 00000010 00000010 00000001 00000011 00000001 00000011* +L0016128 000000 000000 000000 000000 000000 001000 000000 000000* +L0016176 000000 000000 000000 000000 000000 000000 001000 000000* +L0016224 000000 000000 000000 000000 000000 100000 010000 000000* +L0016272 000000 000000 000000 000000 000000 100000 000000 000000* L0016320 000000 000000 000000 000000 000000 000000 000000 000000* L0016368 000000 000000 000000 000000 000000 000000 000000 000000* -L0016416 00000010 00000000 00000001 00000010 11100111 00000000 00000010 00000001* -L0016480 00000000 00000000 00010001 00000001 00000000 00000000 00000000 00000001* -L0016544 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* -L0016608 00000000 00000000 00000001 00000000 00000011 00000000 00000011 00000000* -L0016672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0016736 00000000 00000000 00000001 00000001 00100100 00000000 00000000 00000000* -L0016800 00010001 00000000 00000001 00000011 00000011 00000000 00000000 00000000* -L0016864 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* -L0016928 00000000 00000001 00000001 00000000 00000001 00000000 00000000 00000000* -L0016992 000000 000000 000000 000000 000000 000000 000000 000000* -L0017040 000000 000000 000000 000000 100001 000100 000000 000000* -L0017088 000000 000000 000000 000000 000000 000000 000000 000000* -L0017136 000000 000000 000000 000000 000000 000000 000000 000000* -L0017184 000000 000000 000000 000000 000000 000000 000000 000000* -L0017232 000000 000000 000000 000000 000000 000000 000000 000000* +L0016416 10000000 00000000 01100101 01000000 00000010 00000000 00000011 00000011* +L0016480 00000100 00000000 00000001 01000011 00001010 01000000 00000000 00000010* +L0016544 00000000 00000000 00000011 01001000 00000000 00001000 00000000 00000011* +L0016608 00000000 00000000 00000011 01001001 00000010 00000000 00000000 00000000* +L0016672 00000001 00000000 00000011 00001011 00000010 00000000 00000000 00000011* +L0016736 00000001 00000000 01101011 00010010 00000010 00000000 10110001 10000001* +L0016800 00000000 00000000 00000011 01010001 00000000 00100000 01000011 00000001* +L0016864 00000000 00000000 00000010 01000010 00000011 00000001 00000001 00000011* +L0016928 00000000 00000000 00000000 01000001 00000000 00000000 00000001 00000000* +L0016992 000000 000000 000000 010000 000000 000000 000000 000000* +L0017040 000000 000000 110010 000100 000000 000100 010000 000000* +L0017088 000000 000000 000000 000101 000000 000000 001000 000000* +L0017136 000000 000000 000000 000101 000000 000000 000000 000000* +L0017184 000000 000000 000000 000101 000000 000001 000000 000000* +L0017232 000000 000000 000000 000101 000000 000000 000000 000000* L0017280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0017344 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0017408 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0017472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0017408 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000000* +L0017472 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000011* L0017536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0017664 00010000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0017728 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000001* -L0017792 00000000 00000000 00000011 00000000 00000000 00000011 00000001 00000001* +L0017600 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0017664 00000000 00000000 00000000 00000000 00000010 00000001 00000000 00000000* +L0017728 00000000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* +L0017792 00000000 00000000 00000010 00000010 00000001 00000011 00000001 00000011* L0017856 000000 000000 000000 000000 000000 000100 000000 000000* L0017904 000000 000000 000000 000000 000000 000000 000000 000000* -L0017952 000000 000000 000000 000000 000000 000000 000000 000000* +L0017952 000000 000000 000000 000000 000000 000000 000000 000010* L0018000 000000 000000 000000 000000 000000 000000 000000 000000* L0018048 000000 000000 000000 000000 000000 000000 000000 000000* L0018096 000000 000000 000000 000000 000000 000000 000000 000000* -L0018144 00000010 00000000 00000000 00000010 11111100 00000000 00000000 00000000* -L0018208 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0018272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0018336 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0018400 10000000 00000000 00000000 10001001 00000000 00000000 00000000 00000000* -L0018464 00010001 00000000 00000000 00000001 00100100 00000000 00000000 00000000* -L0018528 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0018592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0018656 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* +L0018144 00000000 00000000 01110100 00000000 00000010 00000001 00000000 00000011* +L0018208 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* +L0018272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* +L0018336 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000000* +L0018400 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000011* +L0018464 00000000 00000000 01101000 00000000 00000000 00000000 00000000 00000001* +L0018528 00000000 00000000 00000000 00000001 00000000 00000000 01000000 00000001* +L0018592 00000000 00000000 00000000 00000010 00000001 00000010 00000000 00000011* +L0018656 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* L0018720 000000 000000 000000 000000 000000 000000 000000 000000* -L0018768 000000 000000 000000 000000 100001 000000 000000 000000* -L0018816 000000 000000 000000 000000 000000 000000 000000 000000* +L0018768 000000 000000 110010 000000 000000 000000 000000 000000* +L0018816 000000 000000 000000 000000 001000 000000 000000 000000* L0018864 000000 000000 000000 000000 000000 000000 000000 000000* -L0018912 000000 000000 000000 010000 000000 000000 000000 000000* -L0018960 000001 000000 000000 000011 000000 000000 000000 000000* -L0019008 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0019072 00001000 00000000 00000000 00000011 00000010 00000001 00000000 00000000* -L0019136 00001000 00000000 00000000 00000001 00000000 00000000 00000001 00000000* -L0019200 00000001 00000000 00000000 00000000 00000000 00000000 00000011 00000001* -L0019264 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* -L0019328 00000001 00000000 00000001 00000011 00000010 00000000 00000000 00000010* -L0019392 00000000 00000000 00000001 00000011 00000000 00000001 00000000 00000001* -L0019456 00000001 00000000 00000001 00000001 00000000 00000001 00000000 00000010* -L0019520 00001001 00000001 00000000 00000001 00000000 00000011 00000000 00000011* +L0018912 000000 000000 000000 000000 000000 000000 000000 000000* +L0018960 000000 000000 000000 000000 000000 000000 000000 000000* +L0019008 00000000 00000000 00000010 00000000 00000000 00000000 00000011 00000000* +L0019072 00000000 00000000 00000000 00000011 00000010 00000001 00000000 00000000* +L0019136 00000000 00000000 00000000 00000010 00000010 00000010 00000001 00000000* +L0019200 00000000 00000000 01111100 00000000 00000000 00000000 00000000 00000011* +L0019264 00000000 00000000 00000000 00000010 00000000 00000011 00000000 00000010* +L0019328 00000000 00000000 00000000 00000011 00000010 00000000 00000001 00000010* +L0019392 00000000 00000000 00000000 00000000 00000001 00000011 00000001 00000000* +L0019456 00000000 00000000 00000001 00000000 00000001 00000001 00000001 00000011* +L0019520 00000000 00000000 01111001 00000010 00000000 00000010 00000000 00000001* L0019584 000000 000000 000000 000000 000000 000000 000000 000000* L0019632 000000 000000 000000 000000 000000 000000 000000 000000* L0019680 000000 000000 000000 000000 000000 000000 000000 000000* -L0019728 000000 000000 000000 000100 000000 000000 000000 000000* -L0019776 000010 000000 000000 000000 000000 000000 000000 000000* -L0019824 000010 000000 000000 000000 000000 000000 000000 000000* +L0019728 000000 000000 000000 000000 000000 000000 000000 000000* +L0019776 000000 000000 110110 000000 000000 000000 000000 000000* +L0019824 000000 000000 000000 000000 000000 000000 000000 000000* L0019872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0019936 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0020000 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0019936 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* +L0020000 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000001* +L0020064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000011* L0020128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0020192 00000000 00000000 00010000 00000001 00000000 00000000 00000000 00000000* -L0020256 00001001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0020320 00001001 00000100 00000000 00000001 00000000 00000000 00000000 00000000* -L0020384 00000000 00000000 00000010 00000000 00000000 00000010 00000000 00000000* +L0020192 00000000 00000000 00000000 00000001 00000000 00001000 00000000 00010000* +L0020256 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00010000* +L0020320 00000100 00000000 00000000 00000001 00000000 00000000 00000000 00000011* +L0020384 00000000 00000000 00000010 00000010 00000001 00000011 00000000 00000011* L0020448 000000 000000 000000 000000 000000 000000 000000 000000* L0020496 000000 000000 000000 000000 000000 000000 000000 000000* -L0020544 000000 000000 000000 000000 000000 000000 000000 000100* -L0020592 000100 000000 000000 000000 000000 000000 000000 000100* +L0020544 000000 000000 000000 000000 000000 000000 000000 000000* +L0020592 000000 000000 000000 000000 000000 000000 000000 000000* L0020640 000000 000000 000000 000000 000000 000000 000000 000000* L0020688 000000 000000 000000 000000 000000 000000 000000 000000* -L0020736 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0020800 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0020864 00001000 00000000 00000000 00000001 00000000 00000000 00000100 00000000* -L0020928 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0020992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0020800 00000000 00000000 00000000 00000000 00001000 00000000 00001000 00000000* +L0020864 00000000 00000000 00000000 00000111 00000010 00000000 00000000 00000000* +L0020928 00000000 00000000 00000000 00000110 11000010 00000000 00000000 00000011* +L0020992 00000000 00000000 00000000 00000110 11000000 00000000 00000000 01000010* L0021056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021184 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0021248 00000001 00000000 00000010 00000001 00000000 00000000 00000001 00000001* -L0021312 000000 000000 000000 000000 000000 000000 000001 000000* -L0021360 000000 000000 000000 000000 000000 000000 000000 000000* -L0021408 000010 000001 000000 000000 000000 000000 000000 000000* +L0021120 00000000 00000000 00000000 00000100 10000010 00000000 00000000 00000000* +L0021184 00000000 00000000 00000000 00000101 00000000 00000000 00000000 00000000* +L0021248 00000000 00000000 00000010 00000100 00100001 00000000 00000000 00100011* +L0021312 000000 000000 000000 000001 000000 000000 000000 001001* +L0021360 000000 000000 000000 000001 000000 000010 000000 000000* +L0021408 000000 000000 000000 000000 000000 000000 000000 000000* L0021456 000000 000000 000000 000000 000000 000000 000000 000000* -L0021504 000000 000000 000000 000000 000000 000000 000000 000000* -L0021552 000010 000000 000000 000000 000000 000000 000000 000000* -L0021600 00001010 00000000 00000001 00000010 11110100 00010000 00000010 00000010* -L0021664 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0021728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021792 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00010000* -L0021856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0021920 00000000 00000000 00010000 00000000 00100100 00000000 00000000 00000000* -L0021984 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0022048 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0022112 00001001 00000000 00000000 00000001 00000000 00000000 00000000 00010000* -L0022176 000000 000000 000000 000000 000000 000000 000000 000000* -L0022224 000110 000001 000100 000000 100001 000000 000000 000000* -L0022272 000100 000000 000000 000000 000000 000000 000000 000000* -L0022320 000000 000000 000000 000000 000000 000000 000001 000000* -L0022368 000010 000000 000000 000000 000000 000000 000000 000000* -L0022416 000000 000000 000000 000000 000000 000000 000000 000000* -L0022464 00000010 00000000 00000001 00000011 00000011 00000001 00000011 00000011* -L0022528 00001001 00000000 00000001 00000011 00000011 00000011 00000011 00000011* -L0022592 00001001 00000000 00000001 00000011 00000011 00000001 00000011 00000011* -L0022656 00000001 00000000 00000011 00000111 00000011 00000001 00000011 00000011* -L0022720 00000001 00000000 00000011 00000011 00000011 00000011 00000011 00000011* -L0022784 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0022848 00000001 00000001 00001011 00000011 00000011 00001011 00000011 00000011* -L0022912 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0022976 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0021504 000000 000000 000000 000000 010000 000000 000000 000000* +L0021552 000000 000000 000000 000000 000000 000000 000000 000100* +L0021600 00010000 00000000 01110101 01000000 00001010 00000000 00001000 00000011* +L0021664 00000000 00000000 00000000 00001000 00010000 00000000 00000000 00010010* +L0021728 00000000 00000000 00000000 01001000 00010000 00000000 00000000 00010000* +L0021792 00000000 00000000 00000000 00001011 00000010 00000000 00000000 00010000* +L0021856 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00010111* +L0021920 00000000 00000000 01111000 00000010 00000000 00000000 00000000 00000111* +L0021984 00000000 00000000 00000000 01000000 00100000 00000000 00000000 00000000* +L0022048 00000000 00000000 00000000 00000000 01100110 00000000 00000000 00000000* +L0022112 00000000 00000000 00000000 01000001 01000100 00000000 00000000 00000000* +L0022176 000000 000000 000000 000000 000000 000000 000000 110000* +L0022224 000000 000000 110110 001100 000000 000000 100000 000000* +L0022272 000000 000000 000000 001000 000000 000000 000000 000000* +L0022320 000000 000000 000000 000100 000000 000000 000000 010000* +L0022368 000000 000000 000000 000000 000000 000000 000000 000000* +L0022416 000000 000000 000000 000000 000000 000000 000000 000010* +L0022464 00000011 00000000 00000011 00000011 00000101 00000011 00000011 00000000* +L0022528 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000010* +L0022592 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000001* +L0022656 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0022720 00000011 00000001 00000011 00000010 00000011 00000011 00000011 00000010* +L0022784 00000011 00000001 00000011 00100011 00000011 00000011 00000011 00000011* +L0022848 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000001* +L0022912 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0022976 00000011 00000001 00000011 00000010 00000011 00000011 00000011 00000011* L0023040 000000 000000 000000 000000 000000 000000 000000 000000* -L0023088 000000 000000 000000 000000 000000 000000 000000 000010* -L0023136 000000 000000 000000 000000 000000 000000 000000 000000* +L0023088 000000 000000 000000 000000 000000 000000 000000 000000* +L0023136 000000 000000 000000 000000 000000 000000 000000 000100* L0023184 000000 000000 000000 000000 000000 000000 000000 000000* L0023232 000000 000000 000000 000000 000000 000000 000000 000000* L0023280 000000 000000 000000 000000 000000 000000 000000 000000* -L0023328 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0023392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0023648 00001000 00000000 00001000 00001000 00010000 00000000 00000000 00000000* -L0023712 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0023776 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00000000* -L0023840 00001000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0023904 000000 000000 000000 000000 000000 000000 000000 000000* -L0023952 000000 000000 000000 000000 000000 000000 000000 000000* -L0024000 000000 000000 000000 000000 000000 000000 000000 000010* -L0024048 000000 000000 000000 000000 000000 000000 000000 000000* -L0024096 000010 000000 000000 000000 000000 000000 000000 000000* -L0024144 000010 000000 000000 000000 000000 000000 000000 000000* +L0023328 00000000 00000000 00000000 01000000 00100000 00000000 00000000 00000000* +L0023392 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0023456 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00001000* +L0023520 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00000000* +L0023584 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0023648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0023712 00000000 00000000 00000000 01000100 00000000 00000000 00000000 00000000* +L0023776 00000000 00000000 00000000 01100100 00000000 00000000 00000000 00000000* +L0023840 00000000 00000000 00000000 01100100 00000000 00000000 00000000 00000000* +L0023904 000000 000000 000000 011001 000000 000000 000000 000000* +L0023952 000000 000000 100000 000101 000000 000000 000000 000000* +L0024000 000000 000000 000000 000101 000000 000000 000000 000000* +L0024048 000000 000000 000000 000101 000001 000000 000000 000000* +L0024096 000000 000000 000000 001101 000000 000000 000000 000000* +L0024144 000000 000000 000000 001101 000000 000000 000000 000000* L0024192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024256 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0024320 00001000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0024384 00000000 00000000 00000000 00000000 00000000 00000000 10000100 00000000* +L0024256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024320 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0024384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0024512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024576 00000000 00000000 00100000 10000000 00000000 00000000 00000000 00000000* +L0024576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0024640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024704 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0024768 000000 000000 000000 000000 000000 000000 000001 000000* -L0024816 000000 000000 001000 000000 000000 000000 000000 001000* -L0024864 000010 000000 000000 000000 000000 000000 000000 000000* -L0024912 000000 000000 001000 000000 000000 000000 000000 000000* -L0024960 000000 000000 001000 000000 000000 000000 000000 000000* -L0025008 000010 000000 001000 000000 000000 000000 000000 000000* -L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0025184 00000000 00000000 00000000 00000000 00000000 00000000 10000000 10000000* -L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0025376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025440 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0025504 00001000 00000000 00000000 00000000 00000000 10000000 00000000 00001000* -L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0025632 000000 000000 000000 000000 000000 000000 000000 000001* -L0025680 000110 000000 000000 000000 000100 000000 000000 000000* -L0025728 000100 000000 000000 000000 000000 000000 000010 000000* -L0025776 000000 000000 000000 000000 000000 000000 000010 000000* -L0025824 000000 000000 000000 000000 000000 000000 000001 000000* -L0025872 000000 000000 000000 000000 000000 000000 000000 000001* -L0025920 00000000 00000000 00000000 00000100 00000000 00000100 00000100 00000000* -L0025984 00000000 00000000 00000000 00000011 00000011 00000000 00000011 00000010* -L0026048 00000000 00000000 00000000 00000100 00000001 00000000 00000001 00000001* -L0026112 10000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0026176 10000100 00000000 00000000 00001110 00000011 00000001 00000011 00000010* -L0026240 00000000 00000100 00000000 00000110 00000011 00000001 00000010 00000011* -L0026304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0026368 00000000 00000000 00000001 00010000 00000000 00000001 00000010 00000010* -L0026432 00000000 00000000 00000010 00000100 00000010 00000000 00000010 00000000* -L0026496 000001 000000 000000 000101 000000 000000 000000 000000* -L0026544 000000 000000 000000 000100 000000 000000 000000 000000* -L0026592 000000 000000 000000 000000 000000 000001 000000 000010* -L0026640 000000 000000 000000 000000 000000 000101 000000 000000* -L0026688 000001 000000 000000 000110 000000 000001 000000 000000* -L0026736 000001 000000 000000 000111 000000 000000 000000 000000* -L0026784 00000000 00000000 00000000 11000000 10000000 00001000 00000000 00000000* -L0026848 00000000 00000000 00000000 10001000 00000000 00011000 00000100 00000000* -L0026912 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0026976 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00000000* +L0024704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0024768 000000 000000 000000 000000 000000 000000 000000 000000* +L0024816 000000 000000 000000 000000 000000 000000 000000 000000* +L0024864 000000 000000 000000 000000 000000 000000 000000 000000* +L0024912 000000 000000 000000 000000 000000 000000 000000 000000* +L0024960 000000 000000 000000 000000 000000 000000 000000 000000* +L0025008 000000 000000 000000 000000 000000 000000 000000 000000* +L0025056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025376 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* +L0025440 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0025504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0025632 000000 000000 000000 000000 000000 000000 000000 000000* +L0025680 000000 000000 100000 000000 000000 000000 000000 000000* +L0025728 000000 000000 000000 000000 000000 000000 000000 000000* +L0025776 000000 000000 000000 000000 000000 000000 000000 000001* +L0025824 000000 000000 000000 000000 000000 000000 000000 000000* +L0025872 000000 000000 000000 000000 000000 000000 000000 000000* +L0025920 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* +L0025984 00000000 00000000 00000000 00000011 00000011 00000000 00000111 00000010* +L0026048 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000001* +L0026112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026176 00000000 00000000 00000000 01000010 00000011 00001001 00000011 00000010* +L0026240 00000100 00000000 00000000 10001010 00000011 00000001 00000010 00000011* +L0026304 00000000 00000000 00000000 10001000 00000100 00000000 00000100 00000000* +L0026368 00000000 00000000 00000001 10001000 00000000 00000001 00000010 00000010* +L0026432 00000000 00000000 00000010 10001000 00000010 00000100 00000010 00000000* +L0026496 000000 000000 000000 100010 000000 000000 000000 000000* +L0026544 000000 000000 000000 100010 000000 000000 000010 000000* +L0026592 000000 000000 000000 100010 000000 000000 000000 000000* +L0026640 000000 000000 000000 100010 000000 000000 000000 000000* +L0026688 000000 000000 000000 100010 000000 000000 000000 000000* +L0026736 000000 000000 000000 100010 000000 000000 000000 000000* +L0026784 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0026848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0026912 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0026976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027168 00000000 00000000 00000000 00001000 00000000 00100000 00000000 00000000* -L0027232 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* -L0027296 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0027104 00000000 00001000 00001000 00000000 00000000 00000000 00000000 00000000* +L0027168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0027232 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0027296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0027360 000000 000000 000000 000000 000000 000000 000000 000000* -L0027408 000000 000000 010000 001000 000000 000001 000000 000000* -L0027456 000000 000000 000000 000000 000000 000000 000000 000000* -L0027504 000000 000000 000000 000100 000000 000000 000000 000000* +L0027408 000000 000001 100010 000000 000000 000000 000001 000000* +L0027456 000000 000000 000000 000000 000000 000000 000001 000000* +L0027504 000000 000000 000000 000000 000000 000000 000001 000000* L0027552 000000 000000 000000 000000 000000 000000 000000 000000* -L0027600 000000 000000 000000 000000 000000 000001 000000 000000* -L0027648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027712 00000000 00000000 00000000 00000000 00000011 00000000 00000011 00000010* -L0027776 00000000 00000000 00000000 00000000 00000001 00000000 00000001 00000000* -L0027840 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0027904 00000000 00000000 00000000 00000010 00000001 00000000 00000011 00000000* -L0027968 00000000 00000000 00000000 00000010 00000011 00000001 00000010 00000010* -L0028032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0028096 00000000 00000000 00000001 00000110 00000000 00000000 00000010 00000010* -L0028160 00000100 00000000 00000000 00000000 00000010 00000000 00000010 00000000* -L0028224 000000 000000 000000 000000 000000 000000 000000 000000* -L0028272 000000 000000 000000 000000 000000 000000 000000 000000* -L0028320 000000 000000 000000 000000 000000 000000 000000 000000* -L0028368 000000 000000 000000 000000 000000 000000 000000 000100* -L0028416 000000 000000 000000 000000 000000 000000 000000 000000* -L0028464 000000 000000 000000 000000 000000 000000 000000 000000* -L0028512 00000000 00000000 00000001 00000011 10000111 00000000 00000000 00000011* -L0028576 00000001 00000000 00000001 00000011 00000000 00000000 00000000 00000001* -L0028640 00000000 00000000 00000001 00000011 00000010 00000000 00000000 00000011* -L0028704 00000000 00000000 00000011 00000011 00000011 00000000 00000011 00000011* -L0028768 00000000 00000000 00000011 00000001 00000010 00000011 00000000 00000011* -L0028832 00000000 00000000 00100011 00000001 00000000 00000000 00000000 00000001* -L0028896 00000100 00000001 00000011 00000011 00000011 00000000 00000010 00000011* -L0028960 00000101 00000000 00000010 00000001 00000011 00000000 00000000 00000001* -L0029024 00000001 00000001 00000011 00000011 00000001 00000000 00000000 00000011* -L0029088 000000 000000 000000 000000 000000 000000 000001 000000* -L0029136 000000 000000 000000 000000 000000 000000 000000 000000* -L0029184 000000 000000 000000 000000 000000 000000 000000 000000* -L0029232 000000 000000 000000 000000 000000 000000 000000 000000* +L0027600 000000 000000 000000 000000 000000 000000 000000 000000* +L0027648 00000000 00000000 00000000 01000000 00000100 00000000 00100000 00000000* +L0027712 00000000 00000000 00010000 01000000 00000111 00000000 00000011 00000010* +L0027776 00000000 00000000 00000000 01001100 00000001 01000000 00000001 00000000* +L0027840 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00000000* +L0027904 00000000 00000000 00000000 00001110 00000001 00000000 00000011 00000010* +L0027968 00000000 00000000 00000000 00000010 00000011 00000001 00000110 00000010* +L0028032 00000000 00000000 00000000 01000100 00000000 00000000 00000100 00000000* +L0028096 00000000 00000000 00100001 01000110 00000000 00000100 00000010 00000010* +L0028160 00000000 00000000 00000000 01000100 00000010 00000000 00000010 11000000* +L0028224 000000 000000 000000 010001 000000 000000 000000 000000* +L0028272 000000 000000 001000 000101 000000 000000 000010 000000* +L0028320 000000 000000 000000 000101 000000 000000 000000 000000* +L0028368 000001 000000 001000 000101 000000 000000 000000 000000* +L0028416 000000 000000 001000 000101 000000 000000 000000 000010* +L0028464 000000 000000 001000 000101 000000 000000 000000 000000* +L0028512 00000010 00000000 00000011 00000011 00000001 00000000 00000011 00000000* +L0028576 00000011 00000000 00000011 00000011 00000000 00000000 00000000 00000000* +L0028640 00000001 00000000 00000011 00000011 00000010 00000000 00000010 00010001* +L0028704 00000001 00000000 00000011 00000011 00000011 00000000 00000011 00000011* +L0028768 00000001 00000001 00000011 00000000 00000010 00000001 00000000 00010100* +L0028832 00000001 00000001 00000011 00000101 00000000 00000000 00000001 00000001* +L0028896 00000000 00000001 00000011 00000001 00000011 00000000 00000011 00000001* +L0028960 00000000 00000001 00000010 00000001 00000011 00000000 00000101 00000001* +L0029024 00000000 00000001 00000011 00000010 00000001 00000000 00000001 00000011* +L0029088 000000 000000 000000 000000 000000 000000 000000 000000* +L0029136 001101 000000 000000 000000 000000 000000 000001 000000* +L0029184 000000 000000 000000 000000 000000 000000 000001 000000* +L0029232 000000 000000 000000 000000 000000 000000 000001 000000* L0029280 000000 000000 000000 000000 000000 000000 000000 000000* -L0029328 000000 000000 000000 000000 000000 000000 000000 000000* -L0029376 00000000 00000000 00010000 10001000 00000000 00000001 00000011 00000000* -L0029440 00000000 00000000 00000100 10001000 00000000 00000001 00000000 00000000* -L0029504 10000000 00000000 00000000 10001000 00000000 00000001 00000010 00000000* -L0029568 00000000 00000000 00000000 10001000 00000000 00000001 00000000 00000000* -L0029632 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0029696 00000000 00000000 00000000 00001000 00000000 00000010 00000001 00000000* -L0029760 00000000 00000000 00000000 00001000 00000000 00000011 00000001 00000000* -L0029824 00000000 00000000 00000000 00001000 00000000 00000011 00000001 00000000* -L0029888 00000000 00000000 00000000 00001000 00000000 00000011 00000001 00000000* +L0029328 000000 000000 000000 001000 000000 000000 000000 000000* +L0029376 00000001 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0029440 00000000 00000000 00010000 00000000 00000000 00000001 00000000 00000000* +L0029504 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* +L0029568 00000010 00000000 00000000 00000000 00000000 00110011 00000000 00000000* +L0029632 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0029696 00000010 00000000 00000000 00000000 01000000 10000000 00000000 00000000* +L0029760 00000011 00000000 00000000 00000000 00000000 01000001 00000000 00000000* +L0029824 00000010 00000000 00100000 00000000 00000000 01000011 00000000 00000000* +L0029888 00000000 00000000 00000000 00000000 00000000 00000011 00000000 00000000* L0029952 000000 000000 000000 000000 000000 000000 000000 000000* -L0030000 000000 000000 000010 000010 000000 000010 000000 001000* -L0030048 000000 000000 000000 000001 000000 000000 000000 000000* -L0030096 000001 000000 000000 000001 000000 000000 000000 000000* -L0030144 000000 000000 000000 000001 000000 000001 000000 000000* +L0030000 000000 000000 000000 000000 000000 000000 000010 000000* +L0030048 000000 000000 000001 000000 000000 000000 000000 000000* +L0030096 000000 000000 000000 000000 000000 000000 000000 000000* +L0030144 000000 000000 000000 000000 000000 010000 000000 000000* L0030192 000000 000000 000000 000000 000000 000000 000000 000000* -L0030240 00000010 00000000 00000000 00000000 10000100 00000000 00000000 00000000* -L0030304 10000000 00000000 00000000 00000000 00000000 00000001 00000000 10000100* -L0030368 00000001 00000000 00000100 00000100 00000000 00000001 00000000 00000100* -L0030432 00000001 00000000 00000000 00000000 00000000 00000000 10000000 00000010* -L0030496 00000001 00000000 00000000 00000000 00000010 00000000 00000000 00000000* -L0030560 00000001 00000001 00000000 00000000 00000100 00000000 00000000 00001000* -L0030624 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0030688 00000000 00000001 00000000 00000000 00000000 00000001 00000000 00000100* -L0030752 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* -L0030816 000000 000000 000000 000001 000000 000000 000000 000000* -L0030864 000000 000000 000001 000000 000000 000000 000000 000000* -L0030912 000001 000000 000001 000000 000000 000000 000000 000000* -L0030960 000000 000000 000001 000000 000000 000000 000000 000000* -L0031008 000000 000000 000000 000000 000000 000000 000000 000001* -L0031056 000000 000000 000000 000100 000000 000000 000000 000000* -L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0030240 00000000 00000000 00000000 00000000 00000000 00000001 00100000 00000000* +L0030304 00000000 00000000 00000010 00000000 00000000 00000001 00000000 00000000* +L0030368 00000010 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0030432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0030496 00000000 00000000 00000000 00000000 00000010 00000010 00000000 00000000* +L0030560 10000000 00000000 00000000 00000000 00000000 00000010 00000000 01000000* +L0030624 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0030688 00000001 00000000 00000000 00000000 00000000 10000001 00000000 00000000* +L0030752 00000011 00000001 00000000 00000000 00000000 00000010 00000000 00000000* +L0030816 000000 000000 000000 000000 000000 000000 000000 000000* +L0030864 000000 000000 000000 000000 000000 000000 000000 000000* +L0030912 000000 000000 000000 100000 000000 010000 000000 000000* +L0030960 000000 000000 000000 100010 000000 010000 000000 000000* +L0031008 000000 000000 000000 000010 000000 000000 000000 000000* +L0031056 000000 000000 000000 000000 000000 000000 000001 000000* +L0031104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0031168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0031424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0031488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0031680 000000 000000 000000 000000 000000 000000 000000 000000* -L0031728 000000 000000 000000 000000 000000 000010 000000 000000* -L0031776 000000 000000 000000 000000 000000 000000 000000 000000* -L0031824 000000 000000 000001 000000 000000 000000 000000 000000* +L0031728 000000 000000 000000 000000 000000 000000 000000 000000* +L0031776 000000 000000 000000 000000 000000 000000 000000 100000* +L0031824 000000 000000 000000 000000 000000 000000 000000 000000* L0031872 000000 000000 000000 000000 000000 000000 000000 000000* L0031920 000000 000000 000000 000000 000000 000000 000000 000000* -L0031968 00000000 00000000 00100001 00000000 00000011 00000000 00001000 00000001* -L0032032 00000000 00000000 00000001 00000000 00000000 00000000 00000000 00000001* -L0032096 00000000 00000000 00000001 00000000 00000010 00000000 00000000 00000000* -L0032160 00000000 00000000 00001001 00000000 00000011 00000000 01000011 00000000* -L0032224 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0032288 00000000 00000000 00001001 00000000 00000000 00000000 00000000 10000000* -L0032352 00000000 00000000 00001001 00000010 00000011 00000000 00000000 00000000* -L0032416 00000000 00000000 00000000 00000000 00000011 00000000 00000000 00000000* -L0032480 00000000 00000001 00010001 00000000 00000001 00000000 00000000 00000000* +L0031968 00000000 00000000 00000001 00000000 00000000 00000000 00000011 00000000* +L0032032 00000000 00000000 00010001 00000000 00000000 00000000 00000000 00010000* +L0032096 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00010000* +L0032160 00000000 00000000 00000011 00000000 00000000 00000000 00000000 00010000* +L0032224 00000001 00000000 00000011 00000000 00000000 00000000 00000000 00010100* +L0032288 00000001 00000000 00000011 00000000 00000000 00000000 00000001 00000100* +L0032352 00000000 00000000 00100011 00000000 00010000 00000000 00000011 10000000* +L0032416 00000000 00000000 00100010 00000000 00000000 00000000 00000001 00000000* +L0032480 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000000* L0032544 000000 000000 000000 000000 000000 000000 000000 000000* L0032592 000000 000000 000000 000000 000000 000000 000000 000000* L0032640 000000 000000 000000 000000 000000 000000 000000 000000* L0032688 000000 000000 000000 000000 000000 000000 000000 000000* -L0032736 000000 000000 000100 000000 000000 000000 000000 000000* -L0032784 000000 000000 000001 000000 000000 000000 000000 000000* +L0032736 000000 000000 000000 000000 000000 000000 000000 000000* +L0032784 000000 000000 000000 000000 000000 000000 000000 000000* L0032832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0032960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0033088 00000000 00000000 00000000 01000100 00000000 00000000 00000000 00000000* L0033152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0033280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0033408 000000 000000 000000 000000 000000 000000 000000 000000* +L0033344 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0033408 000000 000000 000000 100011 000000 000000 000000 000000* L0033456 000000 000000 000000 000000 000000 000000 000000 000000* L0033504 000000 000000 000000 000000 000000 000000 000000 000000* L0033552 000000 000000 000000 000000 000000 000000 000000 000000* L0033600 000000 000000 000000 000000 000000 000000 000000 000000* L0033648 000000 000000 000000 000000 000000 000000 000000 000000* -L0033696 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0033760 00000001 00000000 00000001 00000000 00000000 00000010 00000000 00000000* -L0033824 00000001 00000000 00000001 00000000 00000010 00000000 00000000 00000000* -L0033888 00000001 00000000 00000001 00000000 00000011 00000000 00000011 00000000* -L0033952 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000000* -L0034016 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000001* -L0034080 00000000 00000000 00000010 00000000 00000011 00000000 00000001 00000000* -L0034144 00000001 00000000 00000000 00000000 00000011 00000000 00000000 00000000* -L0034208 00000001 00000000 00000001 00000000 00000001 00000000 00000000 00000000* -L0034272 000000 000000 000000 000000 000000 000000 000000 000000* -L0034320 000000 000000 000000 000000 000000 000000 000000 000000* -L0034368 000000 000000 000000 000000 000000 000000 000000 000000* -L0034416 000000 000000 000000 000000 000000 000000 000000 000000* -L0034464 000000 000000 000000 000000 000000 000000 000000 000000* -L0034512 000000 000000 000000 000000 000000 000000 000000 000000* +L0033696 00000000 00000000 00000001 01000100 00000000 00000000 00000011 00000000* +L0033760 00000000 00000000 00010001 01000100 00000000 00000010 00000000 00000000* +L0033824 00000000 00000000 00000001 01001000 00000000 00000000 00000010 00000000* +L0033888 00000000 00000000 00000011 01001010 00000001 00000000 00000001 00000001* +L0033952 00000000 00000000 00000011 00001000 00000000 00000010 00000000 00000000* +L0034016 00000000 00000000 00000011 00000000 00000000 00000010 00000000 00000001* +L0034080 00000000 00000000 00000011 01000000 00000000 00000000 00000000 00000000* +L0034144 00000000 00000000 00100010 01000001 00000010 00000010 00000000 00000000* +L0034208 00000001 00000000 00000000 01000000 00000000 00000000 00000001 00000001* +L0034272 000000 000000 000000 011000 000000 000000 000000 000000* +L0034320 000000 000000 000000 000100 000000 000000 000000 000000* +L0034368 000000 000000 000000 000101 000000 000000 000000 000000* +L0034416 000000 000000 000000 000101 000000 000000 000000 000000* +L0034464 000000 000000 000000 100101 000000 000000 000000 000000* +L0034512 000000 000000 000000 100101 000000 000000 000000 000000* L0034560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0034688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -705,8 +705,8 @@ L0035232 000000 000000 000000 000000 000000 000000 000000 000000* L0035280 000000 000000 000000 000000 000000 000000 000000 000000* L0035328 000000 000000 000000 000000 000000 000000 000000 000000* L0035376 000000 000000 000000 000000 000000 000000 000000 000000* -L0035424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0035488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0035424 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0035488 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -715,41 +715,41 @@ L0035808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0035872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0035936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036000 000000 000000 000000 000000 000000 000000 000000 000000* -L0036048 000000 000000 000000 000000 000000 000000 000000 000000* +L0036048 000000 000000 000000 000000 000000 000000 000000 010000* L0036096 000000 000000 000000 000000 000000 000000 000000 000000* -L0036144 000000 000000 000000 000000 000000 000000 000000 000000* +L0036144 000000 000000 000000 000000 000000 000000 000000 010000* L0036192 000000 000000 000000 000000 000000 000000 000000 000000* L0036240 000000 000000 000000 000000 000000 000000 000000 000000* L0036288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000100* -L0036416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036352 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* +L0036416 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000000* L0036480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000010* -L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0036672 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000101* +L0036544 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0036608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0036672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0036736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0036864 000000 000000 000000 000000 000000 000000 000000 000000* -L0036912 000000 000000 000000 000000 000000 000000 000000 000001* -L0036960 000000 000000 000000 000000 000000 000000 000000 000001* -L0037008 000000 000000 000000 000000 000000 000000 000000 000001* +L0036912 000000 000000 000000 000000 000000 000000 000000 000000* +L0036960 000000 000000 000000 000000 000000 000000 000000 000000* +L0037008 000000 000000 000000 000000 000000 000000 000000 000000* L0037056 000000 000000 000000 000000 000000 000000 000000 000001* L0037104 000000 000000 000000 000000 000000 000000 000000 000000* -L0037152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037216 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00000000* -L0037280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037472 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00100000* -L0037536 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0037600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0037728 000000 000000 000000 000000 000000 000000 000000 000000* -L0037776 000000 000000 000000 000000 000000 000000 000000 010000* -L0037824 000000 000000 000000 000000 000000 000000 000000 000000* -L0037872 000000 000000 000000 000000 000000 000000 000000 000000* -L0037920 000000 000000 000000 000000 000000 000000 000000 000000* -L0037968 000000 000000 000000 000000 000000 000000 000000 000000* +L0037152 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0037216 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0037280 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0037344 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0037408 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0037472 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0037536 00000000 00000000 00000000 01010000 00000000 00000000 00000000 00000000* +L0037600 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0037664 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0037728 000000 000000 000000 010000 000000 001000 000000 000000* +L0037776 000000 000000 000000 000100 001000 001000 000000 000000* +L0037824 000000 000000 000000 000101 000000 000000 000000 000001* +L0037872 000000 000000 000000 000101 000000 001000 000000 000001* +L0037920 000000 000000 000000 000101 000000 001000 000000 000000* +L0037968 000000 000000 000000 000101 000000 001000 000000 000000* L0038016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0038080 00000000 00000000 00000000 00000011 00000011 00000011 00000011 00000010* L0038144 00000000 00000000 00000000 00000000 00000001 00000001 00000001 00000001* @@ -761,91 +761,91 @@ L0038464 00000000 00000000 00000001 00000010 00000000 00000001 00000010 00000010 L0038528 00000000 00000000 00000010 00000000 00000010 00000010 00000010 00000000* L0038592 000000 000000 000000 000000 000000 000000 000000 000000* L0038640 000000 000000 000000 000000 000000 000000 000000 000000* -L0038688 000000 000000 000000 000000 000000 000000 000000 000000* +L0038688 000000 000000 000000 000000 000000 000000 000000 001000* L0038736 000000 000000 000000 000000 000000 000000 000000 000000* L0038784 000000 000000 000000 000000 000000 000000 000000 000000* L0038832 000000 000000 000000 000000 000000 000000 000000 000000* -L0038880 00000010 00000000 00000001 00000011 00000011 00000001 00000011 00000011* -L0038944 00000001 00000000 00000001 00000011 00000011 00000011 00000011 00000011* -L0039008 00000001 00000000 00000001 00000011 00000011 00000001 00000010 00000011* -L0039072 00000001 00000000 00000011 00000011 00000011 00000001 00000001 00000011* -L0039136 00000001 00000000 00000011 00000011 00000011 00000011 00000010 00000011* -L0039200 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039264 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* -L0039328 00000001 00000001 00000011 00000001 00000011 00000011 00000011 00000011* -L0039392 00000001 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0038880 00000011 00000000 00000011 00000011 00000011 00000011 00000001 00000011* +L0038944 00000011 00000000 00000011 00000011 00000011 00000011 00000011 01000011* +L0039008 00000011 00000000 00000011 00000011 00000011 00000011 00000010 00000011* +L0039072 00000011 00000000 00000011 00000011 00000011 00000011 00000011 00000011* +L0039136 00000011 00000001 00000011 00000011 00000011 00000011 00000010 00000011* +L0039200 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039264 00000011 00000001 00000011 00000001 00000011 00000011 00000011 00000011* +L0039328 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* +L0039392 00000011 00000001 00000011 00000011 00000011 00000011 00000011 00000011* L0039456 000000 000000 000000 000000 000000 000000 000000 000000* L0039504 000000 000000 000000 000000 000000 000000 000000 000000* L0039552 000000 000000 000000 000000 000000 000000 000000 000000* L0039600 000000 000000 000000 000000 000000 000000 000000 000000* L0039648 000000 000000 000000 000000 000000 000000 000000 000000* L0039696 000000 000000 000000 000000 000000 000000 000000 000000* -L0039744 00000010 00000000 00000001 00011011 00000000 00000001 00000011 00000010* -L0039808 00000001 00000000 00000000 00011011 00000010 00000011 00000000 00000000* -L0039872 00000001 00000000 00000000 00001001 00000000 00000001 00000000 00000001* -L0039936 00000001 00000000 00000000 00001001 00000000 00000001 00000000 00000001* -L0040000 10000001 00000000 00000001 10001011 00000000 00000001 00000000 00000001* -L0040064 00000001 00000000 00000000 00001011 10000000 00000000 00000001 00000001* -L0040128 00000001 00000000 00000001 00001001 10000000 00000001 00000001 00000001* -L0040192 00000001 00000000 00000010 00001011 00000000 00000010 00000001 00000001* -L0040256 00000001 00000001 00000011 00001011 00000000 00000011 00000001 00000011* +L0039744 00000000 00000000 00000001 00000011 00000011 00000011 00000000 00000011* +L0039808 00000000 00000000 00000000 00000011 00010011 00000011 00000011 00000011* +L0039872 00000000 00000000 00000000 00000011 00010011 00000011 00000000 00000011* +L0039936 00000000 00000000 00000000 00000011 00000011 00000011 00000001 00000011* +L0040000 00000000 00000000 00000000 00000011 00000011 00000011 00000010 00000011* +L0040064 00000000 00000000 00000000 00000011 10000011 00000010 00000010 00000011* +L0040128 00000001 00000000 00000000 00000001 10100011 00000011 00000001 00000001* +L0040192 00000000 00000000 00000010 00000011 01100111 00000011 00000011 00000011* +L0040256 00000001 00000000 00000011 00000011 01000111 00000011 00000011 00000011* L0040320 000000 000000 000000 000000 000000 000000 000000 000000* -L0040368 000000 000000 000000 000000 000000 000000 000000 000000* -L0040416 000000 000000 000000 000000 000000 000000 000000 000000* -L0040464 000000 000000 000000 000010 000000 000000 000000 000000* +L0040368 000000 000000 000000 100010 000000 000000 000000 000000* +L0040416 000000 000000 000000 000010 000000 000000 000000 000000* +L0040464 000000 000000 000000 000000 000000 000000 000000 000000* L0040512 000000 000000 000000 000000 000000 000000 000000 000000* -L0040560 000001 000000 000000 000011 000000 000000 000000 000000* -L0040608 00000010 00000000 00000000 10000010 00000000 00000000 00000010 00000010* -L0040672 00000000 00000000 00000000 00000011 00000000 00000011 00000000 00000000* -L0040736 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000001* -L0040800 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0040864 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0040928 00000001 00000000 00000000 00010011 00000000 00000000 00000001 00000000* -L0040992 00000001 00000000 00000001 00010001 00000000 00000001 00000001 00000001* -L0041056 00000001 00000001 00000000 00000001 00000000 00000000 00000001 00000001* -L0041120 00000001 00000001 00000011 00000001 00000000 00000011 00000001 00000001* -L0041184 000000 000000 000000 000000 000000 000000 000000 000000* -L0041232 000000 000000 000000 010000 000000 000000 000000 000000* -L0041280 000000 000000 000000 000000 000000 000000 000000 000000* -L0041328 000000 000000 000000 000001 000000 000000 000000 000000* +L0040560 000000 000000 000000 000000 000000 000000 000000 000000* +L0040608 00000000 00000000 00000000 00000110 10000011 00000001 00000000 00000011* +L0040672 00000000 00000000 00000000 00000110 11000010 00000011 00000000 00000010* +L0040736 00000000 00000000 00000000 00000011 00000010 00000011 00000000 00000011* +L0040800 00000000 00000000 00000000 00000011 00100010 00000011 00000000 00000011* +L0040864 00000000 00000000 00000000 00000011 00000010 00010010 00000000 00000011* +L0040928 00000000 00000000 00000000 00000011 00000010 00010010 00000000 00000011* +L0040992 00000000 00000000 00000000 00000001 00000010 00000011 00000001 00000001* +L0041056 00000001 00000000 00000010 00000011 00000011 00010011 00000001 00000011* +L0041120 00000001 00000000 00000010 00000011 10000001 00010011 00000001 00000011* +L0041184 000000 000000 000000 000000 000000 000100 000000 000000* +L0041232 000000 000000 000000 000000 000000 000000 000000 000000* +L0041280 000000 000000 000000 000000 010000 000000 000000 000000* +L0041328 000000 000000 000000 000000 000000 000000 000000 000000* L0041376 000000 000000 000000 000000 000000 000000 000000 000000* L0041424 000000 000000 000000 000000 000000 000000 000000 000000* -L0041472 00000010 00000000 00000001 00000010 00000011 00000000 00000010 00000001* -L0041536 00000000 00000000 00000001 00000001 00000000 00000001 00000000 00000001* -L0041600 00000000 00000000 00000001 00000001 00000010 00000001 00000000 00000000* -L0041664 00000000 00000000 00000001 00000001 00000011 00000000 00000011 00000000* -L0041728 00000001 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0041792 00000001 00000000 00000001 00000011 00000000 00000000 00000000 00000000* -L0041856 00000001 00000000 00000001 00000011 00000011 00000000 00000001 00000001* -L0041920 00000001 00000000 00000000 00000001 00000011 00000000 00000001 00000001* -L0041984 00000001 00000001 00000011 00000001 00000001 00000011 00000001 00000001* +L0041472 00000000 00000000 00000001 00000000 00000010 00000001 00000011 00000011* +L0041536 00000000 00000000 00000001 00000011 00000010 00000001 00000000 00000010* +L0041600 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000011* +L0041664 00000000 00000000 00000011 00000011 00000010 00000001 00000000 00000011* +L0041728 00000001 00000000 00000011 00000011 00000010 00000000 00000000 00000011* +L0041792 00000001 00000000 00000011 00000011 00000010 00000010 00000001 00000001* +L0041856 00000000 00000000 00000011 00000001 00000010 00000011 00000011 00000001* +L0041920 00000000 00000000 00000010 00000011 00000011 00000011 00000001 00000011* +L0041984 00000000 00000000 00000010 00000011 00000001 00000011 00000001 00000011* L0042048 000000 000000 000000 000000 000000 000000 000000 000000* L0042096 000000 000000 000000 000000 000000 000000 000000 000000* L0042144 000000 000000 000000 000000 000000 000000 000000 000000* L0042192 000000 000000 000000 000000 000000 000000 000000 000000* L0042240 000000 000000 000000 000000 000000 000000 000000 000000* L0042288 000000 000000 000000 000000 000000 000000 000000 000000* -L0042336 00000010 00000000 00000000 00000010 11111100 00000000 00000000 00000000* -L0042400 00000000 00000000 00000000 00000001 00000000 00000001 00000000 00000000* -L0042464 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0042528 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0042592 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000000* -L0042656 00000001 00000000 00000000 00000001 00100100 00100000 00000000 00000000* -L0042720 00000001 00000000 00000001 00000001 00000000 00000000 00000000 00000001* -L0042784 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000001* -L0042848 00000001 00000000 00000011 00000001 00000000 00000011 00000001 00000001* +L0042336 00000000 00000000 00000000 00000000 00000010 00000001 00000000 00000011* +L0042400 00000000 00000000 00000000 00000010 00000010 00000001 00000000 00000010* +L0042464 00000000 00000000 00000000 00000011 00000010 00000000 00000000 00000011* +L0042528 00000000 00000000 00000000 00000011 00000010 00000001 00000000 00000011* +L0042592 00000000 00000000 00000000 00000011 00000000 00000000 00000000 00000011* +L0042656 01000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0042720 00000000 00000000 00000000 00000001 00000010 00000001 00000000 00000001* +L0042784 00000000 00000000 00000000 00000011 00000001 00000010 00000001 00000011* +L0042848 00000000 00000000 00000010 00000011 00000001 00000011 00000001 00000011* L0042912 000000 000000 000000 000000 000000 000000 000000 000000* -L0042960 000000 000000 000000 000000 100001 000000 000000 000000* +L0042960 000000 000000 000000 000000 000000 000000 000000 000000* L0043008 000000 000000 000000 000000 000000 000000 000000 000000* -L0043056 000000 000000 000000 000000 000000 000001 000000 000000* +L0043056 000000 000000 000000 000000 000000 000000 000000 000000* L0043104 000000 000000 000000 000000 000000 000000 000000 000000* L0043152 000000 000000 000000 000000 000000 000000 000000 000000* -L0043200 00000000 00000000 00000000 00000010 00000000 00000000 00000010 00000011* -L0043264 00000000 00000000 00000010 00000010 00000010 00000000 00000010 00000001* -L0043328 00000000 00000000 00000000 00000000 00000010 00000000 00000000 00000011* -L0043392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0043456 00000010 00000000 00000010 00000010 00000000 00000000 00000010 00000011* -L0043520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043200 00000000 00000000 00000001 00000011 00000000 00000000 00000000 00000000* +L0043264 00000000 00000000 00000010 00000000 00000010 00000011 00000000 00000000* +L0043328 00000000 00000000 00000010 00000000 00000010 00000010 00000000 00000000* +L0043392 00000011 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0043456 00000000 00000000 00000001 00000011 00000000 00000010 00000000 00000010* +L0043520 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0043584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0043712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -855,43 +855,43 @@ L0043872 000000 000000 000000 000000 000000 000000 000000 000000* L0043920 000000 000000 000000 000000 000000 000000 000000 000000* L0043968 000000 000000 000000 000000 000000 000000 000000 000000* L0044016 000000 000000 000000 000000 000000 000000 000000 000000* -L0044064 00000000 00000000 00000001 00000011 00000001 00000000 00000010 00000001* -L0044128 00000010 00000000 00000001 00000001 00000001 00000000 00000000 00000001* -L0044192 00000010 00000000 00000001 00000011 00000001 00000000 00000010 00000001* -L0044256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044320 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000000* -L0044384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0044640 000000 000000 000000 000000 000000 000000 000000 000000* +L0044064 00000000 00000000 00000011 00000001 10000011 00000010 00000000 00000011* +L0044128 00000001 00000000 00000001 00000001 11000001 00000001 00000000 00000001* +L0044192 00000001 00000000 00000011 00000001 11000001 00000011 00000000 00000001* +L0044256 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* +L0044320 00000001 00000000 00000000 01000010 11100010 00000011 00000000 00000010* +L0044384 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0044448 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0044512 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* +L0044576 00000000 00000000 00000000 10001000 10100000 00000000 00000000 00000000* +L0044640 000000 000000 000000 100010 100001 000000 000000 000000* L0044688 000000 000000 000000 000000 000000 000000 000000 000000* -L0044736 000000 000000 000000 000000 000000 000000 000000 000000* -L0044784 000000 000000 000000 000000 000000 000000 000000 000000* -L0044832 000000 000000 000000 000000 000000 000000 000000 000000* -L0044880 000000 000000 000000 000000 000000 000000 000000 000000* -L0044928 00000000 00000000 00000010 00000011 00000000 00000010 00000000 00000000* -L0044992 00000010 00000000 00000010 00000001 00000010 00000010 00000000 00000000* -L0045056 00000010 00000000 00000010 00000010 00000000 00000000 00000000 00000000* -L0045120 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0045184 00000000 00000000 00000010 00000011 00000010 00000010 00000000 00000000* -L0045248 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0044736 000000 000000 000000 000000 010000 000000 000000 000000* +L0044784 000000 000000 000000 000000 010000 000000 000000 000000* +L0044832 000000 000000 000000 000000 010000 000000 000000 000000* +L0044880 000000 000000 000000 000010 000000 000000 000000 000000* +L0044928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0044992 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000010* +L0045056 00000000 00000000 00000000 00000010 00000010 00000000 00000010 00000010* +L0045120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000001* +L0045184 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000000* +L0045248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0045504 000000 000000 000000 000000 000000 000000 000000 000000* -L0045552 000000 000000 000000 100000 000000 000000 000000 000000* +L0045552 000000 000000 000000 000000 000000 000000 000000 000000* L0045600 000000 000000 000000 000000 000000 000000 000000 000000* L0045648 000000 000000 000000 000000 000000 000000 000000 000000* L0045696 000000 000000 000000 000000 000000 000000 000000 000000* L0045744 000000 000000 000000 000000 000000 000000 000000 000000* -L0045792 00000010 00000000 00000010 00000010 00000000 00000010 00000010 00000011* -L0045856 00000011 00000000 00000011 00000011 00000010 00000000 00000000 00000001* -L0045920 00000011 00000000 00000001 00000011 00000000 00000010 00000010 00000001* +L0045792 00000010 00000000 00000011 00000000 00000000 00000001 00000010 00000010* +L0045856 00000010 00000000 00000001 00000001 00000001 00000011 00000010 00000001* +L0045920 00000010 00000000 00000001 00000001 00000000 00000011 00000000 00000011* L0045984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046048 00000010 00000000 00000010 00000010 00000010 00000010 00000000 00000011* +L0046048 00000010 00000000 00000011 00000010 00000001 00000011 00000010 00000010* L0046112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046176 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0046176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0046368 000000 000000 000000 000000 000000 000000 000000 000000* @@ -900,148 +900,148 @@ L0046464 000000 000000 000000 000000 000000 000000 000000 000000* L0046512 000000 000000 000000 000000 000000 000000 000000 000000* L0046560 000000 000000 000000 000000 000000 000000 000000 000000* L0046608 000000 000000 000000 000000 000000 000000 000000 000000* -L0046656 00000010 00000000 00000010 00000001 00000000 00000000 00000000 00000000* -L0046720 00000000 00000000 00000000 00000010 00000010 00000000 00000000 00000000* -L0046784 00000000 00000000 00000000 00000011 00000010 00000000 00000010 00000000* +L0046656 00000000 00000000 10000011 00000001 00000000 00000010 00000000 00000000* +L0046720 00000000 00000000 00000000 00000010 00000010 00010000 00000010 00000000* +L0046784 00000000 00000000 00000001 00000011 00000010 00000000 00000000 00000000* L0046848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0046912 00000000 00000000 00000010 00000011 00000000 00000000 00000000 00000000* -L0046976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0046912 00000010 00000000 00000011 00000001 00000000 00000000 00000000 00000000* +L0046976 00001000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* L0047040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0047104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0047168 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* L0047232 000000 000000 000000 000000 000000 000000 000000 000000* -L0047280 000000 000000 000000 000000 000000 000000 000000 000000* -L0047328 000000 000000 000000 000000 000000 000000 000000 001000* -L0047376 000000 000000 000000 000000 000000 000000 000000 000000* +L0047280 000000 000000 000001 000000 000000 000000 000000 000000* +L0047328 000000 000000 001000 000010 000000 000000 000000 000000* +L0047376 000000 000000 000000 100010 000000 000000 000000 000000* L0047424 000000 000000 000000 000000 000000 000000 000000 000000* L0047472 000000 000000 000000 000000 000000 000000 000000 000000* -L0047520 00000000 00000000 00000001 00000010 00000010 00000000 00000000 00000001* -L0047584 00000000 00000000 00000001 00000000 00000000 00000010 00000001 00000001* -L0047648 00000000 00000000 00000001 00000000 00000010 00000010 00000001 00000001* -L0047712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047776 00000000 00000000 00000001 00000010 00000000 00000010 00000001 00000001* +L0047520 00000000 00000000 00000010 00000010 00000010 00000000 00000001 00000001* +L0047584 00000000 00000000 00000000 00010100 00000000 00000001 00000000 00000001* +L0047648 00000000 00000000 00000000 00001010 00000011 00000001 00000000 00000001* +L0047712 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* +L0047776 00000000 00000000 00000010 01001100 00000001 00000011 00000001 00000001* L0047840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0047968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0048096 000000 000000 000000 000000 000000 000000 000000 000000* -L0048144 000000 000000 000000 000000 000000 000000 000000 100000* +L0047904 00000000 00000000 00000000 11011000 00000000 00000000 00000000 00000000* +L0047968 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00000000* +L0048032 00000000 00000000 00000000 11000000 00000000 00000000 00000000 00000000* +L0048096 000000 000000 000000 010010 000000 000000 000000 000000* +L0048144 000000 000000 000000 000001 000000 000000 000000 000000* L0048192 000000 000000 000000 000000 000000 000000 000000 000000* L0048240 000000 000000 000000 000000 000000 000000 000000 000000* -L0048288 000000 000000 000000 000000 000000 000000 000000 000000* -L0048336 000000 000000 000000 000000 000000 000000 000000 000000* -L0048384 00000000 00000010 00000010 00001001 00000000 00000000 00000010 00000010* -L0048448 00000001 00000000 00000000 00001000 00000010 00000010 00000010 00000000* -L0048512 00000001 00000000 00000000 00001000 00000000 00000010 00000000 00000000* -L0048576 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0048640 00000000 00000000 00000010 00000001 00000010 00000010 00000010 00000010* -L0048704 00000000 00000000 00000100 00001000 00000000 00000000 00000000 00100000* -L0048768 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0048832 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0048896 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0048960 000000 000000 000000 000000 000000 000000 000000 000000* -L0049008 000000 000000 000000 000000 000000 000000 000000 010000* -L0049056 000000 000000 000000 000000 000000 000000 000000 000000* -L0049104 000000 000000 000000 000010 000000 000000 000000 000000* -L0049152 000000 000000 000000 000000 000000 000000 000000 000000* -L0049200 000000 000000 000000 000000 000000 000000 000000 000000* -L0049248 00000000 00000000 00000011 00000000 00000000 00000000 00000010 00000001* -L0049312 00000010 00000000 00000001 00000000 00000010 00000010 00000000 00000001* -L0049376 00000000 00000000 00000001 10000000 00000000 00000010 00000000 00000001* -L0049440 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0049504 00000000 00000000 00000011 00000000 00000010 00000011 00000010 00000001* -L0049568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0048288 000000 000000 000000 000001 000000 000000 000000 000000* +L0048336 000000 000000 000000 100101 000000 000000 000000 000000* +L0048384 00000000 00000000 00000010 01000000 00000001 00000000 00000010 00110000* +L0048448 00000010 00000000 00010000 01000000 00000010 00100001 00000010 00010000* +L0048512 00000010 00000000 00000000 01001000 00000001 00000001 00000000 00010000* +L0048576 00000000 00000000 00000000 01001001 00000000 00010000 00000000 00010001* +L0048640 00000011 00000000 00000010 00001000 00000011 00000010 00000010 00010100* +L0048704 00000000 00000000 00000100 00000000 00000000 10000000 00000000 00100100* +L0048768 00000000 00000000 00000000 01000000 00000000 01000000 00000000 00100000* +L0048832 00000000 00000000 00100000 01000000 00000000 01000000 00000000 00100000* +L0048896 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00100000* +L0048960 000000 000000 000000 010000 000000 000000 000000 001000* +L0049008 000000 000000 000000 000100 000000 000000 000000 010000* +L0049056 000000 000000 000000 000101 000000 000000 000000 000000* +L0049104 000000 000000 000000 000101 000000 000000 000000 000000* +L0049152 000000 000000 000000 001101 000000 000000 000000 001000* +L0049200 000000 000000 000000 000101 000000 000000 000000 001000* +L0049248 00000000 00000000 00000010 00000010 00000001 00100000 00000010 00000011* +L0049312 00000000 00000000 00000000 00000001 00000011 00000001 00000000 00000001* +L0049376 00000000 00000000 00000000 00000011 00000000 00000001 00000010 00000011* +L0049440 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0049504 00000001 00000000 00000010 00000001 00000010 00000011 00000000 10000001* +L0049568 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* L0049632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0049696 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0049760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0049824 000000 000000 000000 000000 000000 000000 000000 000000* -L0049872 000000 000000 000000 010000 010000 000000 000000 000000* -L0049920 000000 000000 000000 010000 000000 000000 000000 000000* -L0049968 000000 000000 000000 010000 000000 000000 000000 000000* -L0050016 000000 000000 000000 010100 000000 000000 000000 000000* -L0050064 000000 000000 001000 000000 000000 000000 000000 000000* -L0050112 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000011* -L0050176 00000000 00000000 00000000 00000000 00000000 00000010 00000000 00000010* -L0050240 00000000 00000000 00000000 00000010 00000000 00000010 00000001 00000011* -L0050304 00000010 00000000 00000010 00000000 00000010 00000000 00000000 00000000* -L0050368 00000000 00000000 00000000 00000010 00000000 00000010 00000000 00000011* -L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0049824 000000 000000 000000 000000 000000 000000 000000 000010* +L0049872 000001 000000 000000 010000 010000 000000 000000 000000* +L0049920 000001 000000 000000 010000 000000 010000 000000 000000* +L0049968 000000 000000 000000 010000 000000 010000 000000 000000* +L0050016 000000 000000 000000 000000 000000 010000 000000 000000* +L0050064 000000 000000 000000 000000 000000 000000 000000 100000* +L0050112 00000010 00000000 00000000 00000011 00000000 00000000 00000001 00000011* +L0050176 00000010 00000000 00000000 00000000 00000000 00000000 00000000 00000010* +L0050240 00000010 00000000 00000000 00000011 00000000 00000000 00000001 00000011* +L0050304 00000000 00000000 00000010 00000000 00000010 00000000 00000000 00000000* +L0050368 00000010 00000000 00000000 00000010 00000000 00000010 00000000 00000010* +L0050432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0050688 000000 000000 000000 000000 000000 000000 000000 000000* L0050736 000000 000000 000000 000000 000000 000000 000000 010000* -L0050784 000001 000000 000000 000000 000000 000000 000000 000000* +L0050784 000000 000000 000000 000000 000000 000000 000000 000001* L0050832 000000 000000 000000 000000 000000 000000 000000 000000* L0050880 000000 000000 000000 000000 000000 000000 000000 000000* L0050928 000000 000000 000000 000000 000000 000000 000000 000000* -L0050976 00000010 00000000 00000010 00000000 00000000 00000000 00000010 00000000* -L0051040 00000000 00000000 00000001 00000000 00000010 00000010 00000010 00000000* -L0051104 00000010 00000000 00000011 00000000 00000000 00000010 00000000 00000000* -L0051168 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* -L0051232 00000010 00000000 00000000 00000000 00000010 00000010 00000010 00000000* +L0050976 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000000* +L0051040 00000010 00000000 00000001 00000001 00000001 00000000 00000010 00000011* +L0051104 00000010 00000000 00000001 00000011 00000010 00000000 00000000 00000001* +L0051168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0051232 00000010 00000000 00000010 00000000 00000010 00000011 00000010 00000010* L0051296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0051360 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0051360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0051552 000000 000000 000000 000000 000000 000000 000000 000000* L0051600 000000 000000 000000 000000 000000 000000 000000 000000* L0051648 000000 000000 000000 000000 000000 000000 000000 000000* -L0051696 000001 000000 000000 000000 000000 000000 000000 000000* -L0051744 000000 000000 000000 000000 000000 000000 000000 000000* +L0051696 000000 000000 000000 000000 000000 000000 000000 000000* +L0051744 000000 000000 000000 000000 000000 000000 000000 000001* L0051792 000000 000000 000000 000000 000000 000000 000000 000000* -L0051840 00000000 00000000 00000000 00010000 00000010 00000000 00000001 00000101* -L0051904 00000000 00000000 00000000 00010000 00000000 00000010 00000000 00000001* -L0051968 00000000 00000000 00000000 00000000 00000000 00000010 00000001 00000001* +L0051840 00000000 00000000 00000010 00010000 00000000 00000000 00000010 00000001* +L0051904 00000000 00000000 00000000 00010000 00000000 00000010 00000010 00000000* +L0051968 00000000 00000001 00000001 00000001 00000011 00000010 00000000 00000001* L0052032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052096 10000010 00000000 00000000 10001010 00000010 00000010 00000001 00000001* -L0052160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0052096 00000000 00000001 00000010 00000000 00000000 00000010 00000000 00000011* +L0052160 00000000 00000000 00000000 00000000 00001000 00000000 00000000 00000000* +L0052224 00000000 00000000 00000000 00000000 00010000 00001000 00000000 01000000* +L0052288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0052352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052416 000000 000000 000000 000000 000000 000000 000000 000000* -L0052464 000000 000000 000000 000000 000000 000000 000000 000000* -L0052512 000000 000000 000000 000000 000000 000000 000000 000000* -L0052560 000000 000000 000000 000000 000000 000000 000000 000001* +L0052416 000000 000000 000000 001000 000000 000000 000000 110000* +L0052464 000000 000000 000000 000000 000010 000000 000000 000000* +L0052512 000000 000000 000001 000000 001100 000000 000000 000000* +L0052560 000000 000000 000000 000000 000000 000000 000000 000010* L0052608 000000 000000 000000 000000 000000 000000 000000 000000* -L0052656 000001 000000 000000 000011 000000 000000 000000 000000* -L0052704 00000001 00000000 00000010 00000010 00000000 00000000 00000000 00000010* -L0052768 00000000 00000010 00010000 00000000 00000000 00000000 00000001 10000010* -L0052832 00000001 00000010 00000000 00000010 00000001 00000000 00000001 10000010* -L0052896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0052960 00000011 00000010 00000010 00000010 00000000 00000000 00000001 00000010* -L0053024 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00001000* -L0053088 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00001100* -L0053152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0053216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* -L0053280 000000 000000 000000 000000 000000 000000 000000 000001* -L0053328 000000 000000 000000 000000 000000 000000 000000 000000* -L0053376 000000 000000 000000 000000 000000 000000 000000 000000* -L0053424 000000 000000 000000 010000 000000 000000 000000 000000* -L0053472 000000 000000 001000 000000 000000 000000 000000 000001* -L0053520 000000 000000 000000 000000 000000 000000 000000 000001* -L0053568 00000000 00000010 00000010 00000000 00000000 00000001 00000000 00000011* -L0053632 00000001 00000010 00000001 00000001 00000010 00000011 00000011 00000001* -L0053696 00000001 00000000 00000001 00000001 00000000 00000010 00000011 00000011* +L0052656 000000 000000 000000 000000 000000 000000 000000 000010* +L0052704 00000001 00000000 00000000 01000000 00000000 00000010 00000000 00010010* +L0052768 00000010 00000000 00000000 01000000 00000000 00000001 00000000 00010010* +L0052832 00000011 00000000 00000000 01001000 00000000 00000011 00000000 00010010* +L0052896 00000000 00000000 00000000 01001100 00000000 00000000 00000000 00010000* +L0052960 00000011 00000000 00000010 00001000 00000000 00000011 00000000 00010110* +L0053024 00000000 00000000 00000000 00010000 00010000 00000000 00000000 10000100* +L0053088 00000000 00000000 00000000 01010000 00001000 00000000 00000000 00000000* +L0053152 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00100000* +L0053216 00000000 00000000 00000000 01000100 00000000 00000000 00000000 00000000* +L0053280 000000 000000 000000 010000 000000 000000 000000 000000* +L0053328 000000 000000 000000 000100 001100 000000 000000 000000* +L0053376 000000 000000 000000 000101 000010 000000 000000 000000* +L0053424 000000 000000 000000 010101 000000 000000 000000 000000* +L0053472 000000 000000 000000 000101 000000 000000 000000 000000* +L0053520 000000 000000 000000 000101 000000 000000 000000 000000* +L0053568 00000000 00000000 00000010 00000010 00000000 00000000 00000010 00000000* +L0053632 00000010 00000000 00000001 00000001 00000011 00000001 00000010 00000011* +L0053696 00000010 00000000 00000001 00000010 00000000 00000001 00000000 00000001* L0053760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053824 00000010 00000010 00000010 00000000 00000011 00000001 00000011 00000011* -L0053888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0053952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054144 000000 000000 000000 000000 000000 000000 000000 000000* +L0053824 00000000 00000000 00000010 00000011 00000011 00000011 00000011 00000010* +L0053888 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0053952 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0054016 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0054080 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0054144 000000 000000 000000 000010 000000 000000 000000 000000* L0054192 000000 000000 000000 000010 000000 000000 000000 000000* -L0054240 000000 000000 000000 000010 000000 000000 000000 000000* -L0054288 000000 000000 000000 000010 000000 000000 000000 000000* -L0054336 000000 000000 000000 000000 000000 000000 000000 000000* -L0054384 000000 000000 000000 000000 000000 000000 000000 000000* -L0054432 00000001 00000000 00000010 00000010 00000010 00000001 00000000 00000010* -L0054496 00000001 00000000 00000000 00000000 00000000 00000011 00000000 00000000* -L0054560 00000001 00000001 00000000 00000010 00000010 00000010 00000000 00000000* +L0054240 000000 000000 000000 000000 000000 000000 000000 000000* +L0054288 000000 000000 000000 000000 000000 000000 000000 000000* +L0054336 000000 000000 000000 000010 000000 000000 000000 000000* +L0054384 000000 000000 001000 000010 000000 000000 000000 000000* +L0054432 00000000 00000000 00000010 00000000 00000001 00000000 00000001 00000000* +L0054496 00000000 00000000 00000000 00000010 00000001 00000001 00000001 00000000* +L0054560 00000000 00000000 00000000 00000010 00000010 00000001 00000000 00000000* L0054624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0054688 00000011 00000001 00000010 00000010 00000000 00000011 00000000 00000010* -L0054752 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0054816 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0054688 00000000 00000000 00000010 00000010 00000011 00000001 00000000 00000010* +L0054752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0054816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0054944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0055008 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1050,333 +1050,333 @@ L0055104 000000 000000 000000 000000 000000 000000 000000 000000* L0055152 000000 000000 000000 000000 000000 000000 000000 000000* L0055200 000000 000000 000000 000000 000000 000000 000000 000000* L0055248 000000 000000 000000 000000 000000 000000 000000 000000* -L0055296 00000000 00000001 00000011 00000010 00000001 00000000 00000010 00000010* -L0055360 00000000 00000001 00000001 00000010 00000001 00000000 00000110 00001000* -L0055424 00000000 00000000 00000010 00000010 00000010 00000010 00000100 00000000* -L0055488 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0055552 00000010 00000001 00000011 00000010 00000001 00000011 00000010 00000010* -L0055616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055680 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* -L0055744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0055296 00000000 00000000 00000010 00000010 00000010 00000000 00000000 00000000* +L0055360 00000001 00000000 00000000 00000010 00000010 00100001 00000000 00000000* +L0055424 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* +L0055488 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00001000* +L0055552 00000000 00000000 00000010 00000010 00000000 00000001 00000001 00000010* +L0055616 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0055680 00000000 00000000 00000000 00000000 00000100 01000000 00000000 00000000* +L0055744 00000000 00000000 00000000 00000000 00000000 11000000 00000000 00000000* L0055808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0055872 000000 000000 000000 000000 000000 000000 000001 000000* +L0055872 000000 000000 000000 000000 000000 000000 000000 000000* L0055920 000000 000000 000000 000000 000000 000000 000000 000000* -L0055968 000000 000000 000000 000000 000000 000000 000000 000000* +L0055968 000000 000000 000000 000000 000000 000000 000000 000100* L0056016 000000 000000 000000 000000 000000 000000 000000 000000* -L0056064 000000 000000 000000 000000 000000 000000 000000 000000* +L0056064 000000 000000 000000 000000 000000 010000 000000 000000* L0056112 000000 000000 000000 000000 000000 000000 000000 000000* -L0056160 00000010 00000000 00000000 00000010 00000000 00000000 00000010 00000001* -L0056224 00000000 00000010 00000000 00000000 00000010 00000010 00000011 00000000* -L0056288 00000010 00000000 00000000 00000010 00000000 00000010 00000001 00000011* +L0056160 00000000 00000010 00000010 00000001 01000000 00100000 00001010 00000001* +L0056224 00000010 00000000 00000000 00000010 00000000 00000000 00001010 00001001* +L0056288 00000000 00000010 00000000 00000001 00000011 00000000 00000000 00001001* L0056352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0056416 00000010 00000000 00000010 00000010 00000010 00000010 00000011 00000011* +L0056416 00000000 00000010 00000010 00000011 00000010 00000010 00000010 00000001* L0056480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0056736 000000 000000 000000 000000 000000 000000 000000 000000* -L0056784 000000 000000 000000 000000 000000 000000 000011 000000* -L0056832 000000 000000 000000 000000 000000 000000 000000 000000* -L0056880 000000 000000 000000 000000 000000 000000 000000 000000* +L0056784 000000 000000 000000 000000 000000 000000 100000 000000* +L0056832 000000 000000 000000 000000 000000 010000 000000 000000* +L0056880 000000 000000 000000 000000 000000 010000 000000 000000* L0056928 000000 000000 000000 000000 000000 000000 000000 000000* L0056976 000000 000000 000000 000000 000000 000000 000000 000000* -L0057024 00001000 00000000 00000000 00000010 00000000 00000000 00000010 00000001* -L0057088 00000000 00000000 00000010 00000000 00000010 00000001 00000010 00000001* -L0057152 00000000 00000000 00000010 00000010 00000000 00000001 00000001 00000011* -L0057216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057280 00000010 00000000 00000000 00000010 00000010 00000001 00000010 00000011* -L0057344 00001000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057472 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0057600 000000 000000 000000 000000 000000 000000 000000 000000* -L0057648 000000 000000 000000 000000 000000 000000 000000 000000* -L0057696 000000 000000 000000 000000 000000 000000 000000 000000* -L0057744 000110 000000 000000 000000 000000 000000 000000 000000* -L0057792 000000 000000 000000 000000 000000 000000 000000 000000* -L0057840 000000 000000 000000 000000 000000 000000 000000 000000* -L0057888 10000000 00000000 00000000 00000011 00000000 00000000 00000011 00000010* -L0057952 00001000 00000000 00000000 00000001 00000010 00000011 00000011 00000000* -L0058016 00000000 00000000 00000010 00000010 00000001 00000011 00000000 00000000* -L0058080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058144 00000010 00000000 00000010 00000011 00000010 00000011 00000011 00000010* -L0058208 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0058272 00001000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* -L0058336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0058464 000000 000000 000000 000000 000000 000000 000000 000000* -L0058512 000100 000000 000000 100000 000000 000000 000000 000000* -L0058560 000000 000000 000000 000000 000000 000000 000000 000000* -L0058608 000000 000000 000000 000000 000000 000000 000000 000000* -L0058656 000000 000000 000000 000000 000000 000000 000000 000000* -L0058704 000000 000000 000000 000000 000000 000000 000000 000000* -L0058752 00000000 00000000 00000011 00000000 00000000 00000010 00000011 00001010* -L0058816 00000000 00000001 00000000 00000000 00000001 00000010 00000000 10000000* -L0058880 00000000 00000000 00000000 00000000 00000001 00000010 00000001 00000110* -L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059008 00000000 00000000 00000011 00000000 00000000 00000011 00000010 00000000* -L0059072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059328 000000 000000 000000 000000 000000 000000 000000 000000* -L0059376 000000 000000 000000 000000 000000 000000 000000 000100* -L0059424 000000 000000 001000 000000 000000 000000 000000 000000* -L0059472 000000 000000 000000 000000 000000 000000 000000 000000* -L0059520 000000 000000 000000 000000 000000 000000 000000 000000* -L0059568 000000 000000 000000 000000 000000 000000 000000 000000* -L0059616 00000000 00000000 00000000 00000010 00000000 00000001 00000000 00000000* -L0059680 00000000 00000000 00000000 00000010 00000000 00000000 00000000 00000000* -L0059744 00000000 00000000 00000000 00000000 00000000 00000001 00000001 10000000* +L0057024 00000000 00000000 00000010 01010110 00000000 00000000 00000010 00100000* +L0057088 00000010 00000000 00000010 01000001 00000001 00000001 00000010 00010000* +L0057152 00000010 00000000 00000010 01000111 00000010 00100001 00000001 00010010* +L0057216 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0057280 00000000 00000000 00000010 00000011 00000011 00000011 00000010 00000000* +L0057344 00000000 00000000 00000000 10011000 00000000 01000000 00000000 00100000* +L0057408 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0057472 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00100000* +L0057536 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00100000* +L0057600 000000 000000 000000 100001 000000 000000 000000 000000* +L0057648 000000 000000 000000 010100 000000 010000 000000 010000* +L0057696 000001 000000 000000 010101 000000 000000 000000 000000* +L0057744 000000 000000 000000 010101 000000 000000 000000 010000* +L0057792 000000 000000 000000 100100 100000 000000 000000 001000* +L0057840 000000 000000 000000 000010 000000 000000 000000 000000* +L0057888 00000000 00000000 00000010 00000011 10000001 00000000 00000011 00000001* +L0057952 00000010 00000000 00000000 00000011 11110010 00000001 00000011 00000101* +L0058016 00000010 00000000 00000000 00000000 11110000 00000001 00000000 00000010* +L0058080 00000000 00000000 00000000 00000000 11100000 00000000 00000000 01000000* +L0058144 00000010 00000000 00000010 00000011 11100011 00000011 00000011 00000011* +L0058208 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0058272 00000000 00000000 00000000 00000000 00100000 01000000 00000000 00000000* +L0058336 00000000 00000000 00000000 00000000 11100100 00000000 00000000 00000100* +L0058400 00000000 00000000 00000000 00110000 11100100 00000000 00000000 00000000* +L0058464 000000 000000 000000 000000 100001 000000 000000 000000* +L0058512 000000 000000 000000 000000 000000 000000 000000 000100* +L0058560 000000 000000 000000 000010 010000 010000 000000 000000* +L0058608 000001 000000 000000 100010 010000 000000 000000 000100* +L0058656 000000 000000 000000 000000 010001 000000 000000 000000* +L0058704 000000 000000 000000 000000 100001 000000 000000 000000* +L0058752 00000000 00000000 00000011 00000001 00000010 00000000 00000001 11010010* +L0058816 00000000 00000000 00000000 00000001 00000000 00000000 00000000 10010000* +L0058880 00000000 00000000 00000011 00000001 00000010 00000000 00000000 11010010* +L0058944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* +L0059008 00000001 00000000 00000000 00000001 00000000 00000000 00000000 11011001* +L0059072 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00101000* +L0059136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01101000* +L0059200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* +L0059264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* +L0059328 000000 000000 000000 000000 000000 000000 000000 111011* +L0059376 000000 000000 000000 000000 000000 000000 000000 100000* +L0059424 000000 000000 000000 000000 000000 000000 000000 100000* +L0059472 000000 000000 000000 000000 000000 000000 000000 101110* +L0059520 000000 000000 000000 000000 000000 000000 000000 101110* +L0059568 000000 000000 000000 000000 000000 000000 000000 101111* +L0059616 00000000 00000000 00000000 00000000 00000000 00000000 00000001 00000100* +L0059680 00000000 00000000 00000011 00000010 00000000 00000000 00000000 00000001* +L0059744 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000001* L0059808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0059872 00000000 00000000 00000000 00000010 00000000 00000001 00000001 00000100* -L0059936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0059872 00000000 00000000 00000011 00000010 00000000 00000000 00000000 00000001* +L0059936 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0060000 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* -L0060064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0060192 000000 000000 000000 000000 000000 000000 000000 000001* -L0060240 000000 000000 000000 000000 000000 001000 000000 000000* -L0060288 000000 000000 000000 000000 000000 000000 000000 000001* -L0060336 000000 000000 000000 000000 000000 001000 000000 000000* -L0060384 000000 000000 000000 000000 000000 001000 000000 000000* -L0060432 000000 000000 000000 000000 000000 001000 000000 000000* -L0060480 00000001 00000001 00000000 00000000 00000001 00000000 00000000 00000001* -L0060544 00000000 00000000 00000001 00000001 00000000 00000000 00000001 00000000* -L0060608 00000001 00000000 00000001 00000001 00000000 00000000 00000001 00000001* +L0060064 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0060128 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0060192 000000 000000 000000 000000 000000 000000 000000 000000* +L0060240 000000 000000 000000 000000 000000 000000 000000 001000* +L0060288 000000 000000 000000 000000 000010 000000 000000 001000* +L0060336 000001 000000 000000 000000 000000 000000 000000 000000* +L0060384 000000 000000 000000 000000 000000 000000 000000 000000* +L0060432 000000 000000 000000 000000 000000 000000 000000 000000* +L0060480 00000000 00000000 00000000 00000001 00000000 00000000 00000000 00000001* +L0060544 00000010 00000000 00000001 00000001 00000000 00000000 00000001 00000011* +L0060608 00000010 00000000 00000001 00000001 00000000 00000000 00000001 00000001* L0060672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060736 00000001 00000001 00000000 00000000 00000001 00000000 00000001 00000001* +L0060736 00000010 00000000 00000000 00000001 00000000 00000001 00000000 00000011* L0060800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0060928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0060992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000000* L0061056 000000 000000 000000 000000 000000 000000 000000 000000* L0061104 000000 000000 000000 000000 000000 000000 000000 000000* L0061152 000000 000000 000000 000000 000000 000000 000000 000000* L0061200 000000 000000 000000 000000 000000 000000 000000 000000* -L0061248 000000 000000 000000 000000 000000 000000 000000 000000* +L0061248 000000 000000 000000 000000 000000 000000 000000 000010* L0061296 000000 000000 000000 000000 000000 000000 000000 000000* -L0061344 00000000 00000000 00000011 00000010 00000001 00000000 00000001 00000010* -L0061408 00000000 00000000 00000001 00000000 00000000 00000000 00000001 00000010* -L0061472 00000000 00000000 00000010 00000010 00000000 00000000 00000000 00000010* -L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061600 00000000 00000000 00000001 00000000 00000001 00000001 00000001 00000010* -L0061664 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* -L0061728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0061792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0061344 00000000 00000000 00000001 01000011 00000000 00000010 00000000 00000011* +L0061408 00000000 00000000 00000000 01000001 00000010 00000010 00000000 00010011* +L0061472 00000000 00000000 00000000 00001010 00000000 00000010 00000000 00000010* +L0061536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0061600 00000000 00000000 00000001 00000001 00000000 00000010 00000000 00000011* +L0061664 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000100* +L0061728 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0061792 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0061856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0061920 000000 000000 000000 000000 000000 000000 000000 000000* -L0061968 000000 000000 000000 000000 000000 000000 000000 000001* -L0062016 000000 000000 000000 000000 000000 000000 000000 000001* +L0061968 000000 000000 000000 000100 000000 000000 000000 000000* +L0062016 000000 000000 000000 000101 000000 000000 000000 000000* L0062064 000000 000000 000000 000000 000000 000000 000000 000000* -L0062112 000000 000000 000000 000000 000000 000000 000000 000000* -L0062160 000000 000000 000000 000000 000000 000000 000000 000000* -L0062208 00000000 00000001 00000000 00011000 00000001 00000000 00000001 01000000* -L0062272 00000001 00000000 00000000 00001001 00000000 00000000 00000000 00000001* -L0062336 00000001 00000000 00000000 00000001 00000000 00000000 00000001 00000001* -L0062400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0062464 00000001 00000001 00000000 00000001 00000001 00000001 00000000 00000001* -L0062528 00000000 00000000 00000000 00011000 00000000 00000000 00000000 00100000* -L0062592 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0062656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0062720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* -L0062784 000000 000000 000000 000000 000000 000000 000000 000000* -L0062832 000000 000000 000000 010000 000000 000000 000000 010001* -L0062880 000000 000000 000000 010000 000000 000000 000000 000000* -L0062928 000000 000000 000000 010000 000000 000000 000000 000000* -L0062976 000000 000000 000000 010000 000000 000000 000000 000000* -L0063024 000000 000000 000000 000000 000000 000000 000000 000001* -L0063072 00000001 00000000 00000001 00100001 00000000 00000001 00000000 00001001* -L0063136 00000011 00000000 00010000 00000010 00000010 00000001 00000000 10000000* -L0063200 00000011 00000000 00000000 00000011 00000010 00000000 00000000 00000101* -L0063264 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* -L0063328 00000001 00000000 00000001 00000100 00000000 00000001 00000001 00000001* -L0063392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0063456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0063520 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000100* -L0063584 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0063648 000000 000000 000000 000110 000000 000000 000000 000000* -L0063696 000000 000000 001000 000001 000000 000000 000000 000100* -L0063744 000000 000000 000000 000000 000000 000000 000000 000000* +L0062112 000000 000000 000000 000001 000000 000000 000000 000000* +L0062160 000000 000000 000000 000100 000000 000000 000000 000000* +L0062208 00000001 00000000 00000001 01010100 00000000 00000100 00000001 10000001* +L0062272 00000000 00000000 00000000 01010101 00000001 00000101 00000001 10000000* +L0062336 00000000 00000000 00000001 01001000 00000000 00000101 00000000 10000001* +L0062400 00000000 00000000 00000000 01001000 00000000 00000100 00000000 10000000* +L0062464 00000000 00000000 00000000 00001001 00000001 00001101 00000001 11000111* +L0062528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001100* +L0062592 00000000 00000000 00000000 01000000 00000000 00100000 00000000 01001000* +L0062656 00000000 00000000 00000000 01100000 00000000 00100000 00000000 11001000* +L0062720 00000000 00000000 00000000 01000000 00000000 00100000 00000000 11001100* +L0062784 000000 000000 000000 011000 000000 000000 000000 110011* +L0062832 000000 000000 000000 000100 000000 000100 000000 100000* +L0062880 000000 000000 000000 000101 000000 000000 000000 100000* +L0062928 000000 000000 000000 000101 000000 000100 000000 110010* +L0062976 000000 000000 000000 100101 000000 000100 000000 100110* +L0063024 000000 000000 000000 100101 000000 000000 000000 100110* +L0063072 00000000 00000000 00000001 00000010 00000001 00000010 00000001 00000001* +L0063136 00000000 00000001 00000001 00000000 00000010 00000010 00000000 00000000* +L0063200 00000000 00000000 00000000 00000010 00000011 00000010 00000001 00000001* +L0063264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0063328 00000001 00000001 00000001 00000010 00000001 00000010 00000000 00000000* +L0063392 00000000 00000000 00000000 10011000 00000000 00000000 00000000 00000000* +L0063456 00000000 00000000 00100000 10011100 00000000 00000000 00000000 00000000* +L0063520 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0063584 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0063648 000000 000000 000000 000000 000000 000000 000000 000000* +L0063696 000000 000000 001000 010000 000100 000000 000000 000000* +L0063744 000000 000000 000000 000000 000000 000001 000000 000000* L0063792 000000 000000 000000 000000 000000 000000 000000 000000* -L0063840 000000 000000 000000 000010 000000 000000 000000 000000* -L0063888 000000 000000 000000 000000 000000 000000 000000 000000* -L0063936 00000000 00000000 00000000 00000000 00000011 00000011 00000000 00000000* -L0064000 00000000 00000000 00000000 00000000 00000001 00000010 00000000 00000000* -L0064064 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* -L0064128 00000001 00000000 00000000 00000000 00000000 00000000 00000000 00000001* -L0064192 00000000 00000000 00000000 00000000 00000011 00000011 00000000 00000000* -L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0064512 000000 000000 000000 000000 000000 000000 000000 000000* +L0063840 000000 000000 000000 000000 000000 001000 000000 001000* +L0063888 000000 000000 000000 000000 000000 000000 000000 001000* +L0063936 00000000 00000000 00000001 00000001 00000001 00000000 00000000 00010000* +L0064000 00000010 00000000 00000001 00000000 00000000 00000001 00000000 00010000* +L0064064 00000010 00000000 00000000 00000001 00000000 00000001 00000000 00010100* +L0064128 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010001* +L0064192 00000011 00000000 00000001 00000001 00000000 00000001 00000000 00010000* +L0064256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00110000* +L0064320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0064384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0064448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0064512 000000 000000 000000 000000 000000 000000 000000 001000* L0064560 000000 000000 000000 000000 000000 000000 000000 000000* -L0064608 000000 000000 000000 000000 000000 000000 000000 001000* +L0064608 000000 000000 000000 000000 000000 000000 000000 000000* L0064656 000000 000000 000000 000000 000000 000000 000000 000000* -L0064704 000000 000000 000000 000000 000000 000000 000000 000000* -L0064752 000000 000000 000000 000000 000000 000000 000000 000000* -L0064800 00000000 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0064864 00000001 00000000 00000000 00000000 00000001 00000000 00000000 00000000* -L0064928 00000001 00000000 00000001 00000000 00000001 00000000 00000000 00000000* -L0064992 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065056 00000001 00000000 00000001 00000000 00000001 00000001 00000000 00000000* +L0064704 000000 000000 000000 000000 000000 000000 000000 001000* +L0064752 000000 000000 000000 000000 000000 000000 000000 001000* +L0064800 00000000 00000100 00000001 00000011 00000010 00000000 00000000 00000000* +L0064864 00000000 00000000 00010001 00000010 00000010 00000001 00000000 00000001* +L0064928 00000000 00000001 00000000 01000001 00000000 00000001 00000000 01000000* +L0064992 00000000 00000000 00000000 01001000 00000000 00000000 00000000 00000000* +L0065056 00000000 00000001 00000001 00001011 00000010 00000001 00000000 00001001* L0065120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0065248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065376 000000 000000 000000 000000 000000 000000 000000 000000* -L0065424 000000 000000 000000 000000 000000 000000 100000 000000* +L0065312 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0065376 000000 000000 000000 010000 000000 000000 000000 000000* +L0065424 000000 000000 000000 000000 000010 000000 000000 000000* L0065472 000000 000000 000000 000000 000000 000000 000000 000000* -L0065520 000000 000000 000000 000000 000000 000000 000000 000000* -L0065568 000000 000000 000000 000000 000000 000000 000000 000000* -L0065616 000000 000000 000000 000000 000000 000000 000000 000000* -L0065664 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000000* -L0065728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0065792 00000000 00000000 00000000 00000000 00000000 00000000 00000101 00000000* -L0065856 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0065920 00000000 00000000 00000000 00000010 00000000 00000000 00000001 00000000* +L0065520 000000 000000 000000 000101 000000 000000 000000 000000* +L0065568 000000 000000 000000 000100 000000 000000 000000 000000* +L0065616 000000 000000 000000 000001 000000 000000 000000 000001* +L0065664 00000001 00000000 00000001 00000000 00000000 00000000 00000000 00000001* +L0065728 00000000 00000000 00000000 00000000 00000000 00000001 00000000 00000000* +L0065792 00000001 00000000 00000001 00000000 00000000 00000001 00000001 00000001* +L0065856 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0065920 00000001 00000000 00000000 00000001 00000000 00000001 00000000 00000000* L0065984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066240 000000 000000 000000 000000 000000 000000 000001 000000* -L0066288 000000 000000 000000 000000 000000 000000 000000 000000* +L0066240 000000 000000 000000 000000 000000 000000 000000 000000* +L0066288 000000 000000 000000 000000 000000 000010 000000 000000* L0066336 000000 000000 000000 000000 000000 000000 000000 000000* L0066384 000000 000000 000000 000000 000000 000000 000000 000000* L0066432 000000 000000 000000 000000 000000 000000 000000 000000* L0066480 000000 000000 000000 000000 000000 000000 000000 000000* -L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0066592 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0066528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0066784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066848 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0066848 00100000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0066976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0067040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0067104 000000 000000 000000 000000 000000 000000 000000 000000* -L0067152 000001 000000 000000 000000 001000 000000 000000 000000* +L0067152 000000 000000 000000 000000 000000 000000 000000 000000* L0067200 000000 000000 000000 000000 000000 000000 000000 000000* L0067248 000000 000000 000000 000000 000000 000000 000000 000000* L0067296 000000 000000 000000 000000 000000 000000 000000 000000* -L0067344 000000 000000 000000 000000 000000 000000 000001 000000* -L0067392 00000010 00000010 00000010 00001010 00000010 00000010 00000010 00000010* -L0067456 00000010 00000000 00000010 00001010 00000000 00000010 00000110 00000010* -L0067520 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* -L0067584 00000000 00000000 00000000 00001000 00000000 00000000 00000100 00000000* -L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0067712 00000000 00000000 00000000 00001000 00000000 00000100 00000000 00000000* -L0067776 00000010 00000010 00100010 00001010 10000010 00000010 00000010 00000010* -L0067840 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0067904 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0067968 000000 000000 000000 000000 000000 000000 000001 000000* -L0068016 000000 000000 000000 000000 000000 000101 000000 000000* -L0068064 000000 000000 000000 000000 000000 000001 000000 000010* -L0068112 000000 000000 000000 000010 000000 000101 000000 000000* -L0068160 000000 000000 000000 010000 000000 000101 000000 000000* -L0068208 000000 000000 001000 000000 000000 000001 000000 000000* -L0068256 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068320 00000000 00000000 00010000 10010000 00000000 00000000 00000000 00000000* -L0068384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068512 10000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0068576 00000000 00000000 00000000 00010000 00000000 00010000 00000000 00000000* -L0068640 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0068704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0068832 000000 000000 000000 000000 000000 000000 000000 000000* -L0068880 000000 000000 000000 000000 000000 001000 000000 000010* -L0068928 000000 000000 000000 000000 000000 000000 000001 000000* +L0067344 000000 000000 000000 000000 000000 000000 000000 000000* +L0067392 00000010 00000010 00000010 00000010 00000010 00000010 00000010 10000010* +L0067456 00000000 00000000 00000010 00000010 00100010 00000010 00000000 10000010* +L0067520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0067584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0067648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11000100* +L0067712 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00001100* +L0067776 00000010 00000010 00000010 00000010 00000010 01000010 00000010 01001010* +L0067840 00000000 00000000 00000000 00000000 00000000 11000000 00000000 11001000* +L0067904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11001100* +L0067968 000000 000000 000000 000000 000000 000000 000000 000011* +L0068016 000000 000000 000000 000000 000000 000000 000000 100000* +L0068064 000000 000000 000000 100000 100000 000000 000000 100000* +L0068112 000000 000000 000000 000000 100000 000000 000000 110010* +L0068160 000000 000000 000000 000010 100001 000000 000000 100110* +L0068208 000000 000000 001000 000000 100000 000000 000000 100100* +L0068256 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010000* +L0068320 00000000 00000000 00000000 00000100 00010000 00000000 00000000 00000000* +L0068384 00000000 00000000 00000000 00000000 00010000 00000000 00000000 00000000* +L0068448 00000000 00000000 00000000 00000000 00000000 00110000 00000000 00000000* +L0068512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0068576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* +L0068640 00000000 00000000 00100000 00000000 00100000 00000000 00000000 00100000* +L0068704 00000000 00000000 00000000 00000000 01100100 00000000 00000000 00100000* +L0068768 00000000 00000000 00000000 00000000 01000100 00000000 00000000 00100000* +L0068832 000000 000000 000000 000000 000000 000000 000000 001000* +L0068880 000000 000000 000000 000000 000000 010000 100000 000000* +L0068928 000000 000000 000000 000000 000000 000000 000000 000000* L0068976 000000 000000 001000 000000 000000 000000 000000 000000* -L0069024 000000 000000 001000 000001 000000 000000 000000 000000* -L0069072 000001 000000 000000 000011 000000 000000 000000 000000* +L0069024 000000 000000 001000 100000 000000 010000 000000 000000* +L0069072 000000 000000 000000 100000 000000 000000 000000 000000* L0069120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069312 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069248 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0069312 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069440 00000000 00000000 00000000 00000000 00000100 00000000 00000000 00000000* L0069504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0069568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069568 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0069632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0069696 000000 000000 000000 000000 000000 000000 000000 000000* L0069744 000000 000000 000000 000000 000000 000000 000000 000000* -L0069792 000000 000001 000000 000000 000000 000000 000000 000000* +L0069792 000000 000000 000000 000000 000000 000000 000000 000000* L0069840 000000 000000 000000 000000 000000 000000 000000 000000* L0069888 000000 000000 000000 000000 000000 000000 000000 000000* L0069936 000000 000000 000000 000000 000000 000000 000000 000000* -L0069984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0069984 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* +L0070048 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0070112 00000000 00000000 00000000 00000000 00100000 00000000 00000000 00000000* L0070176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070304 00000000 00000000 00000000 00000000 00000000 01000000 00000000 00000000* +L0070304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070432 00000100 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0070432 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* L0070496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0070560 000000 000000 000000 000000 000000 000000 000000 000000* -L0070608 000000 000001 000000 000000 000000 000000 000000 000000* -L0070656 000000 000000 000000 000000 000000 000000 000000 000000* -L0070704 000000 000000 000000 000000 000000 000000 000000 000000* -L0070752 000000 000000 000000 000000 000000 000000 000000 000000* -L0070800 000000 000000 000000 000000 000000 000000 000000 000000* -L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0070608 000000 000000 000000 000000 000000 000000 000000 000000* +L0070656 000000 000000 000000 000000 000000 000000 001000 000000* +L0070704 000000 000000 000000 000000 000001 000000 000000 000000* +L0070752 000000 000000 000000 000000 000001 000000 000000 000000* +L0070800 000000 000000 000000 000000 100001 000000 000000 000000* +L0070848 00000000 00000000 00000000 00000000 00000000 00000000 00011000 00000000* +L0070912 00000000 00000000 00000000 00000000 00000000 00000000 00001000 00000000* +L0070976 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0071040 00000000 00000000 00000000 00000000 00000000 00000000 10110100 00000000* L0071104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071168 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0071168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071424 000000 000000 000000 000000 000000 000000 000000 000000* -L0071472 000000 000000 000000 000000 000000 000000 000000 000000* -L0071520 000000 000000 000001 000000 000000 000000 000000 000000* +L0071360 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* +L0071424 000000 000000 000000 000000 000000 000000 000001 000000* +L0071472 100000 000000 000000 000000 000000 000000 100000 000000* +L0071520 000000 000000 000000 000000 000000 000000 000000 000000* L0071568 000000 000000 000000 000000 000000 000000 000000 000000* -L0071616 000000 000000 000000 000000 000000 000000 000000 000000* -L0071664 000000 000000 000000 000000 000000 000000 000000 000000* -L0071712 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0071616 000000 000000 000000 000000 000000 000000 000011 000000* +L0071664 000000 000000 000000 000000 000000 001000 000001 000000* +L0071712 00000000 00000000 00000000 00000000 00000000 00000000 01000000 00000000* L0071776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0071840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0071904 00000000 00000000 00011100 00000000 11111100 00000000 00000000 00000000* -L0071968 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0072032 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0072096 00000000 00000000 00001000 00000000 00000000 00000000 00000000 00000000* -L0072160 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0072224 00000000 00000000 00010000 00000000 00100100 00000000 00000000 00000000* +L0071904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0071968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072288 000000 000000 000000 000000 000000 000000 000000 000000* -L0072336 000000 000000 000000 000000 000000 000000 000000 000000* +L0072336 000000 000000 000000 000000 000000 000000 000001 000000* L0072384 000000 000000 000000 000000 000000 000000 000000 000000* -L0072432 000000 000001 000000 000000 000000 000000 000000 000000* -L0072480 000000 000001 000111 000000 100001 000000 000000 000000* -L0072528 000000 000000 000001 000000 000000 000000 000000 000000* +L0072432 000000 000000 000000 000000 000000 000000 000000 000000* +L0072480 000000 000000 000000 000000 000000 000000 000000 000000* +L0072528 000000 000000 000000 000000 000000 000000 000000 000000* L0072576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* +L0072640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072768 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0072768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0072832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0072896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0072896 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* L0072960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0073152 000000 000000 000000 000000 000000 000000 000000 000000* L0073200 000000 000000 000000 000000 000000 000000 000000 000000* -L0073248 000000 000000 000000 000000 000000 000000 000000 000000* +L0073248 000000 000000 000000 000000 000000 000000 000000 000010* L0073296 000000 000000 000000 000000 000000 000000 000000 000000* L0073344 000000 000000 000000 000000 000000 000000 000000 000000* L0073392 000000 000000 000000 000000 000000 000000 000000 000000* -L0073440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073504 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073568 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073632 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073440 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0073504 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0073568 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0073632 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* L0073696 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0073824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0073952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074016 000000 000000 000000 000000 000000 000000 000000 000000* -L0074064 000000 000000 000000 000000 000000 000000 000000 000000* -L0074112 000000 000000 000000 000000 000000 000000 000000 000000* +L0073760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0073824 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0073888 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0073952 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0074016 000000 000000 000000 010000 000000 000000 000000 000000* +L0074064 000000 000000 000000 000000 000000 000000 000000 000010* +L0074112 000000 000000 000000 000000 000100 000000 000000 000000* L0074160 000000 000000 000000 000000 000000 000000 000000 000000* L0074208 000000 000000 000000 000000 000000 000000 000000 000000* L0074256 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1385,49 +1385,49 @@ L0074368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0074432 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074496 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074560 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 01000000* +L0074624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074688 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0074880 000000 000000 000000 000000 000000 000000 000000 000000* -L0074928 000000 000000 000000 000000 000000 000101 000000 000000* -L0074976 000000 000000 000000 000000 000000 000001 000000 000000* -L0075024 000000 000000 000000 000000 000000 000101 000000 000000* -L0075072 000000 000000 000000 000000 000000 000101 000000 000000* -L0075120 000000 000000 000000 000000 000000 000001 000000 000000* -L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0074928 000000 000000 000000 000000 000000 000000 000000 000000* +L0074976 000000 000000 000000 000000 000000 000000 000000 000000* +L0075024 000000 000000 000000 000000 000000 000000 000000 000000* +L0075072 000000 000000 000000 000000 100000 000000 000000 000000* +L0075120 000000 000000 000000 000000 000000 000000 000000 000000* +L0075168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075296 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075360 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075424 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075488 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000100* -L0075552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075424 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0075488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075552 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* L0075616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0075680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0075744 000000 000000 000000 000000 000000 000100 000000 000000* -L0075792 000000 000000 000000 000000 000000 010000 000000 000000* +L0075744 000000 000000 000000 000000 000000 000000 000000 000000* +L0075792 000000 000000 000000 000000 000000 000000 000000 000000* L0075840 000000 000000 000000 000000 000000 000000 000000 000000* L0075888 000000 000000 000000 000000 000000 000000 000000 000000* -L0075936 000000 000000 000000 000000 000000 000000 000000 000000* -L0075984 000000 000000 000000 000000 000000 001000 000000 000000* -L0076032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076160 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076224 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076288 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0075936 000000 000000 000000 010000 000000 000000 000000 000000* +L0075984 000000 000000 000000 000000 000000 000000 000000 000000* +L0076032 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* +L0076096 00000000 00000000 00000000 00000000 11000000 00000000 00001000 00000000* +L0076160 00000000 00000000 00000000 00000000 11000000 00000000 00000000 00000000* +L0076224 00000000 00000000 00000000 00000000 11100000 00010000 00000000 00000000* +L0076288 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00000000* L0076352 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0076416 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0076416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0076480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076544 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0076608 000000 000000 000000 000000 000000 000000 000000 000000* -L0076656 000000 000000 000000 000000 000000 000000 000000 000000* -L0076704 000000 000000 000000 000000 000000 000000 000000 000000* -L0076752 000000 000000 000000 000000 000000 000000 000000 000000* -L0076800 000000 000000 000000 000000 000000 000000 000000 000000* +L0076544 00000000 00000000 00000000 00000000 10100000 00000000 00000000 00000000* +L0076608 000000 000000 000000 000000 100001 000000 000000 000000* +L0076656 000000 000000 000000 000000 000000 000000 100000 000010* +L0076704 000000 000000 000000 000000 010000 000000 000000 000010* +L0076752 000000 000000 000000 000000 010000 000000 000000 000000* +L0076800 000000 000000 000000 000000 010000 000000 000000 000000* L0076848 000000 000000 000000 000000 000000 000000 000000 000000* -L0076896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0076960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077024 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0076896 00000000 00000000 00000000 10000000 00000000 00000000 00001000 00000000* +L0076960 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0077024 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0077088 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077152 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* @@ -1435,228 +1435,228 @@ L0077280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 L0077344 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* L0077408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0077472 000000 000000 000000 000000 000000 000000 000000 000000* -L0077520 000000 000000 000000 000000 000010 000000 000000 000000* +L0077520 000000 000000 000000 000000 000000 000000 000000 000000* L0077568 000000 000000 000000 000000 000000 000000 000000 000000* L0077616 000000 000000 000000 000000 000000 000000 000000 000000* L0077664 000000 000000 000000 000000 000000 000000 000000 000000* -L0077712 000000 000000 000000 000000 000000 000000 000001 000000* -L0077760 00000000 00000000 00010100 00000000 00000000 00000000 00000000 00000000* -L0077824 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0077888 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0077952 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078016 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078080 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0078144 00000000 00000000 00000000 00000000 10000000 00000000 00000000 00000000* -L0078208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078336 000000 000000 000000 000000 000000 000000 000000 000000* -L0078384 000000 000010 000010 000000 000000 000000 000000 000000* +L0077712 000000 000000 000000 000000 000000 000000 000000 000000* +L0077760 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077824 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0077888 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0077952 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0078016 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00000000* +L0078080 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* +L0078144 00000000 00000000 00000000 00000100 00000000 00000000 00000000 00010000* +L0078208 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* +L0078272 00000000 00000000 00000000 00100100 00000000 00000000 00000000 00000000* +L0078336 000000 000000 000000 001001 000000 000000 000000 000000* +L0078384 000000 000000 000000 001001 000000 000000 000000 000000* L0078432 000000 000000 000000 000000 000000 000000 000000 000000* L0078480 000000 000000 000000 000000 000000 000000 000000 000000* -L0078528 000000 000000 000000 000000 000000 000000 000000 000000* -L0078576 000000 000000 000000 000000 000000 000000 000000 000000* -L0078624 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078688 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0078752 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* -L0078816 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078880 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0078944 00000000 00000000 00000000 00000000 00000000 00010000 00100000 00000000* -L0079008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079072 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0079136 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* -L0079200 000000 000000 000000 000000 000000 000100 000000 000000* -L0079248 000000 000000 000001 000000 000000 000000 000000 000000* -L0079296 000000 000000 000001 000000 000000 000000 000000 000000* -L0079344 000000 000000 000001 000000 000000 000000 000000 000000* -L0079392 000000 000000 000000 000000 000000 000000 000000 000000* -L0079440 000000 000000 000000 000000 000000 000000 000000 000000* +L0078528 000000 000000 000000 001000 000000 000000 000000 000000* +L0078576 000000 000000 000000 001000 000000 000000 000000 000000* +L0078624 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0078688 00000000 00000000 00010000 01000000 00000000 00000000 00000000 00000000* +L0078752 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0078816 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0078880 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* +L0078944 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079008 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0079072 00000000 00000000 00100000 01000000 00000000 00000000 00000000 00000000* +L0079136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079200 000000 000000 000000 010000 000000 000000 000000 000000* +L0079248 000000 000000 000000 000000 000000 000000 010000 000000* +L0079296 000000 000000 000000 000100 000000 000000 000000 000000* +L0079344 000000 000000 000000 001000 000000 000000 000000 000000* +L0079392 000000 000000 000000 000100 000000 000000 000000 000000* +L0079440 000000 000000 000000 000100 000000 000000 000000 000000* L0079488 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079552 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079616 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0079616 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0079680 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079744 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0079808 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0079872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0079936 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0080064 000000 000000 000000 000000 000000 000000 000000 000000* L0080112 000000 000000 000000 000000 000000 000000 000000 000000* -L0080160 000000 000000 000000 000000 000000 000000 000000 000000* -L0080208 000000 000000 000000 000000 000000 000000 000010 000000* +L0080160 000000 000000 000001 000000 000000 000000 000000 000100* +L0080208 000000 000000 000000 000000 000000 000000 000000 001001* L0080256 000000 000000 000000 000000 000000 000000 000000 000000* L0080304 000000 000000 000000 000000 000000 000000 000000 000000* -L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00010000 00000000* -L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080672 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* -L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0080928 000000 000000 000000 000000 000000 000000 000000 000000* -L0080976 000000 000000 000000 000000 000000 000000 000000 000000* -L0081024 000000 000000 000000 000000 000000 000000 000000 000000* -L0081072 000000 000000 000000 000000 000000 000000 000000 000000* -L0081120 000000 000000 000000 000000 000000 000000 000000 000000* -L0081168 000000 000000 000000 000000 000000 000000 000000 000000* -L0081216 00000000 00000000 00000000 00010000 00000000 00000000 00010100 00000000* -L0081280 00000000 00000000 00000000 00010000 00000000 00000000 00010000 00000000* -L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081408 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081472 00000000 00000000 00000000 10001000 00000000 00000000 00000000 00000000* -L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0080352 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10010000* +L0080416 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10011000* +L0080480 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11010000* +L0080544 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011000* +L0080608 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11011000* +L0080672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00101000* +L0080736 00000000 00000000 00000000 00000000 00000000 00000000 00010000 01101000* +L0080800 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* +L0080864 00000000 00000000 00000000 00000000 00000000 00000000 00000000 11101100* +L0080928 000000 000000 000000 000000 000000 000000 000000 111011* +L0080976 000000 000000 000000 000000 000000 000000 000000 100000* +L0081024 000000 000000 000000 000000 000000 000000 000000 100001* +L0081072 000000 000000 000000 000000 000000 000000 000000 100110* +L0081120 000000 000000 000000 000000 000000 000000 000000 101111* +L0081168 000000 000000 000000 000000 000000 000000 000000 101111* +L0081216 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081280 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081344 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* +L0081408 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000100* +L0081472 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000100* +L0081536 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0081600 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0081664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0081728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0081728 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* L0081792 000000 000000 000000 000000 000000 000000 000000 000000* L0081840 000000 000000 000000 000000 000000 000000 000000 000000* -L0081888 000000 000000 000000 000000 000000 000000 000000 000100* +L0081888 000000 000000 000000 000000 000000 000000 000000 000000* L0081936 000000 000000 000000 000000 000000 000000 000000 000000* -L0081984 000000 000000 000000 000000 000000 000000 000000 000000* -L0082032 000000 000000 000000 000011 000000 000000 000000 000000* +L0081984 000000 000000 000000 000000 000000 000100 000000 000000* +L0082032 000000 000000 000000 000000 000000 000000 000000 000000* L0082080 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082144 00000000 00000000 00010000 00000000 00000000 00000000 00000100 00000000* +L0082144 00000000 00000000 00010000 00000000 00000000 10000000 00000000 00000000* L0082208 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082272 00000000 00000000 00000000 00000000 11111100 00000000 00000000 00000000* +L0082272 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0082336 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0082400 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0082464 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0082528 00000000 00000000 00000000 00000000 00000000 00000000 00000100 00000000* -L0082592 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0082656 000001 000000 000000 000000 000000 000000 000000 000000* +L0082400 00000000 00000000 00000000 00010000 00000000 00100000 00100000 00000000* +L0082464 00000000 00000000 00100000 00010000 00000000 00000100 00000000 00000000* +L0082528 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0082592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082656 000000 000000 000000 000000 000000 000000 000000 000000* L0082704 000000 000000 000000 000000 000000 000000 000000 000000* -L0082752 000000 000000 000000 010000 000000 000000 000010 000000* -L0082800 000000 000001 001000 000000 000000 000000 000000 000000* -L0082848 000001 000000 000000 000000 100001 000000 000001 000000* +L0082752 000000 000000 000000 000000 000000 000000 000000 000000* +L0082800 000000 000000 001000 000000 000000 100000 000000 000000* +L0082848 000000 000000 000000 000000 000000 000000 000000 000000* L0082896 000000 000000 000000 000000 000000 000000 000000 000000* -L0082944 00000000 00000000 00000100 00000000 00000000 00000000 00001000 00000000* -L0083008 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0082944 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* +L0083008 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0083072 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083136 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083200 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083264 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0083264 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083392 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* +L0083392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0083520 000000 000000 000000 000000 000000 000000 000000 000000* -L0083568 000000 000000 000000 000000 000000 000000 000000 000000* +L0083568 000000 000000 000000 000000 000000 000000 000000 000010* L0083616 000000 000000 000000 000000 000000 000000 000000 000000* L0083664 000000 000000 000000 000000 000000 000000 000000 000000* L0083712 000000 000000 000000 000000 000000 000000 000000 000000* L0083760 000000 000000 000000 000000 000000 000000 000000 000000* -L0083808 00000000 00000000 00010000 00000000 11111100 00000000 00000000 00000000* +L0083808 00000000 00000000 01110100 00000000 00000000 00000000 00000000 00000000* L0083872 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0083936 00000000 00000000 00000100 00000000 00000000 00000000 00000000 00000000* +L0083936 00000000 00000000 00000000 10000000 00000000 00000000 00000000 00000000* L0084000 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084064 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084128 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* +L0084128 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000000* L0084192 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084256 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0084384 000000 000000 000000 000000 000000 000000 000000 000000* -L0084432 000000 000000 000001 000000 100001 000000 000000 000000* -L0084480 000000 000000 000001 000000 000000 000000 000000 000000* -L0084528 000000 000000 000001 000000 000000 000000 000000 000000* +L0084432 000000 000000 110010 000000 000000 000000 000000 000000* +L0084480 000000 000000 000000 000000 000000 000000 000000 000010* +L0084528 000000 000000 000000 000000 000000 000000 000000 000000* L0084576 000000 000000 000000 000000 000000 000000 000000 000000* L0084624 000000 000000 000000 000000 000000 000000 000000 000000* -L0084672 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084736 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* -L0084800 10000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084864 00000100 00000000 00000000 00000100 11111100 00000000 00000000 00000000* -L0084928 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0084992 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0085056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0085120 00000100 00000000 00000000 00010000 00000000 10000000 00000000 00000000* -L0085184 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0085248 000000 000000 000000 000000 000000 000000 000000 000000* -L0085296 000000 000000 000000 000000 000000 000000 000000 000000* -L0085344 000000 000000 000000 000001 000000 000000 000000 000000* -L0085392 000000 000001 000000 000000 000000 000000 000000 000000* -L0085440 000000 000001 000000 000000 100001 000000 000000 000000* -L0085488 000000 000000 000000 000000 000000 000000 000000 000000* -L0085536 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0085600 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0085664 00000000 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0085728 10000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0085792 10000100 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0085856 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0085920 00000100 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0085984 00000000 00000000 00000000 00001000 00000000 00000000 00000000 00000000* -L0086048 00000100 00000000 00000000 00001100 00000000 00000000 00000000 00000000* -L0086112 000001 000000 000000 000101 000000 000000 000000 000000* -L0086160 000000 000000 000000 000000 000000 000000 001000 000000* -L0086208 000001 000000 000000 000000 000000 000000 000000 000000* -L0086256 000001 000000 000000 000000 000000 000000 000000 000000* -L0086304 000001 000000 000000 000110 000000 000000 000000 000000* -L0086352 000001 000000 000000 000111 000000 000000 000000 000000* +L0084672 00000000 00000000 00000000 00000000 10100000 00000000 00010000 00010100* +L0084736 00000000 00000000 00000000 00000000 11100100 00000000 00000100 00010000* +L0084800 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00010000* +L0084864 00000000 00000000 00000000 00000000 11100000 00000000 00000000 00010000* +L0084928 00000000 00000000 00000000 01000000 11100000 00000000 00000000 00010000* +L0084992 00000000 00000000 00000000 10001000 00000000 00000000 00000100 00100000* +L0085056 00000000 00000000 00000000 10001000 00000100 00001000 00000000 00100000* +L0085120 00000000 00000000 00000000 10001000 10000000 00000000 00000000 00100000* +L0085184 00000000 00000000 00000000 10001000 10100000 00000000 00000000 00100000* +L0085248 000000 000000 000000 100010 100001 000000 000000 001000* +L0085296 000000 000000 000000 000000 000000 000000 000000 001000* +L0085344 000000 000000 000000 100000 010000 000000 000000 000000* +L0085392 000000 000000 000000 100010 010001 000000 000000 001000* +L0085440 000000 000000 000000 000010 010001 000000 000000 001000* +L0085488 000000 000000 000000 000010 100001 000000 000000 001000* +L0085536 00000000 00000000 00000000 00000000 00000000 00000000 00100100 01001000* +L0085600 00000000 00000000 00010000 00000000 00000000 00000000 00000000 01000000* +L0085664 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085728 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085792 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085856 00010000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0085984 00000000 00000000 00100000 00000000 00000000 00000000 00000100 00000000* +L0086048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086112 000000 000000 000000 000000 000000 000000 000000 000000* +L0086160 000000 000000 000000 000000 000000 000000 000001 000001* +L0086208 000000 000000 000000 000000 000000 000000 000001 000000* +L0086256 000000 000000 000000 000000 000000 000000 000001 000000* +L0086304 000000 000000 000000 000000 000000 000000 000000 000000* +L0086352 000000 000000 000000 000000 000000 000000 000000 000000* L0086400 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086528 10000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* +L0086464 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* +L0086528 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086592 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086656 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0086784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0086848 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0086976 000000 000000 000000 000000 000000 000000 000000 000000* -L0087024 000000 000000 000010 000000 000000 000000 000000 000000* +L0087024 000000 000000 100000 000000 000000 000000 000000 000000* L0087072 000000 000000 000000 000000 000000 000000 000000 000000* -L0087120 000000 000000 000000 000000 000000 000000 000010 000000* -L0087168 000000 000000 000000 000000 000000 000100 000000 000000* -L0087216 000000 000000 000000 000000 000000 000001 000000 000000* -L0087264 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00100000* -L0087328 10000000 00000000 00000000 00000000 00000000 00001000 00010000 00000000* -L0087392 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0087584 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* -L0087648 00000000 00000000 00000000 00010000 00000000 00000000 00000000 00000000* +L0087120 000000 000000 000000 000000 000000 000000 000000 100000* +L0087168 000000 000000 000000 000000 000000 000000 000000 000000* +L0087216 000000 000000 000000 000000 000000 000000 000000 000000* +L0087264 00100000 00000000 01111100 00000000 00010000 00000000 00000000 00000000* +L0087328 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0087392 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0087456 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0087520 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0087584 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000100* +L0087648 00000000 00000000 00000000 00000000 00000000 00000000 00100000 00000000* L0087712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0087776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0087840 000000 000000 000000 000000 000000 000000 000000 000000* -L0087888 000000 000000 000000 000000 000000 000000 000000 000000* +L0087888 000000 000010 010110 000000 100001 000000 000000 000000* L0087936 000000 000000 000000 000000 000000 000000 000000 000000* L0087984 000000 000000 000000 000000 000000 000000 000000 000000* L0088032 000000 000000 000000 000000 000000 000000 000000 000000* -L0088080 000000 000000 000001 000000 000000 000000 000000 000000* -L0088128 00000000 00000000 00000000 00000000 10000000 00001000 00000000 00010000* -L0088192 00000000 00000000 00000000 00000000 00000000 00001100 00000000 00000000* +L0088080 000000 000000 000000 000000 000000 000000 000000 000000* +L0088128 00000100 00000000 00000000 00000000 00001000 00000000 00000000 00100000* +L0088192 00000100 00000000 00000000 10000000 00000000 00000100 00000000 00001000* L0088256 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* -L0088320 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088384 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00100000* -L0088512 00000100 00000000 00000000 00000000 00000000 10010000 00000000 00000000* -L0088576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0088640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088320 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* +L0088384 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0088448 00000000 00000000 00000000 01000000 00000000 00000000 00000000 00000000* +L0088512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088576 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0088640 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0088704 000000 000000 000000 000000 000000 000000 000000 000000* -L0088752 000000 000000 000000 000000 000000 000000 000000 000000* -L0088800 000000 000000 000000 000000 000000 001100 000000 000000* -L0088848 000000 000000 000000 000000 000000 000000 000000 000000* -L0088896 000000 000000 000000 000000 000000 000000 000000 000000* +L0088752 000000 000000 000000 000000 000000 100000 000000 000000* +L0088800 000000 000000 000000 000000 000000 000000 000000 000000* +L0088848 000000 000000 000000 000000 000000 000100 000000 000000* +L0088896 000000 000000 000000 010000 000000 000000 000000 000000* L0088944 000000 000000 000000 000000 000000 000000 000000 000000* -L0088992 00000000 00000000 00000000 00000000 01111100 00000000 00000000 00000000* -L0089056 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089120 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089184 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089248 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089312 00000000 00000000 00000000 00000000 00100100 00000000 00000000 00000000* -L0089376 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0088992 00000000 00000000 00000000 00000000 00000000 10000100 00000000 00000000* +L0089056 00000000 00000000 00000000 00000000 00001000 10001000 00000000 00000000* +L0089120 00000000 00000000 00000000 00000000 00000000 00001000 00000000 00000000* +L0089184 00000000 00000000 01111100 00000000 00000000 00001000 00000000 00001000* +L0089248 00000000 00000000 00000000 00000000 00000000 00010100 00000000 00000000* +L0089312 00000000 00000000 00000000 00000000 00000000 00100000 00000000 00000000* +L0089376 00000000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0089440 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089504 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0089568 000000 000000 000000 000000 000000 000000 000000 000000* -L0089616 000000 000000 000000 000000 100001 000001 000000 000000* +L0089504 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000000* +L0089568 000000 000000 000000 000000 000000 001000 000000 000000* +L0089616 000000 000000 000000 000000 000000 000000 000000 000000* L0089664 000000 000000 000000 000000 000000 000000 000000 000000* L0089712 000000 000000 000000 000000 000000 000000 000000 000000* -L0089760 000000 000000 000000 000000 000000 000000 000000 000000* -L0089808 000000 000000 000000 000000 000000 000000 000000 000000* +L0089760 000000 000000 110110 000000 000000 000000 000000 000000* +L0089808 000000 000000 000000 000000 000000 000001 000000 000000* L0089856 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089920 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0089984 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090048 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090112 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090176 00000000 00000000 00000000 00000000 01000000 10000000 00000000 00000000* -L0090240 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090176 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090240 00000000 00000000 00000000 00100000 00000000 00000000 00000000 00000000* L0090304 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090368 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090432 000000 000000 000000 000000 000000 000000 000000 000000* @@ -1665,50 +1665,50 @@ L0090528 000000 000000 000000 000000 000000 000000 000000 000000* L0090576 000000 000000 000000 000000 000000 000000 000000 000000* L0090624 000000 000000 000000 000000 000000 000000 000000 000000* L0090672 000000 000000 000000 000000 000000 000000 000000 000000* -L0090720 00000000 00000000 00100000 00000000 00000000 00000000 00000000 00000000* +L0090720 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0090784 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090848 00000100 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0090912 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0090848 00000000 00000000 00000000 00000000 00000000 00010000 00000000 00000000* +L0090912 00000000 00000000 01111100 00000000 00000000 00000000 00000000 00000000* L0090976 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091040 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091040 00000000 00000100 00000000 00000000 00000000 00000000 00000000 00000000* L0091104 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091168 00000000 00000000 00000000 00000000 00000000 10000000 00000000 00000000* -L0091232 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091168 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091232 00000000 00000000 01111000 00000000 00000000 00000000 00000000 00000000* L0091296 000000 000000 000000 000000 000000 000000 000000 000000* L0091344 000000 000000 000000 000000 000000 000000 000000 000000* -L0091392 000000 000000 000000 000000 000000 000000 000000 000000* +L0091392 000000 000000 000000 000000 000000 000000 000000 010000* L0091440 000000 000000 000000 000000 000000 000000 000000 000000* -L0091488 000000 000000 000000 000000 000000 000000 000000 000000* +L0091488 000000 000000 110110 000000 000000 000000 000000 000000* L0091536 000000 000000 000000 000000 000000 000000 000000 000000* L0091584 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091648 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091712 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0091776 00000000 00000000 00000000 00000000 00000000 00000000 00000000 10000000* L0091840 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091904 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0091968 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* L0092032 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092096 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00001000* L0092160 000000 000000 000000 000000 000000 000000 000000 000000* L0092208 000000 000000 000000 000000 000000 000000 000000 000000* L0092256 000000 000000 000000 000000 000000 000000 000000 000000* L0092304 000000 000000 000000 000000 000000 000000 000000 000000* -L0092352 000000 000000 000000 000000 000000 000000 000000 000000* +L0092352 000000 000000 000000 010000 000000 000000 000000 100000* L0092400 000000 000000 000000 000000 000000 000000 000000 000000* -L0092448 00000000 00000000 00000000 00000000 00000000 00000000 10000000 00000000* -L0092512 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092448 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092512 00000000 00000000 00010000 00000000 00000000 00000000 00000000 00010000* +L0092576 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0092640 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010000* +L0092704 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00010100* +L0092768 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000100* L0092832 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092896 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* -L0092960 00000000 00000000 00000000 00000000 00000000 00000000 00000000 00000000* +L0092896 00000000 00000000 00100000 00000000 00000000 10000000 00000000 00000000* +L0092960 00001000 00000000 00000000 00000000 00000000 00000100 00000000 00000000* L0093024 000000 000000 000000 000000 000000 000000 000000 000000* L0093072 000000 000000 000000 000000 000000 000000 000000 000000* -L0093120 000000 000000 000000 000000 000000 000000 000000 000000* +L0093120 000000 000000 000000 000000 000000 000000 010000 000000* L0093168 000000 000000 000000 000000 000000 000000 000000 000000* -L0093216 000000 000000 000000 000000 000000 000000 000000 000000* +L0093216 000010 000000 000000 000000 000000 000000 000000 000000* L0093264 000000 000000 000000 000000 000000 000000 000000 000000* -CB8B1* -264B +C46D8* +2AA1 diff --git a/cpld/XC95144XL/WarpSE.mfd b/cpld/XC95144XL/WarpSE.mfd index fd91e09..ef3fbaf 100644 --- a/cpld/XC95144XL/WarpSE.mfd +++ b/cpld/XC95144XL/WarpSE.mfd @@ -2,9 +2,9 @@ MDF Database: version 1.0 MDF_INFO | WarpSE | XC95144XL-10-TQ100 MACROCELL | 5 | 1 | nVMA_IOBout ATTRIBUTES | 4622082 | 0 -OUTPUTMC | 2 | 5 | 1 | 0 | 14 +OUTPUTMC | 2 | 5 | 1 | 5 | 9 INPUTS | 8 | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | IOACT | iobm/VPAr | nAoutOE -INPUTMC | 8 | 5 | 1 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 6 | 17 | 1 | 14 | 3 | 1 +INPUTMC | 8 | 5 | 1 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 5 | 17 | 0 | 2 | 3 | 1 EQ | 6 | nVMA_IOB.T = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & !iobm/ES<3> @@ -16,9 +16,9 @@ GLOBALS | 1 | 2 | C8M MACROCELL | 5 | 11 | nAS_IOBout ATTRIBUTES | 8816386 | 0 -OUTPUTMC | 2 | 1 | 16 | 0 | 15 +OUTPUTMC | 2 | 0 | 16 | 5 | 9 INPUTS | 9 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | iobm/IOWRREQr | nAoutOE -INPUTMC | 9 | 6 | 14 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 15 | 6 | 2 | 6 | 0 | 3 | 1 +INPUTMC | 9 | 5 | 3 | 0 | 9 | 0 | 5 | 0 | 4 | 5 | 0 | 5 | 2 | 0 | 7 | 0 | 3 | 3 | 1 EQ | 9 | nAS_IOB.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 @@ -34,8 +34,8 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 8 | nLDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 8 -INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1.EXP | nAoutOE -INPUTMC | 8 | 6 | 14 | 0 | 17 | 5 | 5 | 5 | 6 | 5 | 8 | 5 | 12 | 5 | 7 | 3 | 1 +INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOL0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nLDS_IOB | iobm/IOS_FSM_FFd6 | iobm/DoutOE.EXP | nAoutOE +INPUTMC | 8 | 5 | 3 | 7 | 17 | 0 | 4 | 0 | 5 | 5 | 8 | 5 | 0 | 5 | 7 | 3 | 1 IMPORTS | 1 | 5 | 7 EQ | 9 | !nLDS_IOB.D = iobm/IOS_FSM_FFd3 & IOL0 @@ -52,8 +52,8 @@ GLOBALS | 1 | 2 | C16M MACROCELL | 5 | 10 | nUDS_IOBout ATTRIBUTES | 8816386 | 0 OUTPUTMC | 1 | 5 | 10 -INPUTS | 8 | iobm/IOS_FSM_FFd3 | IOU0 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd4 | nUDS_IOB | iobm/IOS_FSM_FFd6 | iobm/C8Mr.EXP | nAoutOE -INPUTMC | 8 | 6 | 14 | 0 | 16 | 5 | 5 | 5 | 6 | 5 | 10 | 5 | 12 | 5 | 9 | 3 | 1 +INPUTS | 8 | iobm/IOS_FSM_FFd5 | IOU0 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | nUDS_IOB | iobm/IOS_FSM_FFd6 | IODONE.EXP | nAoutOE +INPUTMC | 8 | 0 | 4 | 7 | 12 | 0 | 5 | 5 | 3 | 5 | 10 | 5 | 0 | 5 | 9 | 3 | 1 IMPORTS | 1 | 5 | 9 EQ | 9 | !nUDS_IOB.D = iobm/IOS_FSM_FFd3 & IOU0 @@ -67,11 +67,11 @@ EQ | 9 | nUDS_IOB.OE = !nAoutOE; GLOBALS | 1 | 2 | C16M -MACROCELL | 2 | 17 | RefUrg +MACROCELL | 6 | 17 | RefUrg ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 9 | 2 | 17 | 2 | 14 | 7 | 17 | 2 | 9 | 7 | 8 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 +OUTPUTMC | 11 | 6 | 17 | 4 | 2 | 4 | 16 | 6 | 14 | 6 | 6 | 3 | 2 | 4 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 4 | 1 INPUTS | 7 | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | RefUrg | cnt/TimerTC -INPUTMC | 7 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 7 | 6 | 6 | 2 | 17 | 2 | 9 +INPUTMC | 7 | 6 | 9 | 6 | 15 | 6 | 16 | 0 | 11 | 6 | 3 | 6 | 17 | 6 | 6 EQ | 9 | RefUrg.T = RefUrg & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1> # cnt/Timer<0> & cnt/Timer<1> & cnt/Timer<2> & @@ -84,34 +84,84 @@ EQ | 9 | RefUrg.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 17 | iobs/Sent -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 3 | 17 | 3 | 13 | 3 | 14 | 7 | 11 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 3 | 0 -INPUTS | 12 | nAS_FSB | fsb/ASrf | iobs/Sent | nADoutLE1 | A_FSB<22> | iobs/TS_FSM_FFd1 | A_FSB<23> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/TS_FSM_FFd2 | ram/BACTr.EXP -INPUTMC | 7 | 7 | 3 | 3 | 17 | 5 | 13 | 3 | 8 | 0 | 10 | 3 | 15 | 3 | 0 -INPUTP | 5 | 54 | 30 | 36 | 29 | 28 -EXPORTS | 1 | 3 | 16 -IMPORTS | 1 | 3 | 0 -EQ | 14 | - !iobs/Sent.D = !iobs/Sent & !nADoutLE1 - # nAS_FSB & !fsb/ASrf - # A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd1 - # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd1 -;Imported pterms FB4_1 - # A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd2 - # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd2 - # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd1 - # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd2 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !iobs/Sent & cs/nOverlay; +MACROCELL | 3 | 16 | iobs/Sent +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 16 | 3 | 16 | 3 | 3 | 7 | 15 | 7 | 10 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 3 | 4 | 3 | 12 | 3 | 15 | 3 | 17 | 7 | 7 | 7 | 9 +INPUTS | 12 | iobs/Sent | nAS_FSB | fsb/ASrf | A_FSB<23> | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<22> | A_FSB<20> | cs/nOverlay | ram/RS_FSM_FFd7.EXP | EXP11_.EXP +INPUTMC | 8 | 3 | 16 | 2 | 1 | 3 | 12 | 7 | 3 | 5 | 13 | 4 | 12 | 3 | 15 | 3 | 17 +INPUTP | 4 | 54 | 36 | 30 | 28 +IMPORTS | 2 | 3 | 15 | 3 | 17 +EQ | 32 | + iobs/Sent.T = iobs/Sent & nAS_FSB & !fsb/ASrf + # A_FSB<23> & !iobs/Sent & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<23> & !iobs/Sent & !iobs/TS_FSM_FFd1 & + !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB4_16 + # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 +;Imported pterms FB4_18 + # A_FSB<22> & A_FSB<21> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<14> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & A_FSB<14> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & A_FSB<13> & fsb/ASrf & nADoutLE1; iobs/Sent.CLK = FCLK; // GCK - iobs/Sent.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 16 | IOBERR +MACROCELL | 4 | 2 | ram/RAMEN +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 12 | 4 | 2 | 4 | 7 | 4 | 16 | 4 | 9 | 3 | 2 | 3 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 7 | 6 | 7 | 5 | 4 | 4 +INPUTS | 11 | ram/RS_FSM_FFd7 | ram/RAMEN | ram/Once | nAS_FSB | fsb/ASrf | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RefDone | nROMCS_OBUF.EXP | ram/RASrr.EXP +INPUTMC | 10 | 3 | 15 | 4 | 2 | 4 | 7 | 2 | 1 | 3 | 2 | 4 | 15 | 6 | 17 | 4 | 16 | 4 | 1 | 4 | 3 +INPUTP | 1 | 54 +IMPORTS | 2 | 4 | 1 | 4 | 3 +EQ | 23 | + !ram/RAMEN.D = ram/RS_FSM_FFd7 + # !ram/RAMEN & ram/Once & !nAS_FSB + # !ram/RAMEN & ram/Once & fsb/ASrf + # !ram/RAMEN & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # RefUrg & !ram/RAMEN & !ram/RefDone & + ram/RS_FSM_FFd8 +;Imported pterms FB5_2 + # RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr +;Imported pterms FB5_4 + # A_FSB<23> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr; + ram/RAMEN.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 16 | IOBERR ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 4 | 7 | 11 | 6 | 14 | 6 | 0 | 6 | 9 +OUTPUTMC | 4 | 7 | 10 | 5 | 3 | 5 | 16 | 0 | 15 INPUTS | 2 | nBERR_IOB | nAS_IOB INPUTMC | 1 | 5 | 11 INPUTP | 1 | 123 @@ -121,43 +171,40 @@ EQ | 3 | IOBERR.AR = nAS_IOB; GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 15 | IODONE +MACROCELL | 5 | 9 | IODONE ATTRIBUTES | 8553232 | 0 -OUTPUTMC | 5 | 6 | 14 | 6 | 0 | 6 | 9 | 2 | 5 | 0 | 16 -INPUTS | 10 | nRES.PIN | nDTACK_IOB | iobs/IOU1 | IOU0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | nAS_IOB | fsb/ASrf | iobm/ES<1>.EXP -INPUTMC | 7 | 7 | 9 | 0 | 16 | 5 | 13 | 3 | 15 | 5 | 11 | 7 | 3 | 0 | 14 -INPUTP | 3 | 145 | 127 | 54 -EXPORTS | 1 | 0 | 16 -IMPORTS | 1 | 0 | 14 -EQ | 10 | +OUTPUTMC | 5 | 5 | 3 | 5 | 16 | 0 | 15 | 0 | 0 | 5 | 10 +INPUTS | 12 | nRES.PIN | nDTACK_IOB | nVMA_IOB | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> | nAS_IOB | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr +INPUTMC | 10 | 5 | 1 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 5 | 11 | 5 | 2 | 0 | 9 | 7 | 12 | 0 | 7 +INPUTP | 2 | 145 | 127 +EXPORTS | 1 | 5 | 10 +EQ | 8 | IODONE.D = !nRES.PIN # !nDTACK_IOB -;Imported pterms FB1_15 # !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & iobm/ES<3>; !IODONE.CLK = C8M; // GCK IODONE.AR = nAS_IOB; - IODONE.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 + IODONE.EXP = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOU0 & + iobm/IORDREQr GLOBALS | 1 | 2 | C8M -MACROCELL | 6 | 3 | cnt/LTimer<0> +MACROCELL | 6 | 0 | cnt/LTimer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 13 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 13 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 INPUTS | 3 | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 3 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 3 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 3 | cnt/LTimer<0>.T = Vcc; cnt/LTimer<0>.CLK = FCLK; // GCK cnt/LTimer<0>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 12 | cnt/Timer<0> +MACROCELL | 6 | 9 | cnt/Timer<0> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 2 | 17 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 9 +OUTPUTMC | 5 | 6 | 17 | 6 | 9 | 6 | 15 | 6 | 16 | 6 | 6 INPUTS | 4 | cnt/Timer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 6 | 12 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 4 | 6 | 9 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 4 | !cnt/Timer<0>.T = !cnt/Timer<0> & cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; @@ -165,11 +212,11 @@ EQ | 4 | cnt/Timer<0>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 17 | cnt/Timer<1> +MACROCELL | 6 | 15 | cnt/Timer<1> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 5 | 2 | 17 | 1 | 17 | 2 | 15 | 2 | 14 | 2 | 9 +OUTPUTMC | 5 | 6 | 17 | 6 | 15 | 6 | 16 | 6 | 14 | 6 | 6 INPUTS | 5 | cnt/Timer<0> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 6 | 12 | 1 | 17 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 5 | 6 | 9 | 6 | 15 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 5 | !cnt/Timer<1>.D = cnt/Timer<0> & cnt/Timer<1> # !cnt/Timer<0> & !cnt/Timer<1> @@ -178,11 +225,11 @@ EQ | 5 | cnt/Timer<1>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 15 | cnt/Timer<2> +MACROCELL | 6 | 16 | cnt/Timer<2> ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 4 | 2 | 17 | 2 | 15 | 2 | 14 | 2 | 9 +OUTPUTMC | 4 | 6 | 17 | 6 | 16 | 6 | 14 | 6 | 6 INPUTS | 6 | cnt/Timer<0> | cnt/Timer<2> | cnt/Timer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 6 | 12 | 2 | 15 | 1 | 17 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 6 | 6 | 9 | 6 | 16 | 6 | 15 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 6 | !cnt/Timer<2>.D = !cnt/Timer<0> & !cnt/Timer<2> # !cnt/Timer<1> & !cnt/Timer<2> @@ -192,22 +239,41 @@ EQ | 6 | cnt/Timer<2>.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 14 | RefReq +MACROCELL | 4 | 16 | ram/RefDone +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 15 | 4 | 2 | 4 | 16 | 3 | 2 | 4 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 3 | 1 | 3 | 3 | 3 | 13 | 4 | 0 | 4 | 1 | 4 | 3 | 4 | 5 | 4 | 7 +INPUTS | 13 | ram/RefDone | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | RefUrg | RefReq | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | ram/BACTr +INPUTMC | 10 | 4 | 16 | 1 | 14 | 1 | 15 | 6 | 17 | 6 | 14 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 | 2 | 0 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 17 +EQ | 9 | + !ram/RefDone.D = !RefUrg & !RefReq + # !ram/RefDone & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd2; + ram/RefDone.CLK = FCLK; // GCK + ram/RefDone.EXP = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 6 | 14 | RefReq ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 6 | 7 | 17 | 7 | 8 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 +OUTPUTMC | 11 | 4 | 1 | 4 | 16 | 3 | 1 | 4 | 6 | 3 | 14 | 4 | 7 | 3 | 3 | 3 | 13 | 4 | 0 | 4 | 3 | 4 | 5 INPUTS | 5 | RefUrg | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 2 | 17 | 1 | 17 | 2 | 15 | 2 | 7 | 6 | 6 +INPUTMC | 5 | 6 | 17 | 6 | 15 | 6 | 16 | 0 | 11 | 6 | 3 EQ | 3 | !RefReq.D = !RefUrg & !cnt/Timer<1> & !cnt/Timer<2>; RefReq.CLK = FCLK; // GCK RefReq.CE = !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 17 | cnt/LTimer<10> +MACROCELL | 2 | 15 | cnt/LTimer<10> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 3 | 4 | 16 | 4 | 15 | 4 | 0 +OUTPUTMC | 3 | 2 | 14 | 2 | 13 | 2 | 2 INPUTS | 13 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 13 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 13 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 5 | cnt/LTimer<10>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -216,11 +282,11 @@ EQ | 5 | cnt/LTimer<10>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 16 | cnt/LTimer<11> +MACROCELL | 2 | 14 | cnt/LTimer<11> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 2 | 2 | 13 | 2 | 2 INPUTS | 14 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 14 | 6 | 3 | 4 | 17 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 14 | 6 | 0 | 2 | 15 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 5 | cnt/LTimer<11>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & @@ -231,9 +297,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 12 | cnt/LTimer<1> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 12 | 4 | 17 | 4 | 16 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 12 | 2 | 15 | 2 | 14 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 INPUTS | 4 | cnt/LTimer<0> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 4 | 6 | 3 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 4 | 6 | 0 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 3 | cnt/LTimer<1>.T = cnt/LTimer<0>; cnt/LTimer<1>.CLK = FCLK; // GCK @@ -242,9 +308,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 11 | cnt/LTimer<2> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 11 | 4 | 17 | 4 | 16 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 11 | 2 | 15 | 2 | 14 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 INPUTS | 5 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 5 | 6 | 3 | 2 | 12 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 5 | 6 | 0 | 2 | 12 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 3 | cnt/LTimer<2>.T = cnt/LTimer<0> & cnt/LTimer<1>; cnt/LTimer<2>.CLK = FCLK; // GCK @@ -253,44 +319,56 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 10 | cnt/LTimer<3> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 10 | 4 | 17 | 4 | 16 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 10 | 2 | 15 | 2 | 14 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 INPUTS | 6 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 6 | 6 | 0 | 2 | 12 | 2 | 11 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 3 | cnt/LTimer<3>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2>; cnt/LTimer<3>.CLK = FCLK; // GCK cnt/LTimer<3>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 12 | cnt/LTimer<4> +MACROCELL | 2 | 9 | cnt/LTimer<4> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 9 | 4 | 17 | 4 | 16 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 -INPUTS | 7 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 7 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 6 | 6 -EQ | 4 | +OUTPUTMC | 10 | 2 | 15 | 2 | 14 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 | 2 | 8 +INPUTS | 18 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | IONPReady | IOPWReady | A_FSB<13> +INPUTMC | 10 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 6 | 6 | 0 | 11 | 6 | 3 | 4 | 12 | 7 | 16 | 2 | 17 +INPUTP | 8 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 2 | 8 +EQ | 7 | cnt/LTimer<4>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3>; cnt/LTimer<4>.CLK = FCLK; // GCK cnt/LTimer<4>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<4>.EXP = A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & + !IOPWReady & A_FSB<13> GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 9 | cnt/LTimer<5> +MACROCELL | 2 | 7 | cnt/LTimer<5> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 8 | 4 | 17 | 4 | 16 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 -INPUTS | 8 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 8 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 2 | 9 | 2 | 7 | 6 | 6 -EQ | 4 | +OUTPUTMC | 9 | 2 | 15 | 2 | 14 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 | 2 | 8 +INPUTS | 21 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | IONPReady | IOPWReady | A_FSB<14> +INPUTMC | 11 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 6 | 6 | 0 | 11 | 6 | 3 | 4 | 12 | 7 | 16 | 2 | 17 +INPUTP | 10 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 +EXPORTS | 1 | 2 | 8 +EQ | 9 | cnt/LTimer<5>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4>; cnt/LTimer<5>.CLK = FCLK; // GCK cnt/LTimer<5>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; + cnt/LTimer<5>.EXP = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & + !IOPWReady & A_FSB<14> GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 7 | cnt/LTimer<6> +MACROCELL | 2 | 6 | cnt/LTimer<6> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 7 | 4 | 17 | 4 | 16 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 7 | 2 | 15 | 2 | 14 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 INPUTS | 9 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 9 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 9 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 4 | cnt/LTimer<6>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5>; @@ -298,11 +376,11 @@ EQ | 4 | cnt/LTimer<6>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 6 | cnt/LTimer<7> +MACROCELL | 2 | 5 | cnt/LTimer<7> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 6 | 4 | 17 | 4 | 16 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 6 | 2 | 15 | 2 | 14 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 INPUTS | 10 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 10 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 10 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 4 | cnt/LTimer<7>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6>; @@ -310,11 +388,11 @@ EQ | 4 | cnt/LTimer<7>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 3 | cnt/LTimer<8> +MACROCELL | 2 | 4 | cnt/LTimer<8> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 5 | 4 | 17 | 4 | 16 | 4 | 2 | 4 | 15 | 4 | 0 +OUTPUTMC | 5 | 2 | 15 | 2 | 14 | 2 | 3 | 2 | 13 | 2 | 2 INPUTS | 11 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 11 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 11 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 5 | cnt/LTimer<8>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -323,11 +401,11 @@ EQ | 5 | cnt/LTimer<8>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 2 | cnt/LTimer<9> +MACROCELL | 2 | 3 | cnt/LTimer<9> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 4 | 4 | 17 | 4 | 16 | 4 | 15 | 4 | 0 +OUTPUTMC | 4 | 2 | 15 | 2 | 14 | 2 | 13 | 2 | 2 INPUTS | 12 | cnt/LTimer<0> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 12 | 6 | 3 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 12 | 6 | 0 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 5 | cnt/LTimer<9>.T = cnt/LTimer<0> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & cnt/LTimer<5> & cnt/LTimer<6> & @@ -336,34 +414,24 @@ EQ | 5 | cnt/LTimer<9>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 17 | ram/RAMEN -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 17 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 5 | 7 | 7 -INPUTS | 11 | ram/RAMEN | nAS_FSB | ram/Once | fsb/ASrf | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RefDone | RefReq | ram/BACTr | ram/RS_FSM_FFd3.EXP -INPUTMC | 10 | 7 | 17 | 3 | 6 | 7 | 3 | 7 | 15 | 2 | 3 | 2 | 17 | 7 | 8 | 2 | 14 | 3 | 0 | 7 | 0 -INPUTP | 1 | 54 -IMPORTS | 1 | 7 | 0 -EQ | 13 | - !ram/RAMEN.D = !ram/RAMEN & !nAS_FSB & ram/Once - # !ram/RAMEN & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 - # !ram/RAMEN & ram/Once & fsb/ASrf - # RefUrg & !ram/RAMEN & !ram/RefDone & - ram/RS_FSM_FFd8 - # RefReq & !ram/RefDone & !nAS_FSB & - ram/RS_FSM_FFd8 & !ram/BACTr -;Imported pterms FB8_1 - # RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd8 & !fsb/ASrf - # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & - fsb/ASrf & !ram/BACTr; - ram/RAMEN.CLK = FCLK; // GCK +MACROCELL | 4 | 12 | cs/nOverlay +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 32 | 3 | 16 | 4 | 0 | 4 | 12 | 3 | 3 | 7 | 16 | 4 | 7 | 7 | 1 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 15 | 7 | 11 | 4 | 5 | 3 | 7 | 7 | 7 | 3 | 13 | 4 | 16 | 3 | 17 | 2 | 7 | 4 | 1 | 2 | 9 | 3 | 1 | 3 | 4 | 3 | 12 | 4 | 3 | 4 | 4 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 14 +INPUTS | 5 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | cs/ODCSr +INPUTMC | 3 | 4 | 12 | 2 | 1 | 3 | 9 +INPUTP | 2 | 145 | 54 +EQ | 4 | + cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf + # nRES.PIN & !cs/nOverlay & nAS_FSB & cs/ODCSr & + !fsb/ASrf; + cs/nOverlay.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 15 | cnt/LTimer<12> +MACROCELL | 2 | 13 | cnt/LTimer<12> ATTRIBUTES | 4358976 | 0 -OUTPUTMC | 1 | 4 | 0 +OUTPUTMC | 1 | 2 | 2 INPUTS | 15 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 15 | 6 | 3 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 15 | 6 | 0 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 6 | cnt/LTimer<12>.T = cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -373,81 +441,99 @@ EQ | 6 | cnt/LTimer<12>.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 9 | cnt/TimerTC +MACROCELL | 6 | 6 | cnt/TimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 21 | 2 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 4 | 0 | 2 | 6 | 2 | 13 | 2 | 8 -INPUTS | 12 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> -INPUTMC | 6 | 2 | 17 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 7 | 6 | 6 -INPUTP | 6 | 36 | 30 | 29 | 28 | 26 | 24 -EXPORTS | 1 | 2 | 8 -EQ | 6 | +OUTPUTMC | 20 | 6 | 17 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 2 | 2 | 6 | 2 | 6 | 12 +INPUTS | 6 | RefUrg | cnt/Timer<0> | cnt/Timer<1> | cnt/Timer<2> | cnt/Er<0> | cnt/Er<1> +INPUTMC | 6 | 6 | 17 | 6 | 9 | 6 | 15 | 6 | 16 | 0 | 11 | 6 | 3 +EQ | 4 | cnt/TimerTC.D = RefUrg & cnt/Timer<0> & !cnt/Timer<1> & !cnt/Timer<2>; cnt/TimerTC.CLK = FCLK; // GCK cnt/TimerTC.CE = !cnt/Er<0> & cnt/Er<1>; - cnt/TimerTC.EXP = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18> GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 10 | cs/nOverlay +MACROCELL | 3 | 3 | iobs/IORW1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 9 | 0 | 17 | 0 | 10 | 3 | 14 | 4 | 1 | 0 | 0 | 3 | 12 | 3 | 0 | 3 | 5 | 3 | 17 -INPUTS | 5 | nRES.PIN | cs/nOverlay | nAS_FSB | fsb/ASrf | cs/ODCSr -INPUTMC | 3 | 0 | 10 | 7 | 3 | 3 | 9 -INPUTP | 2 | 145 | 54 -EQ | 4 | - cs/nOverlay.T = !nRES.PIN & cs/nOverlay & nAS_FSB & !fsb/ASrf - # nRES.PIN & !cs/nOverlay & nAS_FSB & cs/ODCSr & - !fsb/ASrf; - cs/nOverlay.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 13 | iobs/IORW1 -ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 3 | 13 | 3 | 15 | 3 | 10 | 3 | 14 -INPUTS | 10 | A_FSB<23> | A_FSB<22> | iobs/Sent | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | iobs/TS_FSM_FFd1 | fsb/ASrf -INPUTMC | 6 | 3 | 17 | 3 | 13 | 3 | 15 | 5 | 13 | 3 | 8 | 7 | 3 -INPUTP | 4 | 36 | 30 | 47 | 54 -EXPORTS | 1 | 3 | 14 -EQ | 10 | - iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - iobs/IORW1 & !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - iobs/IORW1 & iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - iobs/IORW1 & iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1; +OUTPUTMC | 5 | 3 | 3 | 3 | 7 | 7 | 8 | 3 | 4 | 3 | 2 +INPUTS | 24 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/IORW1 | nAS_FSB | iobs/TS_FSM_FFd2 | A_FSB<14> | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | ram/RefDone | RefReq | ram/RS_FSM_FFd4 | fsb/ASrf | ram/BACTr | nDoutOE_OBUF.EXP +INPUTMC | 12 | 3 | 16 | 4 | 12 | 3 | 3 | 7 | 3 | 5 | 13 | 3 | 12 | 4 | 16 | 6 | 14 | 4 | 15 | 2 | 1 | 2 | 0 | 3 | 4 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 19 | 18 +EXPORTS | 1 | 3 | 2 +IMPORTS | 1 | 3 | 4 +EQ | 36 | + iobs/IORW1.T = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & A_FSB<14> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd1 & A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd2 & A_FSB<14> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & !nAS_FSB & + iobs/TS_FSM_FFd2 & A_FSB<13> & nADoutLE1 +;Imported pterms FB4_5 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + A_FSB<14> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + A_FSB<13> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + A_FSB<14> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + A_FSB<13> & fsb/ASrf & nADoutLE1; iobs/IORW1.CLK = FCLK; // GCK - iobs/IORW1.EXP = nAS_FSB & !fsb/ASrf + iobs/IORW1.EXP = !ram/RefDone & RefReq & !cs/nOverlay & + !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 14 | IONPReady +MACROCELL | 7 | 16 | IONPReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 3 | 14 | 3 | 10 | 2 | 8 | 2 | 7 | 3 | 15 -INPUTS | 14 | A_FSB<23> | A_FSB<22> | nWE_FSB | IONPReady | iobs/Sent | iobs/IODONEr<0> | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobs/IORW1.EXP -INPUTMC | 8 | 3 | 14 | 3 | 17 | 2 | 5 | 3 | 15 | 7 | 3 | 5 | 13 | 0 | 10 | 3 | 13 -INPUTP | 6 | 36 | 30 | 47 | 54 | 29 | 28 -EXPORTS | 1 | 3 | 15 -IMPORTS | 1 | 3 | 13 -EQ | 10 | - !IONPReady.D = !iobs/Sent & !IONPReady - # !IONPReady & !iobs/IODONEr<0> - # !A_FSB<23> & !A_FSB<22> & !nWE_FSB & !IONPReady -;Imported pterms FB4_14 - # nAS_FSB & !fsb/ASrf; +OUTPUTMC | 7 | 7 | 16 | 3 | 10 | 2 | 8 | 2 | 7 | 2 | 9 | 7 | 15 | 7 | 17 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | IONPReady | A_FSB<14> | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | iobs/Clear1.EXP +INPUTMC | 8 | 4 | 12 | 7 | 16 | 0 | 14 | 7 | 17 | 5 | 13 | 7 | 3 | 2 | 1 | 7 | 15 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 54 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 15 +EQ | 18 | + !IONPReady.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !nWE_FSB & !IONPReady & A_FSB<14> +;Imported pterms FB8_16 + # !iobs/Sent & !IONPReady + # !IONPReady & !iobs/IODONEr + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !nWE_FSB & !IONPReady & A_FSB<13>; IONPReady.CLK = FCLK; // GCK - IONPReady.EXP = nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + IONPReady.EXP = !iobs/IOL1 & !IOL0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 12 | IOPWReady +MACROCELL | 2 | 17 | IOPWReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 12 | 2 | 7 +OUTPUTMC | 3 | 2 | 17 | 2 | 7 | 2 | 9 INPUTS | 5 | IOPWReady | iobs/Clear1 | nADoutLE1 | nAS_FSB | fsb/ASrf -INPUTMC | 4 | 7 | 12 | 3 | 3 | 5 | 13 | 7 | 3 +INPUTMC | 4 | 2 | 17 | 7 | 15 | 5 | 13 | 2 | 1 INPUTP | 1 | 54 EQ | 3 | !IOPWReady.D = nAS_FSB & !fsb/ASrf @@ -455,11 +541,11 @@ EQ | 3 | IOPWReady.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 4 | 0 | cnt/LTimerTC +MACROCELL | 2 | 2 | cnt/LTimerTC ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 2 | 6 | 2 | 13 +OUTPUTMC | 2 | 6 | 2 | 6 | 12 INPUTS | 16 | cnt/LTimer<0> | cnt/LTimer<10> | cnt/LTimer<11> | cnt/LTimer<1> | cnt/LTimer<2> | cnt/LTimer<3> | cnt/LTimer<4> | cnt/LTimer<5> | cnt/LTimer<6> | cnt/LTimer<7> | cnt/LTimer<8> | cnt/LTimer<9> | cnt/LTimer<12> | cnt/TimerTC | cnt/Er<0> | cnt/Er<1> -INPUTMC | 16 | 6 | 3 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 2 | 9 | 2 | 7 | 6 | 6 +INPUTMC | 16 | 6 | 0 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 6 | 6 | 0 | 11 | 6 | 3 EQ | 6 | cnt/LTimerTC.D = !cnt/LTimer<0> & cnt/LTimer<10> & cnt/LTimer<11> & cnt/LTimer<1> & cnt/LTimer<2> & cnt/LTimer<3> & cnt/LTimer<4> & @@ -469,11 +555,11 @@ EQ | 6 | cnt/LTimerTC.CE = cnt/TimerTC & !cnt/Er<0> & cnt/Er<1>; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 10 | iobs/IOL1 +MACROCELL | 0 | 14 | iobs/IOL1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 0 | 0 +OUTPUTMC | 2 | 7 | 17 | 7 | 16 INPUTS | 2 | nLDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 12 +INPUTMC | 1 | 3 | 11 INPUTP | 1 | 49 EQ | 3 | iobs/IOL1.D = !nLDS_FSB; @@ -481,11 +567,11 @@ EQ | 3 | iobs/IOL1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 9 | iobs/IOU1 +MACROCELL | 0 | 13 | iobs/IOU1 ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 2 | 0 | 16 | 0 | 15 +OUTPUTMC | 2 | 7 | 12 | 7 | 11 INPUTS | 2 | nUDS_FSB | iobs/Load1 -INPUTMC | 1 | 3 | 12 +INPUTMC | 1 | 3 | 11 INPUTP | 1 | 56 EQ | 3 | iobs/IOU1.D = !nUDS_FSB; @@ -493,101 +579,197 @@ EQ | 3 | iobs/IOU1.CE = iobs/Load1; GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 8 | ram/RefDone -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 17 | 7 | 8 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 -INPUTS | 5 | ram/RefDone | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd2 | RefUrg | RefReq -INPUTMC | 5 | 7 | 8 | 7 | 0 | 7 | 2 | 2 | 17 | 2 | 14 -EQ | 3 | - !ram/RefDone.D = !RefUrg & !RefReq - # !ram/RefDone & !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd2; - ram/RefDone.CLK = FCLK; // GCK +MACROCELL | 4 | 7 | ram/Once +ATTRIBUTES | 4358912 | 0 +OUTPUTMC | 3 | 4 | 2 | 4 | 7 | 4 | 6 +INPUTS | 11 | ram/Once | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | ram/RS_FSM_FFd8 | ram/RefDone | RefReq | ram/BACTr +INPUTMC | 8 | 4 | 7 | 2 | 1 | 4 | 2 | 4 | 12 | 3 | 2 | 4 | 16 | 6 | 14 | 2 | 0 +INPUTP | 3 | 54 | 36 | 30 +EXPORTS | 1 | 4 | 6 +EQ | 8 | + ram/Once.T = ram/Once & nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !ram/Once & !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !ram/Once & ram/RS_FSM_FFd8 & fsb/ASrf; + ram/Once.CLK = FCLK; // GCK + ram/Once.EXP = !ram/RefDone & RefReq & !cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr GLOBALS | 1 | 2 | FCLK MACROCELL | 7 | 11 | nBERR_FSB_OBUF ATTRIBUTES | 8815362 | 0 -OUTPUTMC | 1 | 7 | 11 -INPUTS | 5 | nAS_FSB | fsb/ASrf | iobs/Sent | nBERR_FSB | IOBERR -INPUTMC | 4 | 7 | 3 | 3 | 17 | 7 | 11 | 1 | 16 -INPUTP | 1 | 54 -EQ | 4 | - nBERR_FSB.D = !iobs/Sent & nBERR_FSB - # !IOBERR & nBERR_FSB - # nAS_FSB & !fsb/ASrf; +OUTPUTMC | 2 | 7 | 10 | 7 | 12 +INPUTS | 11 | nAS_FSB | fsb/ASrf | iobs/IOU1 | IOU0 | nADoutLE1 | iobs/TS_FSM_FFd2 | A_FSB<23> | A_FSB<22> | A_FSB<21> | cs/nOverlay | EXP17_.EXP +INPUTMC | 7 | 2 | 1 | 0 | 13 | 7 | 12 | 5 | 13 | 7 | 3 | 4 | 12 | 7 | 10 +INPUTP | 4 | 54 | 36 | 30 | 29 +EXPORTS | 1 | 7 | 12 +IMPORTS | 1 | 7 | 10 +EQ | 12 | + nBERR_FSB.D = nAS_FSB & !fsb/ASrf +;Imported pterms FB8_11 + # !iobs/Sent & nBERR_FSB + # !IOBERR & nBERR_FSB; nBERR_FSB.CLK = FCLK; // GCK + nBERR_FSB_OBUF.EXP = !iobs/IOU1 & !IOU0 & !nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 10 | nVPA_FSB_OBUF ATTRIBUTES | 8684418 | 0 -OUTPUTMC | 1 | 3 | 11 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | IONPReady | nAS_FSB | fsb/ASrf | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 -INPUTMC | 5 | 3 | 14 | 7 | 3 | 3 | 13 | 3 | 15 | 5 | 13 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | IONPReady | nAS_FSB | fsb/ASrf +INPUTMC | 2 | 7 | 16 | 2 | 1 INPUTP | 7 | 36 | 30 | 29 | 28 | 26 | 24 | 54 -EXPORTS | 1 | 3 | 11 -EQ | 9 | +EQ | 6 | !nVPA_FSB.D = A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & IONPReady & !nAS_FSB # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & IONPReady & fsb/ASrf; nVPA_FSB.CLK = FCLK; // GCK nVPA_FSB.AP = nAS_FSB; - nVPA_FSB_OBUF.EXP = iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 GLOBALS | 1 | 2 | FCLK +MACROCELL | 7 | 4 | nRAS_OBUF +ATTRIBUTES | 264962 | 0 +OUTPUTMC | 1 | 7 | 3 +INPUTS | 12 | ram/RASrf | A_FSB<23> | A_FSB<22> | A_FSB<20> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<17> | A_FSB<14> | A_FSB<13> | A_FSB<21> | cs/nOverlay | nRAMLWE_OBUF.EXP +INPUTMC | 5 | 1 | 17 | 7 | 3 | 5 | 13 | 4 | 12 | 7 | 5 +INPUTP | 7 | 36 | 30 | 28 | 23 | 19 | 18 | 29 +EXPORTS | 1 | 7 | 3 +IMPORTS | 1 | 7 | 5 +EQ | 13 | + !nRAS = ram/RASrf +;Imported pterms FB8_6 + # ram/RASrr + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB; + nRAS_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 + MACROCELL | 7 | 14 | nBR_IOB_OBUF ATTRIBUTES | 4621058 | 0 -OUTPUTMC | 2 | 7 | 14 | 3 | 1 -INPUTS | 4 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r -INPUTMC | 4 | 7 | 14 | 2 | 6 | 2 | 13 | 1 | 15 -EQ | 5 | +OUTPUTMC | 3 | 7 | 14 | 3 | 0 | 7 | 13 +INPUTS | 13 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/nIPL2r | A_FSB<23> | A_FSB<22> | A_FSB<20> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<14> | A_FSB<13> | A_FSB<21> | cs/nOverlay +INPUTMC | 7 | 7 | 14 | 6 | 2 | 6 | 12 | 0 | 10 | 7 | 3 | 5 | 13 | 4 | 12 +INPUTP | 6 | 36 | 30 | 28 | 19 | 18 | 29 +EXPORTS | 1 | 7 | 13 +EQ | 11 | nBR_IOB.T = nBR_IOB & !cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 # !nBR_IOB & !cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/nIPL2r; nBR_IOB.CLK = FCLK; // GCK + nBR_IOB_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 8 | iobs/TS_FSM_FFd1 +MACROCELL | 4 | 10 | RA_11_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<19> | ram/RASEL | A_FSB<20> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 26 | 28 +EQ | 2 | + RA<3> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + +MACROCELL | 4 | 9 | ram/RASEL ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 3 | 17 | 3 | 13 | 3 | 8 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 3 | 3 | 3 | 0 -INPUTS | 3 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr -INPUTMC | 3 | 3 | 15 | 3 | 8 | 5 | 4 -EQ | 3 | +OUTPUTMC | 12 | 4 | 10 | 6 | 5 | 6 | 8 | 6 | 1 | 4 | 13 | 4 | 8 | 4 | 11 | 4 | 14 | 6 | 4 | 6 | 7 | 6 | 10 | 7 | 1 +INPUTS | 8 | ram/RS_FSM_FFd7 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf +INPUTMC | 5 | 3 | 15 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 +INPUTP | 3 | 36 | 30 | 54 +EQ | 6 | + ram/RASEL.D = ram/RS_FSM_FFd7 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf; + ram/RASEL.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 3 | 12 | iobs/TS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 15 | 3 | 16 | 3 | 3 | 3 | 12 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 7 | 15 | 3 | 4 | 3 | 15 | 3 | 17 | 7 | 7 | 7 | 9 +INPUTS | 18 | iobs/TS_FSM_FFd2 | iobs/TS_FSM_FFd1 | iobs/IOACTr | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | A_FSB<14> | fsb/ASrf | nADoutLE1 | A_FSB<13> +INPUTMC | 7 | 7 | 3 | 3 | 12 | 0 | 1 | 3 | 16 | 4 | 12 | 2 | 1 | 5 | 13 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 18 +EXPORTS | 1 | 3 | 11 +EQ | 15 | iobs/TS_FSM_FFd1.D = iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr; iobs/TS_FSM_FFd1.CLK = FCLK; // GCK + iobs/TS_FSM_FFd1.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<14> & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<13> & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd2 & A_FSB<13> & + fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 15 | iobs/TS_FSM_FFd2 +MACROCELL | 7 | 3 | iobs/TS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 16 | 3 | 14 | 3 | 13 | 3 | 8 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 3 | 3 | 7 | 6 | 0 | 0 | 0 | 15 | 3 | 0 | 3 | 10 | 3 | 17 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | iobs/IORW1 | nAS_FSB | fsb/ASrf | IONPReady.EXP -INPUTMC | 8 | 3 | 8 | 3 | 15 | 5 | 4 | 3 | 17 | 5 | 13 | 3 | 13 | 7 | 3 | 3 | 14 -INPUTP | 1 | 54 -EXPORTS | 1 | 3 | 16 -IMPORTS | 1 | 3 | 14 -EQ | 12 | +OUTPUTMC | 25 | 3 | 16 | 3 | 3 | 3 | 12 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 7 | 15 | 0 | 12 | 3 | 4 | 3 | 7 | 3 | 15 | 3 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 13 | 7 | 14 | 7 | 16 +INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | iobs/Sent | nADoutLE1 | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | EXP14_.EXP | nRAS_OBUF.EXP +INPUTMC | 9 | 3 | 12 | 7 | 3 | 0 | 1 | 3 | 16 | 5 | 13 | 2 | 1 | 4 | 12 | 7 | 2 | 7 | 4 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 2 | 7 | 2 | 7 | 4 +EQ | 28 | !iobs/TS_FSM_FFd2.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB4_15 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_3 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_5 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1; iobs/TS_FSM_FFd2.CLK = FCLK; // GCK - iobs/TS_FSM_FFd2.EXP = !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 15 | iobm/IOS_FSM_FFd7 +MACROCELL | 5 | 2 | iobm/IOS_FSM_FFd7 ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 10 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 15 | 5 | 12 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 | 6 | 0 +OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 16 INPUTS | 6 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd1 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 6 | 5 | 15 | 5 | 7 | 5 | 9 | 6 | 2 | 3 | 1 | 6 | 0 +INPUTMC | 6 | 5 | 2 | 0 | 6 | 0 | 9 | 0 | 7 | 3 | 1 | 0 | 3 EQ | 6 | !iobm/IOS_FSM_FFd7.D = !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd1 # !iobm/C8Mr & !iobm/IOS_FSM_FFd1 & iobm/IORDREQr & @@ -597,11 +779,11 @@ EQ | 6 | iobm/IOS_FSM_FFd7.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 6 | 14 | iobm/IOS_FSM_FFd3 +MACROCELL | 5 | 3 | iobm/IOS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 5 | 11 | 5 | 8 | 5 | 10 | 6 | 14 | 6 | 17 | 6 | 9 | 5 | 17 | 6 | 15 | 6 | 16 | 5 | 16 | 6 | 0 +OUTPUTMC | 10 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 0 | 15 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 5 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR | IODONE -INPUTMC | 5 | 5 | 6 | 6 | 14 | 5 | 9 | 1 | 16 | 0 | 15 +INPUTMC | 5 | 0 | 5 | 5 | 3 | 0 | 9 | 0 | 16 | 5 | 9 EQ | 4 | iobm/IOS_FSM_FFd3.D = iobm/IOS_FSM_FFd4 # iobm/IOS_FSM_FFd3 & iobm/C8Mr @@ -609,25 +791,55 @@ EQ | 4 | iobm/IOS_FSM_FFd3.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 9 | iobm/C8Mr +MACROCELL | 0 | 9 | iobm/C8Mr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 11 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 15 | 6 | 14 | 5 | 12 | 6 | 17 | 6 | 9 | 6 | 15 | 6 | 16 | 5 | 10 -INPUTS | 5 | C8M | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOU0 | iobm/IORDREQr -INPUTMC | 4 | 5 | 15 | 5 | 9 | 0 | 16 | 6 | 2 +OUTPUTMC | 9 | 5 | 11 | 5 | 12 | 5 | 9 | 5 | 2 | 5 | 3 | 5 | 0 | 5 | 17 | 0 | 15 | 5 | 7 +INPUTS | 1 | C8M INPUTP | 1 | 35 -EXPORTS | 1 | 5 | 10 -EQ | 4 | +EQ | 2 | iobm/C8Mr.D = C8M; iobm/C8Mr.CLK = C16M; // GCK - iobm/C8Mr.EXP = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOU0 & - iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 12 | iobm/ES<0> +MACROCELL | 3 | 2 | ram/RS_FSM_FFd8 +ATTRIBUTES | 8553220 | 0 +OUTPUTMC | 14 | 4 | 2 | 4 | 7 | 4 | 9 | 3 | 2 | 3 | 15 | 4 | 6 | 3 | 14 | 4 | 17 | 4 | 1 | 4 | 0 | 4 | 3 | 4 | 4 | 4 | 5 | 4 | 16 +INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RAMEN | ram/RefDone | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | cs/nOverlay | nAoutOE_OBUF.EXP | iobs/IORW1.EXP +INPUTMC | 9 | 3 | 2 | 4 | 15 | 6 | 17 | 4 | 2 | 4 | 16 | 2 | 1 | 4 | 12 | 3 | 1 | 3 | 3 +INPUTP | 3 | 54 | 36 | 30 +IMPORTS | 2 | 3 | 1 | 3 | 3 +EQ | 24 | + !ram/RS_FSM_FFd8.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 + # RefUrg & !ram/RAMEN & !ram/RefDone & + !ram/RS_FSM_FFd4 + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd4 & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & !ram/RS_FSM_FFd4 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !ram/RS_FSM_FFd4 & fsb/ASrf +;Imported pterms FB4_2 + # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/BACTr + # A_FSB<23> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/BACTr +;Imported pterms FB4_4 + # !ram/RefDone & RefReq & !cs/nOverlay & + !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr; + ram/RS_FSM_FFd8.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 5 | 4 | iobm/ES<0> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<0> | E | iobm/Er | iobm/ES<2> | iobm/ES<1> | iobm/ES<3> -INPUTMC | 5 | 0 | 12 | 0 | 0 | 0 | 11 | 0 | 14 | 0 | 13 +INPUTMC | 5 | 5 | 4 | 0 | 8 | 0 | 17 | 5 | 6 | 5 | 5 INPUTP | 1 | 37 EQ | 6 | !iobm/ES<0>.T = iobm/ES<0> & !E & iobm/Er @@ -638,21 +850,21 @@ EQ | 6 | !iobm/ES<0>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 5 | 6 | iobm/IOS_FSM_FFd4 +MACROCELL | 0 | 5 | iobm/IOS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 6 | 14 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 | 5 | 16 +OUTPUTMC | 9 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 3 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 | 5 | 16 INPUTS | 1 | iobm/IOS_FSM_FFd5 -INPUTMC | 1 | 5 | 5 +INPUTMC | 1 | 0 | 4 EQ | 2 | iobm/IOS_FSM_FFd4.D = iobm/IOS_FSM_FFd5; iobm/IOS_FSM_FFd4.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 11 | iobm/ES<2> +MACROCELL | 0 | 17 | iobm/ES<2> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 INPUTS | 5 | iobm/ES<0> | iobm/ES<1> | iobm/Er | E | iobm/ES<2> -INPUTMC | 4 | 0 | 12 | 0 | 14 | 0 | 0 | 0 | 11 +INPUTMC | 4 | 5 | 4 | 5 | 6 | 0 | 8 | 0 | 17 INPUTP | 1 | 37 EQ | 4 | iobm/ES<2>.T = iobm/ES<0> & iobm/ES<1> & E @@ -661,21 +873,21 @@ EQ | 4 | !iobm/ES<2>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 5 | 5 | iobm/IOS_FSM_FFd5 +MACROCELL | 0 | 4 | iobm/IOS_FSM_FFd5 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 6 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 5 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 1 | iobm/IOS_FSM_FFd6 -INPUTMC | 1 | 5 | 12 +INPUTMC | 1 | 5 | 0 EQ | 2 | iobm/IOS_FSM_FFd5.D = iobm/IOS_FSM_FFd6; iobm/IOS_FSM_FFd5.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 12 | iobm/IOS_FSM_FFd6 +MACROCELL | 5 | 0 | iobm/IOS_FSM_FFd6 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 5 | 6 | 17 | 5 | 17 | 6 | 15 | 6 | 16 +OUTPUTMC | 8 | 5 | 11 | 5 | 8 | 5 | 10 | 0 | 4 | 5 | 17 | 5 | 15 | 5 | 7 | 5 | 12 INPUTS | 5 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr -INPUTMC | 5 | 5 | 15 | 5 | 9 | 6 | 2 | 3 | 1 | 6 | 0 +INPUTMC | 5 | 5 | 2 | 0 | 9 | 0 | 7 | 3 | 1 | 0 | 3 EQ | 5 | iobm/IOS_FSM_FFd6.D = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & iobm/IORDREQr & !nAoutOE @@ -684,28 +896,25 @@ EQ | 5 | iobm/IOS_FSM_FFd6.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 14 | iobm/ES<1> +MACROCELL | 5 | 6 | iobm/ES<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 15 -INPUTS | 7 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> | nVMA_IOB -INPUTMC | 6 | 0 | 12 | 0 | 14 | 0 | 0 | 0 | 11 | 0 | 13 | 5 | 1 +OUTPUTMC | 6 | 5 | 1 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 +INPUTS | 6 | iobm/ES<0> | iobm/ES<1> | E | iobm/Er | iobm/ES<2> | iobm/ES<3> +INPUTMC | 5 | 5 | 4 | 5 | 6 | 0 | 8 | 0 | 17 | 5 | 5 INPUTP | 1 | 37 -EXPORTS | 1 | 0 | 15 -EQ | 7 | +EQ | 5 | !iobm/ES<1>.D = iobm/ES<0> & iobm/ES<1> # !iobm/ES<0> & !iobm/ES<1> # !E & iobm/Er # iobm/ES<0> & !iobm/ES<2> & iobm/ES<3>; !iobm/ES<1>.CLK = C8M; // GCK - iobm/ES<1>.EXP = !nVMA_IOB & !iobm/ES<0> & !iobm/ES<2> & !iobm/ES<1> & - iobm/ES<3> GLOBALS | 1 | 2 | C8M -MACROCELL | 0 | 13 | iobm/ES<3> +MACROCELL | 5 | 5 | iobm/ES<3> ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 1 | 0 | 13 | 0 | 12 | 0 | 14 +OUTPUTMC | 5 | 5 | 1 | 5 | 9 | 5 | 4 | 5 | 6 | 5 | 5 INPUTS | 6 | iobm/ES<3> | E | iobm/Er | iobm/ES<0> | iobm/ES<2> | iobm/ES<1> -INPUTMC | 5 | 0 | 13 | 0 | 0 | 0 | 12 | 0 | 11 | 0 | 14 +INPUTMC | 5 | 5 | 5 | 0 | 8 | 5 | 4 | 0 | 17 | 5 | 6 INPUTP | 1 | 37 EQ | 6 | iobm/ES<3>.T = iobm/ES<3> & !E & iobm/Er @@ -716,22 +925,22 @@ EQ | 6 | !iobm/ES<3>.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 2 | 6 | cnt/INITS_FSM_FFd1 +MACROCELL | 6 | 2 | cnt/INITS_FSM_FFd1 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 14 | 2 | 6 | 2 | 13 | 3 | 2 | 3 | 1 +OUTPUTMC | 4 | 7 | 14 | 6 | 2 | 6 | 12 | 3 | 0 INPUTS | 7 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/nIPL2r | cnt/Er<1> -INPUTMC | 7 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 | 2 | 7 | 1 | 15 | 6 | 6 +INPUTMC | 7 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 | 0 | 11 | 0 | 10 | 6 | 3 EQ | 3 | cnt/INITS_FSM_FFd1.T = cnt/TimerTC & cnt/LTimerTC & !cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/nIPL2r & cnt/Er<1>; cnt/INITS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 13 | cnt/INITS_FSM_FFd2 +MACROCELL | 6 | 12 | cnt/INITS_FSM_FFd2 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 7 | 14 | 2 | 6 | 2 | 13 | 3 | 2 | 3 | 1 +OUTPUTMC | 4 | 7 | 14 | 6 | 2 | 6 | 12 | 3 | 0 INPUTS | 6 | cnt/TimerTC | cnt/LTimerTC | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | cnt/Er<0> | cnt/Er<1> -INPUTMC | 6 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 | 2 | 7 | 6 | 6 +INPUTMC | 6 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 | 0 | 11 | 6 | 3 EQ | 5 | cnt/INITS_FSM_FFd2.T = cnt/TimerTC & cnt/LTimerTC & cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 & !cnt/Er<0> & cnt/Er<1> @@ -740,38 +949,40 @@ EQ | 5 | cnt/INITS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 15 | ram/RS_FSM_FFd8 -ATTRIBUTES | 8553220 | 0 -OUTPUTMC | 5 | 7 | 17 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 -INPUTS | 9 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RefUrg | ram/RAMEN | ram/RefDone | RefReq | nAS_FSB | ram/BACTr | fsb/ASrf -INPUTMC | 8 | 7 | 15 | 2 | 3 | 2 | 17 | 7 | 17 | 7 | 8 | 2 | 14 | 3 | 0 | 7 | 3 -INPUTP | 1 | 54 -EQ | 10 | - !ram/RS_FSM_FFd8.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 - # RefUrg & !ram/RAMEN & !ram/RefDone & - !ram/RS_FSM_FFd4 - # RefUrg & !ram/RefDone & nAS_FSB & - !ram/RS_FSM_FFd4 & !fsb/ASrf - # RefReq & !ram/RefDone & !nAS_FSB & - !ram/RS_FSM_FFd4 & !ram/BACTr - # RefReq & !ram/RefDone & !ram/RS_FSM_FFd4 & - fsb/ASrf & !ram/BACTr; - ram/RS_FSM_FFd8.CLK = FCLK; // GCK +MACROCELL | 3 | 15 | ram/RS_FSM_FFd7 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 6 | 4 | 2 | 4 | 9 | 1 | 13 | 4 | 17 | 1 | 17 | 3 | 16 +INPUTS | 13 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<20> +INPUTMC | 8 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 | 3 | 16 | 3 | 12 | 7 | 3 | 5 | 13 +INPUTP | 5 | 36 | 30 | 54 | 29 | 28 +EXPORTS | 1 | 3 | 16 +EQ | 11 | + ram/RS_FSM_FFd7.D = !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf; + ram/RS_FSM_FFd7.CLK = FCLK; // GCK + ram/RS_FSM_FFd7.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 17 | IOACT +MACROCELL | 5 | 17 | IOACT ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 5 | 1 | 6 | 0 | 5 | 4 -INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | iobm/IOWRREQr.EXP -INPUTMC | 9 | 5 | 5 | 5 | 12 | 5 | 6 | 6 | 14 | 5 | 9 | 5 | 15 | 6 | 2 | 3 | 1 | 6 | 0 -IMPORTS | 1 | 6 | 0 +OUTPUTMC | 3 | 5 | 1 | 5 | 16 | 0 | 1 +INPUTS | 9 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd3 | iobm/C8Mr | iobm/IOS_FSM_FFd7 | iobm/IORDREQr | nAoutOE | nDinLE_OBUF.EXP +INPUTMC | 9 | 0 | 4 | 5 | 0 | 0 | 5 | 5 | 3 | 0 | 9 | 5 | 2 | 0 | 7 | 3 | 1 | 5 | 16 +IMPORTS | 1 | 5 | 16 EQ | 11 | IOACT.D = iobm/IOS_FSM_FFd4 # iobm/IOS_FSM_FFd5 # iobm/IOS_FSM_FFd6 # iobm/IOS_FSM_FFd3 & iobm/C8Mr # iobm/IOS_FSM_FFd7 & iobm/IORDREQr & !nAoutOE -;Imported pterms FB7_1 +;Imported pterms FB6_17 # !IOBERR & !IODONE & iobm/IOS_FSM_FFd3 # iobm/IOS_FSM_FFd7 & iobm/IOWRREQr & !nAoutOE # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & IOACT & @@ -779,158 +990,241 @@ EQ | 11 | IOACT.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 7 | iobm/IOS_FSM_FFd1 +MACROCELL | 0 | 6 | iobm/IOS_FSM_FFd1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 5 | 15 | 6 | 0 | 5 | 17 | 6 | 16 | 5 | 8 -INPUTS | 5 | iobm/IOS_FSM_FFd2 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | IOL0 | iobm/IORDREQr -INPUTMC | 5 | 6 | 9 | 5 | 15 | 5 | 9 | 0 | 17 | 6 | 2 -EXPORTS | 1 | 5 | 8 -EQ | 4 | +OUTPUTMC | 4 | 5 | 2 | 5 | 16 | 5 | 15 | 5 | 12 +INPUTS | 1 | iobm/IOS_FSM_FFd2 +INPUTMC | 1 | 0 | 15 +EQ | 2 | iobm/IOS_FSM_FFd1.D = iobm/IOS_FSM_FFd2; iobm/IOS_FSM_FFd1.CLK = C16M; // GCK - iobm/IOS_FSM_FFd1.EXP = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOL0 & - iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 6 | 9 | iobm/IOS_FSM_FFd2 +MACROCELL | 0 | 15 | iobm/IOS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 6 | 0 | 5 | 7 | 5 | 17 | 6 | 16 +OUTPUTMC | 4 | 5 | 16 | 0 | 6 | 5 | 15 | 5 | 12 INPUTS | 4 | IODONE | iobm/IOS_FSM_FFd3 | iobm/C8Mr | IOBERR -INPUTMC | 4 | 0 | 15 | 6 | 14 | 5 | 9 | 1 | 16 +INPUTMC | 4 | 5 | 9 | 5 | 3 | 0 | 9 | 0 | 16 EQ | 3 | iobm/IOS_FSM_FFd2.D = IOBERR & iobm/IOS_FSM_FFd3 & !iobm/C8Mr # IODONE & iobm/IOS_FSM_FFd3 & !iobm/C8Mr; iobm/IOS_FSM_FFd2.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 5 | 4 | iobs/IOACTr +MACROCELL | 0 | 1 | iobs/IOACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 3 | 8 | 3 | 15 | 3 | 16 | 3 | 11 +OUTPUTMC | 4 | 3 | 12 | 7 | 3 | 3 | 8 | 7 | 8 INPUTS | 1 | IOACT -INPUTMC | 1 | 6 | 17 +INPUTMC | 1 | 5 | 17 EQ | 2 | iobs/IOACTr.D = IOACT; iobs/IOACTr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 12 | iobs/Load1 +MACROCELL | 3 | 11 | iobs/Load1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 7 | 10 | 7 | 9 | 5 | 13 | 3 | 11 -INPUTS | 12 | A_FSB<23> | A_FSB<22> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | nADoutLE1 | iobs/TS_FSM_FFd1 | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 6 | 3 | 17 | 3 | 15 | 5 | 13 | 3 | 8 | 7 | 3 | 0 | 10 -INPUTP | 6 | 36 | 30 | 47 | 54 | 29 | 28 -EXPORTS | 1 | 3 | 11 -EQ | 11 | - iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & iobs/TS_FSM_FFd1 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - !nAS_FSB & iobs/TS_FSM_FFd2 & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - iobs/TS_FSM_FFd1 & fsb/ASrf & nADoutLE1 - # !A_FSB<23> & !A_FSB<22> & !iobs/Sent & !nWE_FSB & - iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1; +OUTPUTMC | 3 | 0 | 14 | 0 | 13 | 5 | 13 +INPUTS | 19 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd2 | A_FSB<14> | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd1 | fsb/ASrf | iobs/TS_FSM_FFd1.EXP +INPUTMC | 7 | 3 | 16 | 4 | 12 | 7 | 3 | 5 | 13 | 3 | 12 | 2 | 1 | 3 | 12 +INPUTP | 12 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 19 | 18 +IMPORTS | 1 | 3 | 12 +EQ | 34 | + iobs/Load1.D = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + A_FSB<14> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd1 & + A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & + A_FSB<14> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & iobs/TS_FSM_FFd2 & + A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd2 & A_FSB<14> & + fsb/ASrf & nADoutLE1 +;Imported pterms FB4_13 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<14> & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd1 & A_FSB<13> & + fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/TS_FSM_FFd2 & A_FSB<13> & + fsb/ASrf & nADoutLE1; iobs/Load1.CLK = FCLK; // GCK - iobs/Load1.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 17 | IOL0 +MACROCELL | 7 | 17 | IOL0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 5 | 5 | 8 | 0 | 17 | 0 | 0 | 5 | 7 | 0 | 16 -INPUTS | 12 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | iobm/Er.EXP -INPUTMC | 7 | 3 | 8 | 3 | 17 | 3 | 15 | 5 | 13 | 0 | 17 | 0 | 10 | 0 | 0 -INPUTP | 5 | 49 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 0 | 16 -IMPORTS | 1 | 0 | 0 -EQ | 14 | +OUTPUTMC | 4 | 5 | 8 | 7 | 17 | 5 | 7 | 7 | 16 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nLDS_FSB | IOL0 | iobs/IOL1 | EXP13_.EXP | IONPReady.EXP +INPUTMC | 8 | 3 | 12 | 3 | 16 | 7 | 3 | 5 | 13 | 7 | 17 | 0 | 14 | 7 | 0 | 7 | 16 +INPUTP | 1 | 49 +IMPORTS | 2 | 7 | 0 | 7 | 16 +EQ | 32 | !IOL0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nLDS_FSB & !IOL0 & nADoutLE1 # !nLDS_FSB & IOL0 & nADoutLE1 -;Imported pterms FB1_1 # iobs/IOL1 & IOL0 & !nADoutLE1 +;Imported pterms FB8_1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_2 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_17 # !iobs/IOL1 & !IOL0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1; IOL0.CLK = FCLK; // GCK - IOL0.EXP = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | FCLK -MACROCELL | 0 | 16 | IOU0 +MACROCELL | 7 | 12 | IOU0 ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 4 | 5 | 10 | 0 | 16 | 0 | 15 | 5 | 9 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | IODONE.EXP | IOL0.EXP -INPUTMC | 8 | 3 | 8 | 3 | 17 | 3 | 15 | 5 | 13 | 0 | 16 | 7 | 9 | 0 | 15 | 0 | 17 +OUTPUTMC | 4 | 5 | 10 | 7 | 12 | 5 | 9 | 7 | 11 +INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/Sent | iobs/TS_FSM_FFd2 | nADoutLE1 | nUDS_FSB | IOU0 | iobs/IOU1 | nBERR_FSB_OBUF.EXP | EXP18_.EXP +INPUTMC | 8 | 3 | 12 | 3 | 16 | 7 | 3 | 5 | 13 | 7 | 12 | 0 | 13 | 7 | 11 | 7 | 13 INPUTP | 1 | 56 -IMPORTS | 2 | 0 | 15 | 0 | 17 -EQ | 13 | +IMPORTS | 2 | 7 | 11 | 7 | 13 +EQ | 32 | !IOU0.T = iobs/TS_FSM_FFd1 # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # nUDS_FSB & !IOU0 & nADoutLE1 # !nUDS_FSB & IOU0 & nADoutLE1 # iobs/IOU1 & IOU0 & !nADoutLE1 -;Imported pterms FB1_16 +;Imported pterms FB8_12 # !iobs/IOU1 & !IOU0 & !nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 -;Imported pterms FB1_18 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + # !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_14 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_15 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1; IOU0.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 2 | iobm/IORDREQr +MACROCELL | 0 | 7 | iobm/IORDREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 15 | 5 | 12 | 6 | 17 | 5 | 17 | 6 | 16 | 3 | 4 +OUTPUTMC | 9 | 5 | 11 | 5 | 7 | 5 | 9 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 5 INPUTS | 1 | IORDREQ -INPUTMC | 1 | 3 | 16 +INPUTMC | 1 | 3 | 8 EQ | 2 | iobm/IORDREQr.D = IORDREQ; iobm/IORDREQr.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 7 | 0 | ram/RS_FSM_FFd3 +MACROCELL | 1 | 14 | ram/RS_FSM_FFd3 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 4 | 7 | 8 | 7 | 2 | 7 | 4 | 7 | 17 -INPUTS | 8 | ram/RS_FSM_FFd6 | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | RefReq | ram/BACTr -INPUTMC | 7 | 7 | 13 | 2 | 17 | 7 | 8 | 7 | 15 | 7 | 3 | 2 | 14 | 3 | 0 -INPUTP | 1 | 54 -EXPORTS | 1 | 7 | 17 -EQ | 6 | +OUTPUTMC | 3 | 4 | 16 | 1 | 15 | 4 | 3 +INPUTS | 1 | ram/RS_FSM_FFd6 +INPUTMC | 1 | 4 | 6 +EQ | 2 | ram/RS_FSM_FFd3.D = ram/RS_FSM_FFd6; ram/RS_FSM_FFd3.CLK = FCLK; // GCK - ram/RS_FSM_FFd3.EXP = RefUrg & !ram/RefDone & nAS_FSB & - ram/RS_FSM_FFd8 & !fsb/ASrf - # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & - fsb/ASrf & !ram/BACTr GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 13 | ram/RS_FSM_FFd6 +MACROCELL | 4 | 15 | ram/RS_FSM_FFd4 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 3 | 7 | 0 | 7 | 16 | 7 | 4 -INPUTS | 8 | RefUrg | ram/RAMEN | ram/RefDone | ram/RS_FSM_FFd8 | RefReq | nAS_FSB | ram/BACTr | fsb/ASrf -INPUTMC | 7 | 2 | 17 | 7 | 17 | 7 | 8 | 7 | 15 | 2 | 14 | 3 | 0 | 7 | 3 -INPUTP | 1 | 54 -EQ | 9 | - ram/RS_FSM_FFd6.D = RefUrg & !ram/RAMEN & !ram/RefDone & +OUTPUTMC | 6 | 4 | 2 | 3 | 2 | 3 | 14 | 3 | 1 | 3 | 3 | 3 | 13 +INPUTS | 4 | ram/RS_FSM_FFd5 | ram/RS_FSM_FFd1 | RefUrg | ram/RefDone +INPUTMC | 4 | 1 | 13 | 1 | 16 | 6 | 17 | 4 | 16 +EQ | 3 | + !ram/RS_FSM_FFd4.D = !ram/RS_FSM_FFd5 & !ram/RS_FSM_FFd1 + # RefUrg & !ram/RefDone & !ram/RS_FSM_FFd1; + ram/RS_FSM_FFd4.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 13 | ram/RS_FSM_FFd5 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 4 | 15 | 4 | 6 | 4 | 17 +INPUTS | 1 | ram/RS_FSM_FFd7 +INPUTMC | 1 | 3 | 15 +EQ | 2 | + ram/RS_FSM_FFd5.D = ram/RS_FSM_FFd7; + ram/RS_FSM_FFd5.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 6 | ram/RS_FSM_FFd6 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 3 | 1 | 14 | 4 | 17 | 4 | 4 +INPUTS | 13 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | ram/RAMEN | ram/RS_FSM_FFd8 | nAS_FSB | fsb/ASrf | A_FSB<22> | RefReq | ram/BACTr | A_FSB<23> | nOE_OBUF.EXP | ram/Once.EXP +INPUTMC | 10 | 6 | 17 | 4 | 16 | 1 | 13 | 4 | 2 | 3 | 2 | 2 | 1 | 6 | 14 | 2 | 0 | 4 | 5 | 4 | 7 +INPUTP | 3 | 54 | 30 | 36 +IMPORTS | 2 | 4 | 5 | 4 | 7 +EQ | 20 | + ram/RS_FSM_FFd6.D = RefUrg & !ram/RefDone & ram/RS_FSM_FFd5 + # RefUrg & !ram/RAMEN & !ram/RefDone & ram/RS_FSM_FFd8 # RefUrg & !ram/RefDone & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf - # RefReq & !ram/RefDone & !nAS_FSB & + # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & ram/RS_FSM_FFd8 & !ram/BACTr - # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & - fsb/ASrf & !ram/BACTr; + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr +;Imported pterms FB5_6 + # A_FSB<23> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr +;Imported pterms FB5_8 + # !ram/RefDone & RefReq & !cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr; ram/RS_FSM_FFd6.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 5 | 17 | ALE0M +MACROCELL | 5 | 15 | ALE0M ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 5 | 17 | 5 | 14 +OUTPUTMC | 2 | 5 | 15 | 5 | 14 INPUTS | 11 | iobm/IOS_FSM_FFd7 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 | ALE0M | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr -INPUTMC | 11 | 5 | 15 | 6 | 14 | 5 | 6 | 5 | 5 | 5 | 12 | 5 | 7 | 6 | 9 | 5 | 17 | 3 | 1 | 6 | 2 | 6 | 0 +INPUTMC | 11 | 5 | 2 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 0 | 0 | 6 | 0 | 15 | 5 | 15 | 3 | 1 | 0 | 7 | 0 | 3 EQ | 14 | !ALE0M.D = iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & nAoutOE @@ -948,68 +1242,123 @@ EQ | 14 | ALE0M.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 3 | 16 | IORDREQ +MACROCELL | 3 | 8 | IORDREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 6 | 2 | 3 | 16 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | iobs/Sent | nADoutLE1 | nWE_FSB | iobs/TS_FSM_FFd2.EXP | iobs/Sent.EXP -INPUTMC | 8 | 3 | 8 | 3 | 15 | 5 | 4 | 3 | 16 | 3 | 17 | 5 | 13 | 3 | 15 | 3 | 17 +OUTPUTMC | 2 | 0 | 7 | 3 | 8 +INPUTS | 8 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IORDREQ | nWE_FSB | nADoutLE1 | iobs/Sent | C20MEN_OBUF.EXP +INPUTMC | 7 | 3 | 12 | 7 | 3 | 0 | 1 | 3 | 8 | 5 | 13 | 3 | 16 | 3 | 7 INPUTP | 1 | 47 -IMPORTS | 2 | 3 | 15 | 3 | 17 -EQ | 13 | +IMPORTS | 1 | 3 | 7 +EQ | 14 | !IORDREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 # iobs/TS_FSM_FFd1 & iobs/IOACTr # iobs/TS_FSM_FFd2 & !IORDREQ # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 # !nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB4_16 +;Imported pterms FB4_8 # !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + nADoutLE1 # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & nADoutLE1 -;Imported pterms FB4_18 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1; IORDREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 11 | IOWRREQ +MACROCELL | 7 | 8 | IOWRREQ ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 3 | 11 | 6 | 0 -INPUTS | 9 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/IOACTr | IOWRREQ | iobs/Sent | nADoutLE1 | nWE_FSB | nVPA_FSB_OBUF.EXP | iobs/Load1.EXP -INPUTMC | 8 | 3 | 8 | 3 | 15 | 5 | 4 | 3 | 11 | 3 | 17 | 5 | 13 | 3 | 10 | 3 | 12 -INPUTP | 1 | 47 -IMPORTS | 2 | 3 | 10 | 3 | 12 -EQ | 13 | - !IOWRREQ.D = iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 - # iobs/TS_FSM_FFd1 & iobs/IOACTr - # iobs/TS_FSM_FFd2 & !IOWRREQ - # iobs/Sent & !iobs/TS_FSM_FFd2 & nADoutLE1 - # nWE_FSB & !iobs/TS_FSM_FFd2 & nADoutLE1 -;Imported pterms FB4_11 - # iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & +OUTPUTMC | 2 | 7 | 8 | 0 | 3 +INPUTS | 13 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | IOWRREQ | iobs/IOACTr | iobs/IORW1 | nADoutLE1 | A_FSB<23> | iobs/Sent | nWE_FSB | nAS_FSB | fsb/ASrf | nRAMUWE_OBUF.EXP | EXP16_.EXP +INPUTMC | 10 | 3 | 12 | 7 | 3 | 7 | 8 | 0 | 1 | 3 | 3 | 5 | 13 | 3 | 16 | 2 | 1 | 7 | 7 | 7 | 9 +INPUTP | 3 | 36 | 47 | 54 +IMPORTS | 2 | 7 | 7 | 7 | 9 +EQ | 39 | + IOWRREQ.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2 & IOWRREQ + # iobs/TS_FSM_FFd2 & !iobs/IOACTr & IOWRREQ + # !iobs/IORW1 & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & + !nADoutLE1 + # A_FSB<23> & !iobs/Sent & !nWE_FSB & !nAS_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<23> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 +;Imported pterms FB8_8 + # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 +;Imported pterms FB8_10 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & nADoutLE1 -;Imported pterms FB4_13 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1; + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & + nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & fsb/ASrf & + nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & fsb/ASrf & + nADoutLE1; IOWRREQ.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 7 | cnt/Er<0> +MACROCELL | 3 | 14 | RAMReady ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 24 | 2 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 14 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 | 6 | 6 | 2 | 8 -INPUTS | 4 | E | A_FSB<22> | IONPReady | IOPWReady -INPUTMC | 2 | 3 | 14 | 7 | 12 -INPUTP | 2 | 37 | 30 -EXPORTS | 1 | 2 | 8 -EQ | 3 | - cnt/Er<0>.D = E; - cnt/Er<0>.CLK = FCLK; // GCK - cnt/Er<0>.EXP = !A_FSB<22> & !IONPReady & !IOPWReady +OUTPUTMC | 3 | 3 | 14 | 2 | 8 | 3 | 13 +INPUTS | 12 | ram/RS_FSM_FFd8 | ram/RS_FSM_FFd4 | RAMReady | nAS_FSB | fsb/ASrf | RefUrg | ram/RefDone | ram/RAMEN | A_FSB<22> | RefReq | ram/BACTr | EXP10_.EXP +INPUTMC | 10 | 3 | 2 | 4 | 15 | 3 | 14 | 2 | 1 | 6 | 17 | 4 | 16 | 4 | 2 | 6 | 14 | 2 | 0 | 3 | 13 +INPUTP | 2 | 54 | 30 +IMPORTS | 1 | 3 | 13 +EQ | 21 | + !RAMReady.D = !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & !RAMReady + # nAS_FSB & !ram/RS_FSM_FFd8 & !ram/RS_FSM_FFd4 & + !fsb/ASrf + # RefUrg & !ram/RAMEN & !ram/RefDone & + !ram/RS_FSM_FFd4 & !RAMReady + # RefUrg & !ram/RefDone & nAS_FSB & + !ram/RS_FSM_FFd4 & !fsb/ASrf + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr +;Imported pterms FB4_14 + # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & + !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr + # A_FSB<23> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & + !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr; + RAMReady.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 1 | 15 | cnt/nIPL2r +MACROCELL | 0 | 11 | cnt/Er<0> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 14 | 2 | 6 +OUTPUTMC | 23 | 6 | 17 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 6 | 14 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 | 6 | 3 +INPUTS | 1 | E +INPUTP | 1 | 37 +EQ | 2 | + cnt/Er<0>.D = E; + cnt/Er<0>.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 0 | 10 | cnt/nIPL2r +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 14 | 6 | 2 INPUTS | 1 | nIPL2 INPUTP | 1 | 146 EQ | 2 | @@ -1017,12 +1366,13 @@ EQ | 2 | cnt/nIPL2r.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 15 | iobm/DoutOE +MACROCELL | 5 | 7 | iobm/DoutOE ATTRIBUTES | 4358912 | 0 -OUTPUTMC | 2 | 6 | 15 | 3 | 4 -INPUTS | 8 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 -INPUTMC | 8 | 5 | 15 | 5 | 9 | 6 | 15 | 6 | 0 | 6 | 14 | 5 | 6 | 5 | 5 | 5 | 12 -EQ | 11 | +OUTPUTMC | 3 | 5 | 7 | 3 | 4 | 5 | 8 +INPUTS | 10 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | iobm/DoutOE | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | IOL0 | iobm/IORDREQr +INPUTMC | 10 | 5 | 2 | 0 | 9 | 5 | 7 | 0 | 3 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 0 | 7 | 17 | 0 | 7 +EXPORTS | 1 | 5 | 8 +EQ | 13 | iobm/DoutOE.T = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & !iobm/DoutOE & iobm/IOWRREQr # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & @@ -1034,31 +1384,25 @@ EQ | 11 | # !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4 & !iobm/IOS_FSM_FFd5 & !iobm/IOS_FSM_FFd6 & iobm/DoutOE & !iobm/IOWRREQr; iobm/DoutOE.CLK = C16M; // GCK + iobm/DoutOE.EXP = iobm/IOS_FSM_FFd7 & !iobm/C8Mr & IOL0 & + iobm/IORDREQr GLOBALS | 1 | 2 | C16M -MACROCELL | 0 | 0 | iobm/Er +MACROCELL | 0 | 8 | iobm/Er ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 5 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 0 | 17 -INPUTS | 12 | E | iobs/IOL1 | IOL0 | nADoutLE1 | nAS_FSB | iobs/TS_FSM_FFd2 | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 6 | 7 | 10 | 0 | 17 | 5 | 13 | 3 | 15 | 7 | 3 | 0 | 10 -INPUTP | 6 | 37 | 54 | 36 | 30 | 29 | 28 -EXPORTS | 1 | 0 | 17 -EQ | 8 | +OUTPUTMC | 4 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 +INPUTS | 1 | E +INPUTP | 1 | 37 +EQ | 2 | iobm/Er.D = E; !iobm/Er.CLK = C8M; // GCK - iobm/Er.EXP = iobs/IOL1 & IOL0 & !nADoutLE1 - # !iobs/IOL1 & !IOL0 & !nADoutLE1 - # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & - nADoutLE1 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - cs/nOverlay & !iobs/TS_FSM_FFd2 & nADoutLE1 GLOBALS | 1 | 2 | C8M -MACROCELL | 6 | 16 | iobm/IOS0 +MACROCELL | 5 | 12 | iobm/IOS0 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 6 | 16 | 3 | 4 +OUTPUTMC | 2 | 5 | 12 | 3 | 5 INPUTS | 12 | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd7 | iobm/C8Mr | nAoutOE | iobm/IORDREQr | iobm/IOWRREQr | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | iobm/IOS_FSM_FFd5 | iobm/IOS_FSM_FFd6 | iobm/IOS_FSM_FFd2 | iobm/IOS0 -INPUTMC | 12 | 5 | 7 | 5 | 15 | 5 | 9 | 3 | 1 | 6 | 2 | 6 | 0 | 6 | 14 | 5 | 6 | 5 | 5 | 5 | 12 | 6 | 9 | 6 | 16 +INPUTMC | 12 | 0 | 6 | 5 | 2 | 0 | 9 | 3 | 1 | 0 | 7 | 0 | 3 | 5 | 3 | 0 | 5 | 0 | 4 | 5 | 0 | 0 | 15 | 5 | 12 EQ | 9 | iobm/IOS0.D = iobm/IOS_FSM_FFd1 # iobm/IOS_FSM_FFd7 & iobm/C8Mr @@ -1071,22 +1415,17 @@ EQ | 9 | iobm/IOS0.CLK = C16M; // GCK GLOBALS | 1 | 2 | C16M -MACROCELL | 6 | 0 | iobm/IOWRREQr +MACROCELL | 0 | 3 | iobm/IOWRREQr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 9 | 5 | 11 | 5 | 15 | 5 | 12 | 6 | 0 | 5 | 17 | 6 | 15 | 6 | 16 | 3 | 4 | 6 | 17 -INPUTS | 10 | IOWRREQ | IOBERR | IODONE | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 -INPUTMC | 10 | 3 | 11 | 1 | 16 | 0 | 15 | 6 | 14 | 5 | 15 | 6 | 0 | 3 | 1 | 6 | 17 | 5 | 7 | 6 | 9 -EXPORTS | 1 | 6 | 17 -EQ | 6 | +OUTPUTMC | 8 | 5 | 11 | 5 | 2 | 5 | 0 | 5 | 16 | 5 | 15 | 5 | 7 | 5 | 12 | 3 | 5 +INPUTS | 1 | IOWRREQ +INPUTMC | 1 | 7 | 8 +EQ | 2 | iobm/IOWRREQr.D = IOWRREQ; iobm/IOWRREQr.CLK = C16M; // GCK - iobm/IOWRREQr.EXP = !IOBERR & !IODONE & iobm/IOS_FSM_FFd3 - # iobm/IOS_FSM_FFd7 & iobm/IOWRREQr & !nAoutOE - # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & IOACT & - !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 GLOBALS | 1 | 2 | C16M -MACROCELL | 1 | 14 | iobm/VPAr +MACROCELL | 0 | 2 | iobm/VPAr ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 1 INPUTS | 1 | nVPA_IOB @@ -1096,63 +1435,49 @@ EQ | 2 | !iobm/VPAr.CLK = C8M; // GCK GLOBALS | 1 | 2 | C8M -MACROCELL | 3 | 3 | iobs/Clear1 +MACROCELL | 7 | 15 | iobs/Clear1 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 12 | 5 | 13 -INPUTS | 2 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 -INPUTMC | 2 | 3 | 8 | 3 | 15 -EQ | 2 | +OUTPUTMC | 3 | 2 | 17 | 5 | 13 | 7 | 16 +INPUTS | 18 | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | iobs/Sent | IONPReady | iobs/IODONEr | nAS_FSB | fsb/ASrf | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | A_FSB<13> +INPUTMC | 7 | 3 | 12 | 7 | 3 | 3 | 16 | 7 | 16 | 0 | 0 | 2 | 1 | 4 | 12 +INPUTP | 11 | 54 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 18 +EXPORTS | 1 | 7 | 16 +EQ | 8 | iobs/Clear1.D = !iobs/TS_FSM_FFd1 & iobs/TS_FSM_FFd2; iobs/Clear1.CLK = FCLK; // GCK + iobs/Clear1.EXP = !iobs/Sent & !IONPReady + # !IONPReady & !iobs/IODONEr + # nAS_FSB & !fsb/ASrf + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & cs/nOverlay & + !nWE_FSB & !IONPReady & A_FSB<13> GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 2 | ram/RS_FSM_FFd2 +MACROCELL | 1 | 15 | ram/RS_FSM_FFd2 ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 8 | 2 | 4 +OUTPUTMC | 2 | 4 | 16 | 1 | 16 INPUTS | 1 | ram/RS_FSM_FFd3 -INPUTMC | 1 | 7 | 0 +INPUTMC | 1 | 1 | 14 EQ | 2 | ram/RS_FSM_FFd2.D = ram/RS_FSM_FFd3; ram/RS_FSM_FFd2.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 3 | ram/RS_FSM_FFd4 -ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 2 | 7 | 17 | 7 | 15 -INPUTS | 1 | ram/RS_FSM_FFd1 -INPUTMC | 1 | 2 | 4 -EQ | 2 | - ram/RS_FSM_FFd4.D = ram/RS_FSM_FFd1; - ram/RS_FSM_FFd4.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 3 | 6 | ram/Once -ATTRIBUTES | 8553280 | 0 -OUTPUTMC | 1 | 7 | 17 -INPUTS | 2 | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 7 | 3 -INPUTP | 1 | 54 -EQ | 3 | - !ram/Once.D = nAS_FSB & !fsb/ASrf; - ram/Once.CLK = FCLK; // GCK - ram/Once.CE = nAS_FSB & !fsb/ASrf; -GLOBALS | 1 | 2 | FCLK - -MACROCELL | 7 | 6 | ALE0S +MACROCELL | 0 | 12 | ALE0S ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 5 | 14 INPUTS | 1 | iobs/TS_FSM_FFd2 -INPUTMC | 1 | 3 | 15 +INPUTMC | 1 | 7 | 3 EQ | 2 | ALE0S.D = iobs/TS_FSM_FFd2; ALE0S.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 6 | 6 | cnt/Er<1> +MACROCELL | 6 | 3 | cnt/Er<1> ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 22 | 2 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 14 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 4 | 15 | 2 | 9 | 4 | 0 | 2 | 6 | 2 | 13 +OUTPUTMC | 22 | 6 | 17 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 6 | 14 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 2 | 13 | 6 | 6 | 2 | 2 | 6 | 2 | 6 | 12 INPUTS | 1 | cnt/Er<0> -INPUTMC | 1 | 2 | 7 +INPUTMC | 1 | 0 | 11 EQ | 2 | cnt/Er<1>.D = cnt/Er<0>; cnt/Er<1>.CLK = FCLK; // GCK @@ -1160,9 +1485,9 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 9 | cs/ODCSr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 0 | 10 +OUTPUTMC | 1 | 4 | 12 INPUTS | 6 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | nAS_FSB | fsb/ASrf -INPUTMC | 1 | 7 | 3 +INPUTMC | 1 | 2 | 1 INPUTP | 5 | 36 | 30 | 29 | 28 | 54 EQ | 5 | cs/ODCSr.D = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & @@ -1172,9 +1497,9 @@ EQ | 5 | cs/ODCSr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 3 | fsb/ASrf +MACROCELL | 2 | 1 | fsb/ASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 20 | 3 | 17 | 7 | 17 | 0 | 10 | 3 | 13 | 7 | 16 | 7 | 12 | 7 | 11 | 3 | 10 | 3 | 14 | 7 | 15 | 3 | 12 | 0 | 0 | 0 | 15 | 7 | 13 | 3 | 15 | 3 | 1 | 3 | 6 | 3 | 9 | 2 | 8 | 7 | 0 +OUTPUTMC | 36 | 3 | 16 | 4 | 2 | 4 | 12 | 3 | 1 | 7 | 15 | 2 | 17 | 4 | 7 | 7 | 11 | 3 | 10 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 16 | 7 | 9 | 4 | 6 | 3 | 7 | 7 | 8 | 3 | 14 | 3 | 9 | 2 | 0 | 4 | 17 | 4 | 1 | 2 | 8 | 3 | 3 | 3 | 4 | 3 | 12 | 3 | 13 | 3 | 17 | 4 | 0 | 4 | 3 | 4 | 4 | 4 | 5 | 4 | 16 | 7 | 7 INPUTS | 1 | nAS_FSB INPUTP | 1 | 54 EQ | 2 | @@ -1182,81 +1507,230 @@ EQ | 2 | !fsb/ASrf.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 5 | iobs/IODONEr<0> +MACROCELL | 0 | 0 | iobs/IODONEr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 14 +OUTPUTMC | 1 | 7 | 15 INPUTS | 1 | IODONE -INPUTMC | 1 | 0 | 15 +INPUTMC | 1 | 5 | 9 EQ | 2 | - iobs/IODONEr<0>.D = IODONE; - iobs/IODONEr<0>.CLK = FCLK; // GCK + iobs/IODONEr.D = IODONE; + iobs/IODONEr.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 2 | nRESout +MACROCELL | 3 | 0 | nRESout ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 3 | 7 -INPUTS | 2 | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 -INPUTMC | 2 | 2 | 6 | 2 | 13 -EQ | 2 | +OUTPUTMC | 2 | 3 | 7 | 3 | 1 +INPUTS | 4 | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nBR_IOB | nAoutOE +INPUTMC | 4 | 6 | 2 | 6 | 12 | 7 | 14 | 3 | 1 +EXPORTS | 1 | 3 | 1 +EQ | 6 | nRESout.D = cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2; nRESout.CLK = FCLK; // GCK + nRESout.EXP = !nBR_IOB & cnt/INITS_FSM_FFd1 & + cnt/INITS_FSM_FFd2 + # cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 & + !nAoutOE GLOBALS | 1 | 2 | FCLK -MACROCELL | 3 | 0 | ram/BACTr +MACROCELL | 2 | 0 | ram/BACTr ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 6 | 7 | 17 | 7 | 15 | 7 | 13 | 7 | 16 | 7 | 0 | 3 | 17 -INPUTS | 10 | A_FSB<23> | iobs/Sent | iobs/TS_FSM_FFd2 | A_FSB<22> | nWE_FSB | iobs/TS_FSM_FFd1 | A_FSB<21> | A_FSB<20> | cs/nOverlay | nAoutOE_OBUF.EXP -INPUTMC | 5 | 3 | 17 | 3 | 15 | 3 | 8 | 0 | 10 | 3 | 1 -INPUTP | 5 | 36 | 30 | 47 | 29 | 28 -EXPORTS | 1 | 3 | 17 -IMPORTS | 1 | 3 | 1 -EQ | 9 | - !ram/BACTr.D = ;Imported pterms FB4_2 - nAS_FSB & !fsb/ASrf; +OUTPUTMC | 11 | 4 | 1 | 3 | 1 | 4 | 6 | 3 | 14 | 4 | 16 | 3 | 3 | 3 | 13 | 4 | 0 | 4 | 3 | 4 | 5 | 4 | 7 +INPUTS | 2 | nAS_FSB | fsb/ASrf +INPUTMC | 1 | 2 | 1 +INPUTP | 1 | 54 +EQ | 2 | + !ram/BACTr.D = nAS_FSB & !fsb/ASrf; ram/BACTr.CLK = FCLK; // GCK - ram/BACTr.EXP = A_FSB<23> & !iobs/Sent & iobs/TS_FSM_FFd2 - # A_FSB<22> & !iobs/Sent & iobs/TS_FSM_FFd2 - # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd1 - # !iobs/Sent & nWE_FSB & iobs/TS_FSM_FFd2 - # !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> & - !iobs/Sent & cs/nOverlay GLOBALS | 1 | 2 | FCLK -MACROCELL | 7 | 16 | ram/CAS +MACROCELL | 4 | 17 | ram/CAS ATTRIBUTES | 8553216 | 0 OUTPUTMC | 1 | 4 | 4 -INPUTS | 9 | ram/RS_FSM_FFd6 | RefUrg | ram/RAMEN | ram/RefDone | ram/RS_FSM_FFd8 | RefReq | nAS_FSB | ram/BACTr | fsb/ASrf -INPUTMC | 8 | 7 | 13 | 2 | 17 | 7 | 17 | 7 | 8 | 7 | 15 | 2 | 14 | 3 | 0 | 7 | 3 +INPUTS | 11 | ram/RS_FSM_FFd6 | ram/RS_FSM_FFd7 | RefUrg | ram/RefDone | ram/RS_FSM_FFd5 | ram/RAMEN | ram/RS_FSM_FFd8 | nAS_FSB | fsb/ASrf | EXP12_.EXP | ram/RefDone.EXP +INPUTMC | 10 | 4 | 6 | 3 | 15 | 6 | 17 | 4 | 16 | 1 | 13 | 4 | 2 | 3 | 2 | 2 | 1 | 4 | 0 | 4 | 16 INPUTP | 1 | 54 -EQ | 10 | - ram/CAS.D = ram/RS_FSM_FFd6 +IMPORTS | 2 | 4 | 0 | 4 | 16 +EQ | 26 | + ram/CAS.D = ram/RS_FSM_FFd7 + # ram/RS_FSM_FFd6 + # RefUrg & !ram/RefDone & ram/RS_FSM_FFd5 # RefUrg & !ram/RAMEN & !ram/RefDone & ram/RS_FSM_FFd8 # RefUrg & !ram/RefDone & nAS_FSB & ram/RS_FSM_FFd8 & !fsb/ASrf - # RefReq & !ram/RefDone & !nAS_FSB & +;Imported pterms FB5_1 + # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & ram/RS_FSM_FFd8 & !ram/BACTr - # RefReq & !ram/RefDone & ram/RS_FSM_FFd8 & - fsb/ASrf & !ram/BACTr; + # A_FSB<23> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr +;Imported pterms FB5_17 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr; ram/CAS.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK -MACROCELL | 2 | 4 | ram/RS_FSM_FFd1 +MACROCELL | 1 | 17 | ram/RASrf ATTRIBUTES | 8553216 | 0 -OUTPUTMC | 1 | 2 | 3 +OUTPUTMC | 1 | 7 | 4 +INPUTS | 1 | ram/RS_FSM_FFd7 +INPUTMC | 1 | 3 | 15 +EQ | 2 | + ram/RASrf.D = ram/RS_FSM_FFd7; + !ram/RASrf.CLK = FCLK; // GCK +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 4 | 3 | ram/RASrr +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 2 | 7 | 5 | 4 | 2 +INPUTS | 11 | ram/RS_FSM_FFd3 | A_FSB<23> | ram/RefDone | RefReq | ram/RS_FSM_FFd8 | fsb/ASrf | ram/BACTr | A_FSB<22> | cs/nOverlay | nAS_FSB | nCAS_OBUF.EXP +INPUTMC | 8 | 1 | 14 | 4 | 16 | 6 | 14 | 3 | 2 | 2 | 1 | 2 | 0 | 4 | 12 | 4 | 4 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 2 +IMPORTS | 1 | 4 | 4 +EQ | 16 | + ram/RASrr.D = ram/RS_FSM_FFd3 +;Imported pterms FB5_5 + # ram/RS_FSM_FFd6 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf; + ram/RASrr.CLK = FCLK; // GCK + ram/RASrr.EXP = A_FSB<23> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr +GLOBALS | 1 | 2 | FCLK + +MACROCELL | 1 | 16 | ram/RS_FSM_FFd1 +ATTRIBUTES | 8553216 | 0 +OUTPUTMC | 1 | 4 | 15 INPUTS | 1 | ram/RS_FSM_FFd2 -INPUTMC | 1 | 7 | 2 +INPUTMC | 1 | 1 | 15 EQ | 2 | ram/RS_FSM_FFd1.D = ram/RS_FSM_FFd2; ram/RS_FSM_FFd1.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK +MACROCELL | 6 | 5 | RA_0_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | ram/RASEL | A_FSB<1> | A_FSB<9> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 149 | 12 +EQ | 2 | + RA<0> = ram/RASEL & A_FSB<1> + # !ram/RASEL & A_FSB<9>; + +MACROCELL | 6 | 8 | RA_10_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<17> | ram/RASEL | A_FSB<7> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 23 | 8 +EQ | 2 | + RA<10> = A_FSB<17> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + +MACROCELL | 6 | 1 | RA_1_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | ram/RASEL | A_FSB<10> | A_FSB<2> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 13 | 153 +EQ | 2 | + RA<1> = ram/RASEL & A_FSB<2> + # !ram/RASEL & A_FSB<10>; + +MACROCELL | 4 | 13 | RA_2_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<16> | ram/RASEL | A_FSB<7> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 22 | 8 +EQ | 2 | + RA<2> = A_FSB<16> & !ram/RASEL + # ram/RASEL & A_FSB<7>; + +MACROCELL | 4 | 8 | RA_4_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | ram/RASEL | A_FSB<11> | A_FSB<3> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 15 | 155 +EQ | 2 | + RA<4> = ram/RASEL & A_FSB<3> + # !ram/RASEL & A_FSB<11>; + +MACROCELL | 4 | 11 | RA_5_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | ram/RASEL | A_FSB<12> | A_FSB<4> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 17 | 157 +EQ | 2 | + RA<5> = ram/RASEL & A_FSB<4> + # !ram/RASEL & A_FSB<12>; + +MACROCELL | 4 | 14 | RA_6_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | ram/RASEL | A_FSB<13> | A_FSB<5> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 18 | 4 +EQ | 2 | + RA<6> = ram/RASEL & A_FSB<5> + # !ram/RASEL & A_FSB<13>; + +MACROCELL | 6 | 4 | RA_7_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | ram/RASEL | A_FSB<14> | A_FSB<6> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 19 | 6 +EQ | 2 | + RA<7> = ram/RASEL & A_FSB<6> + # !ram/RASEL & A_FSB<14>; + +MACROCELL | 6 | 7 | RA_8_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | A_FSB<18> | ram/RASEL | A_FSB<21> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 24 | 29 +EQ | 2 | + RA<8> = A_FSB<21> & ram/RASEL + # A_FSB<18> & !ram/RASEL; + +MACROCELL | 6 | 10 | RA_9_OBUF +ATTRIBUTES | 264962 | 0 +INPUTS | 3 | ram/RASEL | A_FSB<15> | A_FSB<8> +INPUTMC | 1 | 4 | 9 +INPUTP | 2 | 21 | 11 +EQ | 2 | + RA<9> = ram/RASEL & A_FSB<8> + # !ram/RASEL & A_FSB<15>; + MACROCELL | 4 | 5 | nOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 2 | nWE_FSB | nAS_FSB -INPUTP | 2 | 47 | 54 -EQ | 1 | +OUTPUTMC | 1 | 4 | 6 +INPUTS | 10 | nWE_FSB | nAS_FSB | A_FSB<23> | ram/RefDone | RefReq | ram/RS_FSM_FFd8 | fsb/ASrf | ram/BACTr | A_FSB<22> | cs/nOverlay +INPUTMC | 6 | 4 | 16 | 6 | 14 | 3 | 2 | 2 | 1 | 2 | 0 | 4 | 12 +INPUTP | 4 | 47 | 54 | 36 | 30 +EXPORTS | 1 | 4 | 6 +EQ | 7 | !nOE = nWE_FSB & !nAS_FSB; + nOE_OBUF.EXP = A_FSB<23> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr MACROCELL | 2 | 16 | nROMWE_OBUF ATTRIBUTES | 264962 | 0 @@ -1268,145 +1742,107 @@ EQ | 1 | MACROCELL | 5 | 14 | nADoutLE0_OBUF ATTRIBUTES | 264962 | 0 INPUTS | 2 | ALE0M | ALE0S -INPUTMC | 2 | 5 | 17 | 7 | 6 +INPUTMC | 2 | 5 | 15 | 0 | 12 EQ | 1 | nADoutLE0 = !ALE0M & !ALE0S; MACROCELL | 4 | 4 | nCAS_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 1 | ram/CAS -INPUTMC | 1 | 7 | 16 -EQ | 2 | +OUTPUTMC | 1 | 4 | 3 +INPUTS | 9 | ram/CAS | ram/RS_FSM_FFd6 | A_FSB<23> | A_FSB<22> | ram/RAMEN | cs/nOverlay | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf +INPUTMC | 6 | 4 | 17 | 4 | 6 | 4 | 2 | 4 | 12 | 3 | 2 | 2 | 1 +INPUTP | 3 | 36 | 30 | 54 +EXPORTS | 1 | 4 | 3 +EQ | 7 | nCAS.D = !ram/CAS; !nCAS.CLK = FCLK; // GCK + nCAS_OBUF.EXP = ram/RS_FSM_FFd6 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB & ram/RS_FSM_FFd8 + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf GLOBALS | 1 | 2 | FCLK MACROCELL | 2 | 8 | nDTACK_FSB_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 10 | nAS_FSB | fsb/ASrf | A_FSB<23> | IONPReady | A_FSB<22> | A_FSB<20> | A_FSB<21> | nWE_FSB | cnt/Er<0>.EXP | cnt/TimerTC.EXP -INPUTMC | 4 | 7 | 3 | 3 | 14 | 2 | 7 | 2 | 9 -INPUTP | 6 | 54 | 36 | 30 | 28 | 29 | 47 +INPUTS | 10 | A_FSB<23> | IONPReady | nAS_FSB | fsb/ASrf | A_FSB<22> | A_FSB<20> | A_FSB<21> | RAMReady | cnt/LTimer<5>.EXP | cnt/LTimer<4>.EXP +INPUTMC | 5 | 7 | 16 | 2 | 1 | 3 | 14 | 2 | 7 | 2 | 9 +INPUTP | 5 | 36 | 54 | 30 | 28 | 29 IMPORTS | 2 | 2 | 7 | 2 | 9 -EQ | 11 | +EQ | 16 | nDTACK_FSB.D = A_FSB<23> & !IONPReady # nAS_FSB & !fsb/ASrf # A_FSB<22> & A_FSB<21> & !IONPReady # A_FSB<22> & A_FSB<20> & !IONPReady - # !A_FSB<22> & nWE_FSB & !IONPReady + # !A_FSB<22> & !IONPReady & !RAMReady ;Imported pterms FB3_8 - # !A_FSB<22> & !IONPReady & !IOPWReady -;Imported pterms FB3_10 # A_FSB<23> & A_FSB<22> & A_FSB<21> & A_FSB<20> & - A_FSB<19> & A_FSB<18>; + A_FSB<19> & A_FSB<18> + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & + !IOPWReady & A_FSB<14> +;Imported pterms FB3_10 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & cs/nOverlay & !nWE_FSB & !IONPReady & + !IOPWReady & A_FSB<13>; nDTACK_FSB.CLK = FCLK; // GCK GLOBALS | 1 | 2 | FCLK MACROCELL | 5 | 16 | nDinLE_OBUF ATTRIBUTES | 8684290 | 0 -INPUTS | 2 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 -INPUTMC | 2 | 6 | 14 | 5 | 6 -EQ | 2 | +OUTPUTMC | 1 | 5 | 17 +INPUTS | 10 | iobm/IOS_FSM_FFd3 | iobm/IOS_FSM_FFd4 | IOBERR | IODONE | iobm/IOS_FSM_FFd7 | iobm/IOWRREQr | nAoutOE | IOACT | iobm/IOS_FSM_FFd1 | iobm/IOS_FSM_FFd2 +INPUTMC | 10 | 5 | 3 | 0 | 5 | 0 | 16 | 5 | 9 | 5 | 2 | 0 | 3 | 3 | 1 | 5 | 17 | 0 | 6 | 0 | 15 +EXPORTS | 1 | 5 | 17 +EQ | 6 | !nDinLE.D = !iobm/IOS_FSM_FFd3 & !iobm/IOS_FSM_FFd4; !nDinLE.CLK = C16M; // GCK + nDinLE_OBUF.EXP = !IOBERR & !IODONE & iobm/IOS_FSM_FFd3 + # iobm/IOS_FSM_FFd7 & iobm/IOWRREQr & !nAoutOE + # !iobm/IOS_FSM_FFd7 & !iobm/IOS_FSM_FFd3 & IOACT & + !iobm/IOS_FSM_FFd1 & !iobm/IOS_FSM_FFd2 GLOBALS | 1 | 2 | C16M MACROCELL | 3 | 7 | C20MEN_OBUF ATTRIBUTES | 265986 | 0 -INPUTS | 1 | nRESout -INPUTMC | 1 | 3 | 2 -EQ | 2 | +OUTPUTMC | 1 | 3 | 8 +INPUTS | 11 | nRESout | iobs/IORW1 | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<23> | A_FSB<22> | nAS_FSB | fsb/ASrf | A_FSB<21> | A_FSB<20> | cs/nOverlay +INPUTMC | 6 | 3 | 0 | 3 | 3 | 7 | 3 | 5 | 13 | 2 | 1 | 4 | 12 +INPUTP | 5 | 36 | 30 | 54 | 29 | 28 +EXPORTS | 1 | 3 | 8 +EQ | 9 | nRES = Gnd; nRES.OE = !nRESout; + C20MEN_OBUF.EXP = !iobs/IORW1 & !iobs/TS_FSM_FFd2 & !nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + nADoutLE1 + # nAS_FSB & !iobs/TS_FSM_FFd2 & !fsb/ASrf & + nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 -MACROCELL | 6 | 1 | A_FSB_10_IBUF$BUF0 +MACROCELL | 7 | 1 | RA_11_OBUF$BUF0 ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<10> -INPUTP | 1 | 13 -EQ | 1 | - RA<1> = A_FSB<10>; - -MACROCELL | 4 | 8 | A_FSB_11_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<11> -INPUTP | 1 | 15 -EQ | 1 | - RA<4> = A_FSB<11>; - -MACROCELL | 4 | 11 | A_FSB_12_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<12> -INPUTP | 1 | 17 -EQ | 1 | - RA<5> = A_FSB<12>; - -MACROCELL | 4 | 14 | A_FSB_13_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<13> -INPUTP | 1 | 18 -EQ | 1 | - RA<6> = A_FSB<13>; - -MACROCELL | 6 | 4 | A_FSB_14_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<14> -INPUTP | 1 | 19 -EQ | 1 | - RA<7> = A_FSB<14>; - -MACROCELL | 6 | 10 | A_FSB_15_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<15> -INPUTP | 1 | 21 -EQ | 1 | - RA<9> = A_FSB<15>; - -MACROCELL | 4 | 13 | A_FSB_16_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<16> -INPUTP | 1 | 22 -EQ | 1 | - RA<2> = A_FSB<16>; - -MACROCELL | 6 | 8 | A_FSB_17_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<17> -INPUTP | 1 | 23 -EQ | 1 | - RA<10> = A_FSB<17>; - -MACROCELL | 6 | 7 | A_FSB_18_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<18> -INPUTP | 1 | 24 -EQ | 1 | - RA<8> = A_FSB<18>; - -MACROCELL | 4 | 10 | A_FSB_19_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<19> -INPUTP | 1 | 26 -EQ | 1 | - RA<3> = A_FSB<19>; - -MACROCELL | 7 | 1 | A_FSB_19_IBUF$BUF1 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<19> -INPUTP | 1 | 26 -EQ | 1 | - RA<11> = A_FSB<19>; - -MACROCELL | 6 | 5 | A_FSB_9_IBUF$BUF0 -ATTRIBUTES | 264962 | 0 -INPUTS | 1 | A_FSB<9> -INPUTP | 1 | 12 -EQ | 1 | - RA<0> = A_FSB<9>; +OUTPUTMC | 1 | 7 | 0 +INPUTS | 11 | A_FSB<19> | ram/RASEL | A_FSB<20> | A_FSB<23> | A_FSB<22> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<14> | A_FSB<13> | A_FSB<21> | cs/nOverlay +INPUTMC | 4 | 4 | 9 | 7 | 3 | 5 | 13 | 4 | 12 +INPUTP | 7 | 26 | 28 | 36 | 30 | 19 | 18 | 29 +EXPORTS | 1 | 7 | 0 +EQ | 8 | + RA<11> = A_FSB<20> & ram/RASEL + # A_FSB<19> & !ram/RASEL; + RA_11_OBUF$BUF0.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 MACROCELL | 5 | 13 | nADoutLE1_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 14 | 3 | 17 | 3 | 13 | 7 | 12 | 3 | 15 | 3 | 12 | 0 | 17 | 0 | 16 | 3 | 16 | 3 | 11 | 5 | 13 | 0 | 0 | 0 | 15 | 3 | 10 | 3 | 14 +OUTPUTMC | 25 | 3 | 16 | 3 | 3 | 2 | 17 | 7 | 3 | 3 | 11 | 7 | 17 | 7 | 12 | 3 | 8 | 7 | 8 | 5 | 13 | 3 | 4 | 3 | 7 | 3 | 12 | 3 | 15 | 3 | 17 | 7 | 0 | 7 | 1 | 7 | 2 | 7 | 4 | 7 | 7 | 7 | 9 | 7 | 11 | 7 | 13 | 7 | 14 | 7 | 16 INPUTS | 3 | iobs/Clear1 | nADoutLE1 | iobs/Load1 -INPUTMC | 3 | 3 | 3 | 5 | 13 | 3 | 12 +INPUTMC | 3 | 7 | 15 | 5 | 13 | 3 | 11 EQ | 3 | !nADoutLE1.D = iobs/Load1 # !iobs/Clear1 & !nADoutLE1; @@ -1415,73 +1851,126 @@ GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 1 | nAoutOE_OBUF ATTRIBUTES | 8815366 | 0 -OUTPUTMC | 13 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 15 | 5 | 12 | 6 | 17 | 5 | 17 | 6 | 16 | 3 | 1 | 3 | 4 | 6 | 0 | 3 | 0 -INPUTS | 6 | nBR_IOB | cnt/INITS_FSM_FFd1 | cnt/INITS_FSM_FFd2 | nAoutOE | nAS_FSB | fsb/ASrf -INPUTMC | 5 | 7 | 14 | 2 | 6 | 2 | 13 | 3 | 1 | 7 | 3 -INPUTP | 1 | 54 -EXPORTS | 1 | 3 | 0 -EQ | 6 | - !nAoutOE.D = !nBR_IOB & cnt/INITS_FSM_FFd1 & +OUTPUTMC | 14 | 5 | 1 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 2 | 5 | 0 | 5 | 17 | 5 | 15 | 5 | 12 | 3 | 0 | 3 | 4 | 3 | 5 | 5 | 16 | 3 | 2 +INPUTS | 10 | A_FSB<23> | ram/RefDone | RefReq | nAS_FSB | ram/RS_FSM_FFd4 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay | nRESout.EXP +INPUTMC | 7 | 4 | 16 | 6 | 14 | 4 | 15 | 2 | 0 | 2 | 1 | 4 | 12 | 3 | 0 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 3 | 2 +IMPORTS | 1 | 3 | 0 +EQ | 16 | + !nAoutOE.D = ;Imported pterms FB4_1 + !nBR_IOB & cnt/INITS_FSM_FFd1 & cnt/INITS_FSM_FFd2 # cnt/INITS_FSM_FFd1 & !cnt/INITS_FSM_FFd2 & !nAoutOE; nAoutOE.CLK = FCLK; // GCK - nAoutOE_OBUF.EXP = nAS_FSB & !fsb/ASrf + nAoutOE_OBUF.EXP = A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/BACTr + # A_FSB<23> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd4 & !ram/BACTr GLOBALS | 1 | 2 | FCLK MACROCELL | 3 | 5 | nDinOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 7 | A_FSB<20> | nWE_FSB | nAS_FSB | A_FSB<23> | A_FSB<21> | A_FSB<22> | cs/nOverlay -INPUTMC | 1 | 0 | 10 -INPUTP | 6 | 28 | 47 | 54 | 36 | 29 | 30 -EQ | 4 | +OUTPUTMC | 1 | 3 | 4 +INPUTS | 10 | A_FSB<23> | nWE_FSB | nAS_FSB | A_FSB<22> | A_FSB<21> | A_FSB<20> | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr | nAoutOE +INPUTMC | 4 | 0 | 7 | 5 | 12 | 0 | 3 | 3 | 1 +INPUTP | 6 | 36 | 47 | 54 | 30 | 29 | 28 +EXPORTS | 1 | 3 | 4 +EQ | 5 | !nDinOE = A_FSB<23> & nWE_FSB & !nAS_FSB - # A_FSB<21> & nWE_FSB & !nAS_FSB - # A_FSB<20> & nWE_FSB & !nAS_FSB - # !A_FSB<22> & cs/nOverlay & nWE_FSB & !nAS_FSB; + # A_FSB<22> & A_FSB<21> & nWE_FSB & !nAS_FSB + # A_FSB<22> & A_FSB<20> & nWE_FSB & !nAS_FSB; + nDinOE_OBUF.EXP = !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & + !nAoutOE MACROCELL | 3 | 4 | nDoutOE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 5 | iobm/DoutOE | nAoutOE | iobm/IORDREQr | iobm/IOS0 | iobm/IOWRREQr -INPUTMC | 5 | 6 | 15 | 3 | 1 | 6 | 2 | 6 | 16 | 6 | 0 -EQ | 3 | +OUTPUTMC | 1 | 3 | 3 +INPUTS | 21 | iobm/DoutOE | nAoutOE | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | iobs/Sent | cs/nOverlay | nWE_FSB | iobs/IORW1 | iobs/TS_FSM_FFd1 | A_FSB<14> | fsb/ASrf | nADoutLE1 | A_FSB<13> | iobs/TS_FSM_FFd2 | nDinOE_OBUF.EXP +INPUTMC | 10 | 5 | 7 | 3 | 1 | 3 | 16 | 4 | 12 | 3 | 3 | 3 | 12 | 2 | 1 | 5 | 13 | 7 | 3 | 3 | 5 +INPUTP | 11 | 36 | 30 | 29 | 28 | 26 | 24 | 23 | 22 | 47 | 19 | 18 +EXPORTS | 1 | 3 | 3 +IMPORTS | 1 | 3 | 5 +EQ | 20 | !nDoutOE = iobm/DoutOE & !nAoutOE +;Imported pterms FB4_6 # !iobm/IORDREQr & iobm/IOS0 & !iobm/IOWRREQr & !nAoutOE; + nDoutOE_OBUF.EXP = !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + A_FSB<14> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd1 & + A_FSB<13> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + A_FSB<14> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & iobs/IORW1 & iobs/TS_FSM_FFd2 & + A_FSB<13> & fsb/ASrf & nADoutLE1 MACROCELL | 7 | 5 | nRAMLWE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 4 | ram/RAMEN | nWE_FSB | nLDS_FSB | nAS_FSB -INPUTMC | 1 | 7 | 17 -INPUTP | 3 | 47 | 49 | 54 -EQ | 1 | +OUTPUTMC | 1 | 7 | 4 +INPUTS | 8 | ram/RAMEN | nWE_FSB | nLDS_FSB | nAS_FSB | ram/RASrr | A_FSB<23> | A_FSB<22> | cs/nOverlay +INPUTMC | 3 | 4 | 2 | 4 | 3 | 4 | 12 +INPUTP | 5 | 47 | 49 | 54 | 36 | 30 +EXPORTS | 1 | 7 | 4 +EQ | 4 | !nRAMLWE = ram/RAMEN & !nWE_FSB & !nLDS_FSB & !nAS_FSB; + nRAMLWE_OBUF.EXP = ram/RASrr + # !A_FSB<23> & !A_FSB<22> & ram/RAMEN & cs/nOverlay & + !nAS_FSB MACROCELL | 7 | 7 | nRAMUWE_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 4 | ram/RAMEN | nWE_FSB | nUDS_FSB | nAS_FSB -INPUTMC | 1 | 7 | 17 -INPUTP | 3 | 47 | 56 | 54 -EQ | 1 | - !nRAMUWE = ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB; - -MACROCELL | 7 | 4 | nRAS_OBUF -ATTRIBUTES | 8684294 | 0 -INPUTS | 2 | ram/RS_FSM_FFd3 | ram/RS_FSM_FFd6 -INPUTMC | 2 | 7 | 0 | 7 | 13 -EQ | 2 | - nRAS.D = !ram/RS_FSM_FFd3 & !ram/RS_FSM_FFd6; - nRAS.CLK = FCLK; // GCK -GLOBALS | 1 | 2 | FCLK +OUTPUTMC | 1 | 7 | 8 +INPUTS | 12 | A_FSB<22> | A_FSB<21> | iobs/Sent | nWE_FSB | nAS_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | nADoutLE1 | fsb/ASrf | A_FSB<20> | cs/nOverlay | EXP15_.EXP +INPUTMC | 7 | 3 | 16 | 3 | 12 | 7 | 3 | 5 | 13 | 2 | 1 | 4 | 12 | 7 | 6 +INPUTP | 5 | 30 | 29 | 47 | 54 | 28 +EXPORTS | 1 | 7 | 8 +IMPORTS | 1 | 7 | 6 +EQ | 12 | + !nRAMUWE = ;Imported pterms FB8_7 + ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB; + nRAMUWE_OBUF.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & A_FSB<21> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & nADoutLE1 + # A_FSB<22> & !iobs/Sent & !cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 MACROCELL | 4 | 1 | nROMCS_OBUF ATTRIBUTES | 264962 | 0 -INPUTS | 5 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay -INPUTMC | 1 | 0 | 10 -INPUTP | 4 | 36 | 30 | 29 | 28 -EQ | 2 | +OUTPUTMC | 1 | 4 | 2 +INPUTS | 12 | A_FSB<23> | A_FSB<22> | A_FSB<21> | A_FSB<20> | cs/nOverlay | RefUrg | ram/RefDone | nAS_FSB | ram/RS_FSM_FFd8 | fsb/ASrf | RefReq | ram/BACTr +INPUTMC | 7 | 4 | 12 | 6 | 17 | 4 | 16 | 3 | 2 | 2 | 1 | 6 | 14 | 2 | 0 +INPUTP | 5 | 36 | 30 | 29 | 28 | 54 +EXPORTS | 1 | 4 | 2 +EQ | 8 | !nROMCS = !A_FSB<23> & A_FSB<22> & !A_FSB<21> & !A_FSB<20> # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & !cs/nOverlay; + nROMCS_OBUF.EXP = RefUrg & !ram/RefDone & nAS_FSB & + ram/RS_FSM_FFd8 & !fsb/ASrf + # A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr MACROCELL | 6 | 13 | C20MEN_OBUF$BUF0 ATTRIBUTES | 264962 | 0 @@ -1495,66 +1984,255 @@ INPUTS | 0 EQ | 1 | C25MEN = Vcc; -PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 13 | 3 | 17 | 3 | 13 | 3 | 14 | 3 | 10 | 2 | 9 | 3 | 12 | 4 | 1 | 0 | 0 | 0 | 17 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 -PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 14 | 3 | 17 | 3 | 13 | 3 | 14 | 3 | 10 | 2 | 9 | 3 | 12 | 4 | 1 | 0 | 0 | 2 | 7 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 | 0 | 17 -PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 12 | 2 | 9 | 3 | 10 | 3 | 14 | 4 | 1 | 0 | 0 | 3 | 12 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 | 0 | 17 | 3 | 17 -PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 12 | 2 | 9 | 3 | 10 | 3 | 14 | 4 | 1 | 0 | 0 | 3 | 12 | 3 | 0 | 3 | 9 | 2 | 8 | 3 | 5 | 0 | 17 | 3 | 17 -PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 4 | 3 | 10 | 7 | 1 | 4 | 10 | 2 | 9 -PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 3 | 3 | 10 | 6 | 7 | 2 | 9 -PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 5 | 9 | 5 | 1 | 1 | 16 | 0 | 15 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 0 | 0 | 1 | 14 -PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 15 | 6 | 14 | 5 | 9 | 5 | 6 | 5 | 5 | 5 | 12 | 6 | 17 | 5 | 7 | 6 | 9 | 6 | 2 | 5 | 17 | 6 | 15 | 6 | 16 | 6 | 0 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 -PIN | FCLK | 16384 | 0 | N/A | 42 | 65 | 2 | 17 | 3 | 17 | 6 | 3 | 6 | 12 | 1 | 17 | 2 | 15 | 2 | 14 | 4 | 17 | 4 | 16 | 2 | 12 | 2 | 11 | 2 | 10 | 4 | 12 | 4 | 9 | 4 | 7 | 4 | 6 | 4 | 3 | 4 | 2 | 7 | 17 | 4 | 15 | 2 | 9 | 0 | 10 | 3 | 13 | 3 | 14 | 7 | 12 | 4 | 0 | 7 | 10 | 7 | 9 | 7 | 8 | 7 | 11 | 3 | 10 | 7 | 14 | 3 | 8 | 3 | 15 | 2 | 6 | 2 | 13 | 7 | 15 | 5 | 4 | 3 | 12 | 0 | 17 | 0 | 16 | 7 | 0 | 7 | 13 | 3 | 16 | 3 | 11 | 2 | 7 | 1 | 15 | 3 | 3 | 7 | 2 | 2 | 3 | 3 | 6 | 7 | 6 | 6 | 6 | 3 | 9 | 2 | 5 | 3 | 2 | 3 | 0 | 7 | 16 | 2 | 4 | 2 | 8 | 5 | 13 | 3 | 1 | 7 | 4 | 7 | 3 | 4 | 4 -PIN | nAS_FSB | 64 | 0 | N/A | 54 | 26 | 3 | 17 | 7 | 17 | 0 | 10 | 3 | 13 | 7 | 5 | 7 | 12 | 7 | 11 | 3 | 10 | 3 | 14 | 7 | 15 | 3 | 12 | 0 | 0 | 0 | 15 | 7 | 13 | 3 | 15 | 3 | 1 | 3 | 6 | 3 | 9 | 7 | 3 | 7 | 7 | 7 | 16 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 0 -PIN | nWE_FSB | 64 | 0 | N/A | 47 | 12 | 3 | 0 | 3 | 13 | 3 | 14 | 3 | 12 | 3 | 16 | 3 | 11 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 5 | 7 | 7 -PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 1 | 16 -PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 0 | 15 -PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 7 | 10 | 0 | 17 | 7 | 5 -PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 7 | 9 | 0 | 16 | 7 | 7 -PIN | E | 64 | 0 | N/A | 37 | 6 | 0 | 12 | 0 | 11 | 0 | 14 | 0 | 13 | 2 | 7 | 0 | 0 -PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 1 | 15 -PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 1 | 14 -PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 1 | 6 | 1 -PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 1 | 4 | 8 -PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 1 | 4 | 11 -PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 1 | 4 | 14 -PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 1 | 6 | 4 -PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 1 | 6 | 10 -PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 1 | 4 | 13 -PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 1 | 6 | 8 +MACROCELL | 3 | 13 | EXP10_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 14 +INPUTS | 10 | A_FSB<23> | ram/RefDone | RefReq | nAS_FSB | ram/RS_FSM_FFd4 | RAMReady | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay +INPUTMC | 7 | 4 | 16 | 6 | 14 | 4 | 15 | 3 | 14 | 2 | 0 | 2 | 1 | 4 | 12 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 3 | 14 +EQ | 10 | + EXP10_.EXP = A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & + !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr + # A_FSB<23> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + !ram/RS_FSM_FFd4 & !RAMReady & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & + !ram/RS_FSM_FFd4 & !RAMReady & fsb/ASrf & !ram/BACTr + +MACROCELL | 3 | 17 | EXP11_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 3 | 16 +INPUTS | 18 | A_FSB<22> | A_FSB<21> | iobs/Sent | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<23> | A_FSB<20> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nWE_FSB | nAS_FSB | A_FSB<14> | A_FSB<13> +INPUTMC | 6 | 3 | 16 | 3 | 12 | 7 | 3 | 2 | 1 | 5 | 13 | 4 | 12 +INPUTP | 12 | 30 | 29 | 36 | 28 | 26 | 24 | 23 | 22 | 47 | 54 | 19 | 18 +EXPORTS | 1 | 3 | 16 +EQ | 14 | + EXP11_.EXP = A_FSB<22> & A_FSB<21> & !iobs/Sent & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<14> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & !nAS_FSB & A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & A_FSB<14> & fsb/ASrf & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & A_FSB<21> & A_FSB<20> & + A_FSB<19> & A_FSB<18> & A_FSB<17> & A_FSB<16> & !iobs/Sent & + cs/nOverlay & !nWE_FSB & A_FSB<13> & fsb/ASrf & nADoutLE1 + +MACROCELL | 4 | 0 | EXP12_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 4 | 17 +INPUTS | 9 | A_FSB<23> | ram/RefDone | RefReq | nAS_FSB | ram/RS_FSM_FFd8 | ram/BACTr | fsb/ASrf | A_FSB<22> | cs/nOverlay +INPUTMC | 6 | 4 | 16 | 6 | 14 | 3 | 2 | 2 | 0 | 2 | 1 | 4 | 12 +INPUTP | 3 | 36 | 54 | 30 +EXPORTS | 1 | 4 | 17 +EQ | 10 | + EXP12_.EXP = A_FSB<23> & !ram/RefDone & RefReq & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # A_FSB<23> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # A_FSB<22> & !ram/RefDone & RefReq & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & !nAS_FSB & + ram/RS_FSM_FFd8 & !ram/BACTr + # !ram/RefDone & RefReq & !cs/nOverlay & + ram/RS_FSM_FFd8 & fsb/ASrf & !ram/BACTr + +MACROCELL | 7 | 0 | EXP13_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 17 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | RA_11_OBUF$BUF0.EXP +INPUTMC | 3 | 7 | 3 | 5 | 13 | 7 | 1 +INPUTP | 7 | 36 | 30 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 7 | 17 +IMPORTS | 1 | 7 | 1 +EQ | 17 | + EXP13_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_2 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 7 | 2 | EXP14_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 3 +INPUTS | 9 | A_FSB<23> | A_FSB<22> | A_FSB<21> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<19> | A_FSB<18> | A_FSB<16> | nWE_FSB +INPUTMC | 2 | 7 | 3 | 5 | 13 +INPUTP | 7 | 36 | 30 | 29 | 26 | 24 | 22 | 47 +EXPORTS | 1 | 7 | 3 +EQ | 10 | + EXP14_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<21> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 + +MACROCELL | 7 | 6 | EXP15_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 7 +INPUTS | 4 | ram/RAMEN | nWE_FSB | nUDS_FSB | nAS_FSB +INPUTMC | 1 | 4 | 2 +INPUTP | 3 | 47 | 56 | 54 +EXPORTS | 1 | 7 | 7 +EQ | 1 | + EXP15_.EXP = ram/RAMEN & !nWE_FSB & !nUDS_FSB & !nAS_FSB + +MACROCELL | 7 | 9 | EXP16_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 8 +INPUTS | 17 | A_FSB<22> | A_FSB<20> | iobs/Sent | nWE_FSB | iobs/TS_FSM_FFd1 | iobs/TS_FSM_FFd2 | fsb/ASrf | nADoutLE1 | A_FSB<21> | A_FSB<19> | A_FSB<18> | A_FSB<17> | A_FSB<16> | cs/nOverlay | nAS_FSB | A_FSB<14> | A_FSB<13> +INPUTMC | 6 | 3 | 16 | 3 | 12 | 7 | 3 | 2 | 1 | 5 | 13 | 4 | 12 +INPUTP | 11 | 30 | 28 | 47 | 29 | 26 | 24 | 23 | 22 | 54 | 19 | 18 +EXPORTS | 1 | 7 | 8 +EQ | 18 | + EXP16_.EXP = A_FSB<22> & A_FSB<20> & !iobs/Sent & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & fsb/ASrf & nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & + nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !nAS_FSB & !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & + nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<14> & fsb/ASrf & + nADoutLE1 + # A_FSB<21> & A_FSB<20> & A_FSB<19> & A_FSB<18> & + A_FSB<17> & A_FSB<16> & !iobs/Sent & cs/nOverlay & !nWE_FSB & + !iobs/TS_FSM_FFd1 & !iobs/TS_FSM_FFd2 & A_FSB<13> & fsb/ASrf & + nADoutLE1 + +MACROCELL | 7 | 10 | EXP17_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 11 +INPUTS | 3 | iobs/Sent | nBERR_FSB | IOBERR +INPUTMC | 3 | 3 | 16 | 7 | 11 | 0 | 16 +EXPORTS | 1 | 7 | 11 +EQ | 2 | + EXP17_.EXP = !iobs/Sent & nBERR_FSB + # !IOBERR & nBERR_FSB + +MACROCELL | 7 | 13 | EXP18_ +ATTRIBUTES | 2048 | 0 +OUTPUTMC | 1 | 7 | 12 +INPUTS | 10 | A_FSB<23> | A_FSB<22> | A_FSB<19> | iobs/TS_FSM_FFd2 | nADoutLE1 | A_FSB<18> | A_FSB<17> | A_FSB<16> | nWE_FSB | nBR_IOB_OBUF.EXP +INPUTMC | 3 | 7 | 3 | 5 | 13 | 7 | 14 +INPUTP | 7 | 36 | 30 | 26 | 24 | 23 | 22 | 47 +EXPORTS | 1 | 7 | 12 +IMPORTS | 1 | 7 | 14 +EQ | 17 | + EXP18_.EXP = !A_FSB<23> & !A_FSB<22> & !A_FSB<19> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<18> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<17> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<16> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & nWE_FSB & + !iobs/TS_FSM_FFd2 & nADoutLE1 +;Imported pterms FB8_15 + # !A_FSB<23> & !A_FSB<22> & !A_FSB<20> & + !iobs/TS_FSM_FFd2 & nADoutLE1 + # !A_FSB<23> & !A_FSB<22> & !iobs/TS_FSM_FFd2 & + !A_FSB<14> & !A_FSB<13> & nADoutLE1 + # !A_FSB<23> & !A_FSB<21> & !A_FSB<20> & cs/nOverlay & + !iobs/TS_FSM_FFd2 & nADoutLE1 + +PIN | A_FSB<23> | 64 | 0 | N/A | 36 | 37 | 3 | 16 | 4 | 0 | 3 | 3 | 7 | 16 | 4 | 7 | 3 | 10 | 7 | 2 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 15 | 7 | 11 | 4 | 6 | 3 | 7 | 7 | 8 | 3 | 13 | 3 | 9 | 4 | 16 | 3 | 17 | 2 | 8 | 3 | 5 | 4 | 1 | 2 | 7 | 3 | 1 | 3 | 4 | 3 | 12 | 4 | 3 | 4 | 4 | 4 | 5 | 7 | 0 | 7 | 1 | 7 | 4 | 7 | 5 | 7 | 13 | 7 | 14 +PIN | A_FSB<22> | 64 | 0 | N/A | 30 | 39 | 3 | 16 | 4 | 0 | 3 | 3 | 7 | 16 | 4 | 7 | 3 | 10 | 7 | 2 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 15 | 7 | 11 | 4 | 6 | 3 | 7 | 7 | 7 | 3 | 14 | 3 | 9 | 4 | 16 | 3 | 17 | 2 | 8 | 3 | 5 | 4 | 1 | 2 | 7 | 3 | 1 | 3 | 4 | 3 | 12 | 3 | 13 | 4 | 3 | 4 | 4 | 4 | 5 | 7 | 0 | 7 | 1 | 7 | 4 | 7 | 5 | 7 | 9 | 7 | 13 | 7 | 14 +PIN | A_FSB<21> | 64 | 0 | N/A | 29 | 24 | 3 | 15 | 3 | 3 | 7 | 16 | 3 | 10 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 11 | 3 | 7 | 7 | 7 | 3 | 9 | 6 | 7 | 2 | 8 | 3 | 5 | 4 | 1 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 12 | 3 | 17 | 7 | 1 | 7 | 4 | 7 | 9 | 7 | 14 +PIN | A_FSB<20> | 64 | 0 | N/A | 28 | 23 | 3 | 16 | 3 | 3 | 7 | 16 | 3 | 10 | 4 | 10 | 3 | 17 | 3 | 11 | 7 | 15 | 7 | 9 | 3 | 7 | 7 | 7 | 3 | 9 | 2 | 8 | 7 | 1 | 3 | 5 | 4 | 1 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 12 | 3 | 15 | 7 | 4 | 7 | 14 +PIN | A_FSB<19> | 64 | 0 | N/A | 26 | 16 | 3 | 12 | 3 | 3 | 7 | 16 | 3 | 10 | 4 | 10 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 0 | 2 | 7 | 7 | 1 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 +PIN | A_FSB<18> | 64 | 0 | N/A | 24 | 15 | 3 | 12 | 3 | 3 | 7 | 16 | 3 | 10 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 0 | 6 | 7 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 +PIN | C8M | 8256 | 0 | N/A | 35 | 10 | 0 | 9 | 5 | 1 | 0 | 16 | 5 | 9 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 0 | 8 | 0 | 2 +PIN | C16M | 4096 | 0 | N/A | 33 | 18 | 5 | 2 | 5 | 3 | 0 | 9 | 0 | 5 | 0 | 4 | 5 | 0 | 5 | 17 | 0 | 6 | 0 | 15 | 0 | 7 | 5 | 15 | 5 | 7 | 5 | 12 | 0 | 3 | 5 | 11 | 5 | 8 | 5 | 10 | 5 | 16 +PIN | A_FSB<17> | 64 | 0 | N/A | 23 | 14 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 0 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 4 | 6 | 8 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 +PIN | A_FSB<16> | 64 | 0 | N/A | 22 | 14 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 7 | 0 | 4 | 13 | 2 | 7 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 13 +PIN | FCLK | 16384 | 0 | N/A | 42 | 70 | 6 | 17 | 3 | 16 | 4 | 2 | 6 | 0 | 6 | 9 | 6 | 15 | 6 | 16 | 4 | 16 | 6 | 14 | 2 | 15 | 2 | 14 | 2 | 12 | 2 | 11 | 2 | 10 | 2 | 9 | 2 | 7 | 2 | 6 | 2 | 5 | 2 | 4 | 2 | 3 | 4 | 12 | 2 | 13 | 6 | 6 | 3 | 3 | 7 | 16 | 2 | 17 | 2 | 2 | 0 | 14 | 0 | 13 | 4 | 7 | 7 | 11 | 3 | 10 | 7 | 14 | 4 | 9 | 3 | 12 | 7 | 3 | 3 | 2 | 6 | 2 | 6 | 12 | 3 | 15 | 0 | 1 | 3 | 11 | 7 | 17 | 7 | 12 | 1 | 14 | 4 | 15 | 1 | 13 | 4 | 6 | 3 | 8 | 7 | 8 | 3 | 14 | 0 | 11 | 0 | 10 | 7 | 15 | 1 | 15 | 0 | 12 | 6 | 3 | 3 | 9 | 0 | 0 | 3 | 0 | 2 | 0 | 4 | 17 | 4 | 3 | 1 | 16 | 2 | 8 | 5 | 13 | 3 | 1 | 2 | 1 | 1 | 17 | 4 | 4 +PIN | nAS_FSB | 64 | 0 | N/A | 54 | 39 | 3 | 16 | 4 | 2 | 4 | 12 | 3 | 3 | 7 | 15 | 2 | 17 | 4 | 7 | 7 | 11 | 3 | 10 | 4 | 16 | 4 | 9 | 7 | 3 | 3 | 2 | 3 | 15 | 3 | 11 | 7 | 16 | 7 | 9 | 4 | 6 | 3 | 7 | 7 | 8 | 3 | 14 | 3 | 9 | 2 | 1 | 2 | 0 | 4 | 17 | 4 | 1 | 4 | 5 | 2 | 16 | 2 | 8 | 3 | 5 | 7 | 5 | 7 | 6 | 3 | 1 | 3 | 13 | 3 | 17 | 4 | 0 | 4 | 3 | 4 | 4 | 7 | 7 +PIN | nWE_FSB | 64 | 0 | N/A | 47 | 21 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 2 | 3 | 11 | 7 | 15 | 7 | 9 | 3 | 8 | 7 | 8 | 4 | 5 | 2 | 16 | 2 | 7 | 3 | 5 | 7 | 5 | 7 | 6 | 2 | 9 | 3 | 4 | 3 | 17 | 7 | 0 | 7 | 7 | 7 | 13 +PIN | A_FSB<14> | 64 | 0 | N/A | 19 | 12 | 3 | 12 | 3 | 3 | 7 | 16 | 7 | 1 | 3 | 11 | 7 | 14 | 7 | 9 | 7 | 4 | 6 | 4 | 2 | 7 | 3 | 4 | 3 | 17 +PIN | A_FSB<13> | 64 | 0 | N/A | 18 | 12 | 3 | 12 | 3 | 3 | 7 | 15 | 7 | 1 | 3 | 11 | 7 | 14 | 7 | 9 | 7 | 4 | 4 | 14 | 2 | 9 | 3 | 4 | 3 | 17 +PIN | nBERR_IOB | 64 | 0 | N/A | 123 | 1 | 0 | 16 +PIN | nDTACK_IOB | 64 | 0 | N/A | 127 | 1 | 5 | 9 +PIN | nLDS_FSB | 64 | 0 | N/A | 49 | 3 | 0 | 14 | 7 | 17 | 7 | 5 +PIN | nUDS_FSB | 64 | 0 | N/A | 56 | 3 | 0 | 13 | 7 | 12 | 7 | 6 +PIN | E | 64 | 0 | N/A | 37 | 6 | 5 | 4 | 0 | 17 | 5 | 6 | 5 | 5 | 0 | 11 | 0 | 8 +PIN | nIPL2 | 64 | 0 | N/A | 146 | 1 | 0 | 10 +PIN | nVPA_IOB | 64 | 0 | N/A | 125 | 1 | 0 | 2 +PIN | A_FSB<1> | 64 | 0 | N/A | 149 | 1 | 6 | 5 PIN | A_FSB<9> | 64 | 0 | N/A | 12 | 1 | 6 | 5 +PIN | A_FSB<7> | 64 | 0 | N/A | 8 | 2 | 6 | 8 | 4 | 13 +PIN | A_FSB<2> | 64 | 0 | N/A | 153 | 1 | 6 | 1 +PIN | A_FSB<10> | 64 | 0 | N/A | 13 | 1 | 6 | 1 +PIN | A_FSB<3> | 64 | 0 | N/A | 155 | 1 | 4 | 8 +PIN | A_FSB<11> | 64 | 0 | N/A | 15 | 1 | 4 | 8 +PIN | A_FSB<4> | 64 | 0 | N/A | 157 | 1 | 4 | 11 +PIN | A_FSB<12> | 64 | 0 | N/A | 17 | 1 | 4 | 11 +PIN | A_FSB<5> | 64 | 0 | N/A | 4 | 1 | 4 | 14 +PIN | A_FSB<6> | 64 | 0 | N/A | 6 | 1 | 6 | 4 +PIN | A_FSB<8> | 64 | 0 | N/A | 11 | 1 | 6 | 10 +PIN | A_FSB<15> | 64 | 0 | N/A | 21 | 1 | 6 | 10 PIN | nVMA_IOB | 536871040 | 0 | N/A | 118 PIN | nAS_IOB | 536871040 | 0 | N/A | 135 PIN | nLDS_IOB | 536871040 | 0 | N/A | 130 PIN | nUDS_IOB | 536871040 | 0 | N/A | 134 PIN | nBERR_FSB | 536871040 | 0 | N/A | 112 PIN | nVPA_FSB | 536871040 | 0 | N/A | 147 +PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | nBR_IOB | 536871040 | 0 | N/A | 116 +PIN | RA<3> | 536871040 | 0 | N/A | 64 +PIN | RA<0> | 536871040 | 0 | N/A | 86 +PIN | RA<10> | 536871040 | 0 | N/A | 90 +PIN | RA<1> | 536871040 | 0 | N/A | 79 +PIN | RA<2> | 536871040 | 0 | N/A | 69 +PIN | RA<4> | 536871040 | 0 | N/A | 63 +PIN | RA<5> | 536871040 | 0 | N/A | 68 +PIN | RA<6> | 536871040 | 0 | N/A | 72 +PIN | RA<7> | 536871040 | 0 | N/A | 82 +PIN | RA<8> | 536871040 | 0 | N/A | 88 +PIN | RA<9> | 536871040 | 0 | N/A | 92 PIN | nOE | 536871040 | 0 | N/A | 60 PIN | nROMWE | 536871040 | 0 | N/A | 57 PIN | nADoutLE0 | 536871040 | 0 | N/A | 139 PIN | nCAS | 536871040 | 0 | N/A | 59 PIN | nDTACK_FSB | 536871040 | 0 | N/A | 44 PIN | nDinLE | 536871040 | 0 | N/A | 140 -PIN | RA<1> | 536871040 | 0 | N/A | 79 -PIN | RA<4> | 536871040 | 0 | N/A | 63 -PIN | RA<5> | 536871040 | 0 | N/A | 68 -PIN | RA<6> | 536871040 | 0 | N/A | 72 -PIN | RA<7> | 536871040 | 0 | N/A | 82 -PIN | RA<9> | 536871040 | 0 | N/A | 92 -PIN | RA<2> | 536871040 | 0 | N/A | 69 -PIN | RA<10> | 536871040 | 0 | N/A | 90 -PIN | RA<8> | 536871040 | 0 | N/A | 88 -PIN | RA<3> | 536871040 | 0 | N/A | 64 PIN | RA<11> | 536871040 | 0 | N/A | 102 -PIN | RA<0> | 536871040 | 0 | N/A | 86 PIN | nADoutLE1 | 536871040 | 0 | N/A | 136 PIN | nAoutOE | 536871040 | 0 | N/A | 141 PIN | nDinOE | 536871040 | 0 | N/A | 144 PIN | nDoutOE | 536871040 | 0 | N/A | 143 PIN | nRAMLWE | 536871040 | 0 | N/A | 104 PIN | nRAMUWE | 536871040 | 0 | N/A | 105 -PIN | nRAS | 536871040 | 0 | N/A | 103 PIN | nROMCS | 536871040 | 0 | N/A | 58 PIN | C20MEN | 536871040 | 0 | N/A | 96 PIN | C25MEN | 536871040 | 0 | N/A | 95 -PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 0 | 15 | 0 | 10 +PIN | nRES | 536870976 | 0 | N/A | 145 | 2 | 5 | 9 | 4 | 12 diff --git a/cpld/XC95144XL/WarpSE.mod b/cpld/XC95144XL/WarpSE.mod deleted file mode 100644 index eebe67e..0000000 --- a/cpld/XC95144XL/WarpSE.mod +++ /dev/null @@ -1,170 +0,0 @@ -MODEL -MODEL_VERSION "v1998.8"; -DESIGN "WarpSE"; - -/* port names and type */ -INPUT S:PIN24 = A_FSB<23>; -INPUT S:PIN20 = A_FSB<22>; -INPUT S:PIN19 = A_FSB<21>; -INPUT S:PIN18 = A_FSB<20>; -INPUT S:PIN17 = A_FSB<19>; -INPUT S:PIN16 = A_FSB<18>; -INPUT S:PIN23 = C8M; -INPUT S:PIN22 = C16M; -INPUT S:PIN27 = FCLK; -INPUT S:PIN32 = nAS_FSB; -INPUT S:PIN29 = nWE_FSB; -INPUT S:PIN76 = nBERR_IOB; -INPUT S:PIN78 = nDTACK_IOB; -INPUT S:PIN30 = nLDS_FSB; -INPUT S:PIN33 = nUDS_FSB; -INPUT S:PIN25 = E; -INPUT S:PIN92 = nIPL2; -INPUT S:PIN77 = nVPA_IOB; -INPUT S:PIN8 = A_FSB<10>; -INPUT S:PIN9 = A_FSB<11>; -INPUT S:PIN10 = A_FSB<12>; -INPUT S:PIN11 = A_FSB<13>; -INPUT S:PIN12 = A_FSB<14>; -INPUT S:PIN13 = A_FSB<15>; -INPUT S:PIN14 = A_FSB<16>; -INPUT S:PIN15 = A_FSB<17>; -INPUT S:PIN7 = A_FSB<9>; -TRIOUT S:PIN91 = nRES; -TRIOUT S:PIN74 = nVMA_IOB; -TRIOUT S:PIN81 = nAS_IOB; -TRIOUT S:PIN79 = nLDS_IOB; -TRIOUT S:PIN80 = nUDS_IOB; -OUTPUT S:PIN70 = nBERR_FSB; -OUTPUT S:PIN93 = nVPA_FSB; -OUTPUT S:PIN72 = nBR_IOB; -OUTPUT S:PIN37 = nOE; -OUTPUT S:PIN34 = nROMWE; -OUTPUT S:PIN85 = nADoutLE0; -OUTPUT S:PIN36 = nCAS; -OUTPUT S:PIN28 = nDTACK_FSB; -OUTPUT S:PIN86 = nDinLE; -OUTPUT S:PIN50 = RA<1>; -OUTPUT S:PIN40 = RA<4>; -OUTPUT S:PIN42 = RA<5>; -OUTPUT S:PIN46 = RA<6>; -OUTPUT S:PIN52 = RA<7>; -OUTPUT S:PIN56 = RA<9>; -OUTPUT S:PIN43 = RA<2>; -OUTPUT S:PIN55 = RA<10>; -OUTPUT S:PIN54 = RA<8>; -OUTPUT S:PIN41 = RA<3>; -OUTPUT S:PIN63 = RA<11>; -OUTPUT S:PIN53 = RA<0>; -OUTPUT S:PIN82 = nADoutLE1; -OUTPUT S:PIN87 = nAoutOE; -OUTPUT S:PIN90 = nDinOE; -OUTPUT S:PIN89 = nDoutOE; -OUTPUT S:PIN65 = nRAMLWE; -OUTPUT S:PIN66 = nRAMUWE; -OUTPUT S:PIN64 = nRAS; -OUTPUT S:PIN35 = nROMCS; -OUTPUT S:PIN59 = C20MEN; -OUTPUT S:PIN58 = C25MEN; - -/* timing arc definitions */ -A_FSB<9>_RA<0>_delay: DELAY A_FSB<9> RA<0>; -A_FSB<17>_RA<10>_delay: DELAY A_FSB<17> RA<10>; -A_FSB<19>_RA<11>_delay: DELAY A_FSB<19> RA<11>; -A_FSB<10>_RA<1>_delay: DELAY A_FSB<10> RA<1>; -A_FSB<16>_RA<2>_delay: DELAY A_FSB<16> RA<2>; -A_FSB<19>_RA<3>_delay: DELAY A_FSB<19> RA<3>; -A_FSB<11>_RA<4>_delay: DELAY A_FSB<11> RA<4>; -A_FSB<12>_RA<5>_delay: DELAY A_FSB<12> RA<5>; -A_FSB<13>_RA<6>_delay: DELAY A_FSB<13> RA<6>; -A_FSB<14>_RA<7>_delay: DELAY A_FSB<14> RA<7>; -A_FSB<18>_RA<8>_delay: DELAY A_FSB<18> RA<8>; -A_FSB<15>_RA<9>_delay: DELAY A_FSB<15> RA<9>; -nAS_FSB_nDinOE_delay: DELAY nAS_FSB nDinOE; -nWE_FSB_nDinOE_delay: DELAY nWE_FSB nDinOE; -A_FSB<23>_nDinOE_delay: DELAY A_FSB<23> nDinOE; -A_FSB<22>_nDinOE_delay: DELAY A_FSB<22> nDinOE; -A_FSB<20>_nDinOE_delay: DELAY A_FSB<20> nDinOE; -A_FSB<21>_nDinOE_delay: DELAY A_FSB<21> nDinOE; -nWE_FSB_nOE_delay: DELAY nWE_FSB nOE; -nAS_FSB_nOE_delay: DELAY nAS_FSB nOE; -nWE_FSB_nRAMLWE_delay: DELAY nWE_FSB nRAMLWE; -nAS_FSB_nRAMLWE_delay: DELAY nAS_FSB nRAMLWE; -nLDS_FSB_nRAMLWE_delay: DELAY nLDS_FSB nRAMLWE; -nUDS_FSB_nRAMUWE_delay: DELAY nUDS_FSB nRAMUWE; -nWE_FSB_nRAMUWE_delay: DELAY nWE_FSB nRAMUWE; -nAS_FSB_nRAMUWE_delay: DELAY nAS_FSB nRAMUWE; -A_FSB<20>_nROMCS_delay: DELAY A_FSB<20> nROMCS; -A_FSB<22>_nROMCS_delay: DELAY A_FSB<22> nROMCS; -A_FSB<23>_nROMCS_delay: DELAY A_FSB<23> nROMCS; -A_FSB<21>_nROMCS_delay: DELAY A_FSB<21> nROMCS; -nAS_FSB_nROMWE_delay: DELAY nAS_FSB nROMWE; -nWE_FSB_nROMWE_delay: DELAY nWE_FSB nROMWE; -FCLK_nRES_delay: DELAY (ENABLE_HIGH) FCLK nRES; -FCLK_nVMA_IOB_delay: DELAY (ENABLE_HIGH) FCLK nVMA_IOB; -FCLK_nAS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nAS_IOB; -FCLK_nLDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nLDS_IOB; -FCLK_nUDS_IOB_delay: DELAY (ENABLE_HIGH) FCLK nUDS_IOB; -FCLK_nBERR_FSB_delay: DELAY FCLK nBERR_FSB; -FCLK_nVPA_FSB_delay: DELAY FCLK nVPA_FSB; -FCLK_nBR_IOB_delay: DELAY FCLK nBR_IOB; -FCLK_nADoutLE0_delay: DELAY FCLK nADoutLE0; -FCLK_nCAS_delay: DELAY FCLK nCAS; -FCLK_nDTACK_FSB_delay: DELAY FCLK nDTACK_FSB; -FCLK_nADoutLE1_delay: DELAY FCLK nADoutLE1; -FCLK_nAoutOE_delay: DELAY FCLK nAoutOE; -FCLK_nDinOE_delay: DELAY FCLK nDinOE; -FCLK_nDoutOE_delay: DELAY FCLK nDoutOE; -FCLK_nRAMLWE_delay: DELAY FCLK nRAMLWE; -FCLK_nRAMUWE_delay: DELAY FCLK nRAMUWE; -FCLK_nRAS_delay: DELAY FCLK nRAS; -FCLK_nROMCS_delay: DELAY FCLK nROMCS; -C16M_nAS_IOB_delay: DELAY (ENABLE_HIGH) C16M nAS_IOB; -C16M_nLDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nLDS_IOB; -C16M_nUDS_IOB_delay: DELAY (ENABLE_HIGH) C16M nUDS_IOB; -C16M_nADoutLE0_delay: DELAY C16M nADoutLE0; -C16M_nDinLE_delay: DELAY C16M nDinLE; -C16M_nDoutOE_delay: DELAY C16M nDoutOE; -C8M_nVMA_IOB_delay: DELAY (ENABLE_HIGH) C8M nVMA_IOB; - -/* timing check arc definitions */ -A_FSB<18>_FCLK_setup: SETUP(POSEDGE) A_FSB<18> FCLK; -A_FSB<19>_FCLK_setup: SETUP(POSEDGE) A_FSB<19> FCLK; -A_FSB<20>_FCLK_setup: SETUP(POSEDGE) A_FSB<20> FCLK; -A_FSB<21>_FCLK_setup: SETUP(POSEDGE) A_FSB<21> FCLK; -A_FSB<22>_FCLK_setup: SETUP(POSEDGE) A_FSB<22> FCLK; -A_FSB<23>_FCLK_setup: SETUP(POSEDGE) A_FSB<23> FCLK; -E_FCLK_setup: SETUP(POSEDGE) E FCLK; -nAS_FSB_FCLK_setup: SETUP(POSEDGE) nAS_FSB FCLK; -nIPL2_FCLK_setup: SETUP(POSEDGE) nIPL2 FCLK; -nLDS_FSB_FCLK_setup: SETUP(POSEDGE) nLDS_FSB FCLK; -nRES_FCLK_setup: SETUP(POSEDGE) nRES FCLK; -nUDS_FSB_FCLK_setup: SETUP(POSEDGE) nUDS_FSB FCLK; -nWE_FSB_FCLK_setup: SETUP(POSEDGE) nWE_FSB FCLK; -A_FSB<18>_FCLK_hold: HOLD(POSEDGE) A_FSB<18> FCLK; -A_FSB<19>_FCLK_hold: HOLD(POSEDGE) A_FSB<19> FCLK; -A_FSB<20>_FCLK_hold: HOLD(POSEDGE) A_FSB<20> FCLK; -A_FSB<21>_FCLK_hold: HOLD(POSEDGE) A_FSB<21> FCLK; -A_FSB<22>_FCLK_hold: HOLD(POSEDGE) A_FSB<22> FCLK; -A_FSB<23>_FCLK_hold: HOLD(POSEDGE) A_FSB<23> FCLK; -E_FCLK_hold: HOLD(POSEDGE) E FCLK; -nAS_FSB_FCLK_hold: HOLD(POSEDGE) nAS_FSB FCLK; -nIPL2_FCLK_hold: HOLD(POSEDGE) nIPL2 FCLK; -nLDS_FSB_FCLK_hold: HOLD(POSEDGE) nLDS_FSB FCLK; -nRES_FCLK_hold: HOLD(POSEDGE) nRES FCLK; -nUDS_FSB_FCLK_hold: HOLD(POSEDGE) nUDS_FSB FCLK; -nWE_FSB_FCLK_hold: HOLD(POSEDGE) nWE_FSB FCLK; -C8M_C16M_setup: SETUP(POSEDGE) C8M C16M; -C8M_C16M_hold: HOLD(POSEDGE) C8M C16M; -E_C8M_setup: SETUP(POSEDGE) E C8M; -nBERR_IOB_C8M_setup: SETUP(POSEDGE) nBERR_IOB C8M; -nDTACK_IOB_C8M_setup: SETUP(POSEDGE) nDTACK_IOB C8M; -nRES_C8M_setup: SETUP(POSEDGE) nRES C8M; -nVPA_IOB_C8M_setup: SETUP(POSEDGE) nVPA_IOB C8M; -E_C8M_hold: HOLD(POSEDGE) E C8M; -nBERR_IOB_C8M_hold: HOLD(POSEDGE) nBERR_IOB C8M; -nDTACK_IOB_C8M_hold: HOLD(POSEDGE) nDTACK_IOB C8M; -nRES_C8M_hold: HOLD(POSEDGE) nRES C8M; -nVPA_IOB_C8M_hold: HOLD(POSEDGE) nVPA_IOB C8M; - -ENDMODEL diff --git a/cpld/XC95144XL/WarpSE.nga b/cpld/XC95144XL/WarpSE.nga index 2b905ec..9b908e2 100644 --- a/cpld/XC95144XL/WarpSE.nga +++ b/cpld/XC95144XL/WarpSE.nga @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$4360=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(33?-07890=<=>56123-46< >:;<79?0184456.991#5=>?:8234??789#:7=?4139247=69;1:><<4138LQQVR\3XJ0;1:;?5>8392=7=58;19>?5=339107=5=;19;?5=8391=7=48;18>?5<339007=4=;18:?5<739747=39;1?>?5;339727=28;1>>?5:339607=2=;1>:?5:8396=7=1;;1=8?59539524=0:2=;>69=2:576>11:2=<>6972:5:5>>531:975?=;901?=3531<9759=;9;2?<4<19805<<49308=638:COA5K)L;n0MAK?M/F1[URX8m1J@H>B.E0\TQY6l2KGI=C!D3]SPZ4c3HFN<@ K2^RW[6b\7:COA5U)L11J@H>\.E3;?DJB8Z$O>:5NLD2P*T?3HUM_O2>0?f8EZ@TJ5;;2#^ND89B[CUE48;5h6OPFR@?548)XHN27LQISC>26;b/RB@<=FWOYI0<=1d:C\BVD;9:4%\LJ6;@]EWG:6<7n0MRH\B=37:+VFL01JSK]M<07=`>GXNZH7=80!P@F:?DYA[K6::3j4A^DPF9716'ZJH45N_GQA8419l2KTJ^L316<-TDB>3HUM_O2>8?f8EZ@TJ5;32#^ND89B[CUE4835h6OPFR@?5<8)XHN27LQISC>14;b/RB@<=FWOYI0??1d:C\BVD;:84%\LJ6;@]EWG:5:7n0MRH\B=01:+VFLk1JSK]M<3195;?e9B[CUE4;95"]OK8:C\BVD;17i0MRH\B=;=*UGCl2KTJ^LP11]JFP@6:2KTJ^LP11]JFP@#J\L;=95N_GQA[46XAK_M(O[I0/F21>GXNZHT==QFBTD'FP@7&M;:96OPFR@\55YNJ\L/NXH?.E020>GXNZHT==QFBTD'FP@7&Xn0MRH\B^32[LDRN880MRH\B^32[LDRN-H^J=?;;@]EWGY69VCIYK*MUG2-@43_H@VB!DRN9$O=<;4A^DPFZ76W@H^J)LZF1,G642_H@VB!DRN9$Zh6OPFR@\57YNJ\L:>6OPFR@\57YNJ\L/NXH?159B[CUEW88TEO[I$CWE4+B6=2KTJ^LP13]JFP@#J\L;"I?>5:C\BVDX9;UBNXH+BTD3*A46<2KTJ^LP13]JFP@#J\L;"\j4A^DPFZ74W@H^J<<4A^DPFZ74W@H^J)LZF137?DYA[KU:?RGMUG&AQC6)L8?0MRH\B^30[LDRN-H^J= K1078EZ@TJV;8SDLZF%@VB5(C:8>0MRH\B^30[LDRN-H^J= ^d:C\BVDX9=UBNXH>2:C\BVDX9=UBNXH+BTD351=FWOYIS<:PICWE GSA8'N:96OPFR@\51YNJ\L/NXH?.E321>GXNZHT=9QFBTD'FP@7&M8:86OPFR@\51YNJ\L/NXH?.Pf8EZ@TJV;>SDLZF008EZ@TJV;>SDLZF%@VB5733HUM_OQ>5^KAQC"E]O:%H<;4A^DPFZ72W@H^J)LZF1,G543<:4A^DPFZ72W@H^J)LZF1,R`>GXNZHT=;QFBTD26>GXNZHT=;QFBTD'FP@79=1JSK]M_04\MGSA,K_M<#J>5:C\BVDX9?UBNXH+BTD3*A76=2KTJ^LP17]JFP@#J\L;"I<>4:C\BVDX9?UBNXH+BTD3*Tb!D078EZ@TJV;XAK_M(O[I0/F21>GXNZHT=5QFBTD'FP@7&M;:96OPFR@\5=YNJ\L/NXH?.E020>GXNZHT=5QFBTD'FP@7&Xn0MRH\B^3:[LDRN880MRH\B^3:[LDRN-H^J=?;;@]EWGY61VCIYK*MUG2-@43W@H^J)LZF1,G642149B[CUEW83TEO[I$CWE5+B59=1JSK]M_0;\MGSA,K_M=#_k;@]EWGY58VCIYKj4A^DPFZ46W@H^Ji5N_GQA[74XAK_Mh6OPFR@\66YNJ\Lh7LQISC]:[LDRN8;0MRH\B^;\MGSA,K_M<<=4A^DPFZ?XAK_M(O[I0/F20>GXNZHT5RGMUG&AQC6)L8;?7LQISC]:[LDRN-H^J= K2018EZ@TJV3TEO[I$CWE4+W13He~xBK<;CWE<>DR[VCEJB:4C04N<>E6>D$[MIk4C04N[LDRN&LH@Fh4C04N[LDRN&LH@F#9;B03I@Hf3J8;AH@!P@F24>E58DOESBLZF%@VB5753J8;AH@POCWE GSA8'N:?6M=0LGM[JDRN-H^J= K1018G76JMGUDNXH+BTD3*A46:2I9<@KA_N@VB!DRN9$Zi6M=0LGM[JDRN-ENh6M=0LGM[JDRN-[:96M=0LGM[JDRN'H^JBK!OTVg?F47ELDTCO[I.Eg8G76JMGUDNXH!D0g8G76JMGUDNXH!D3f8G76JMGUDNXH!Q028G76JMGUDNXH!TSQW2>E5=DOEm6M=5LGM*UGCj2I99@KA_N@VBa=D:E5=DOESBLZF/F2a>E5=DOESBLZF/F1`>E5=DOESBLZF/S0?F>J?2I3A#^ND99@7H _AE48AZOE]O=0IiijV`n7?CEKC11MOAE!P@Ff?CEKCVCIYK!ICMIe?CEKCVCIYK!ICMI.6>@A;2Meh?5FN29JJ55059JJ4733@D:>95FN017?LH6<=1BB<;<;HL17>OI;:1BB9=4IO70?LH1;2CE;>5FN918MK?>3@DBX^ZNTD78MJGD\>1BCLM[.E:8MJGD\'N:46G@ABV-@7bOHIJ^%H?Q_T^0g?LIFK]$O>R^[_2f8MJGD\'N9S]ZP4e9JKDES&M8T\YQ:7:KLEFR)Y01BCLM[.SGD2>OHJLXY46G@BDPQ*A?8:KLF@TUW}yban|jaugg[utklV<0EBJ@ND:8MJBHFL$O56G@DNLF*A7>3@EOCCK!D3g8MJBHFL$O>R^[_1g8MJBHFL$O>R^[_0g8MJBHFL$O>R^[_3c8MJBHFL$NT]h4INFLJ@(BPYU[XR>i;HMGKKC)MQZT\YQ>1c9JKAIIM'OS\Rz|ilpfjdkblVdnxR64INFLJ@(Vi2CDHB@J.SGDf>OHLFDN"_][F0:8MJBHFLUdclrdcwaaYwzenT86G@L148MJJ7&M=0EBB?.E34?LIK8'N9o6G@L1,G6ZVSW9i0EBB?.E0\TQY6k2CD@= K2^RW[7eOHD9$O>R^[_5:8MJJ7&LR[=45FOM2-A]VX|zcf~h`nmdf\j`rX>2CD@= ^8:KLH5(UMN;>7DAC0^vpmheumh~nhRv`r^;8MJHWZlkouo5FOORQadb~&Mi0EB@_Rdcg}+B6k2CDB]\jae{-@7763@EE\_kndx,G6ZVSW9;:7DAAPSgb`|(C:VZ_S;HMMTWcflp$O>R^[_332?LIIX[ojht K2^RW[6be:KLJUTbims%IU^Ptrknv`hfelnTbhzPb:KLJUTbims%]i5FOORQadb~&[OL56G@PVPfeae3@E[[_kndx,Gg>OHX^Xnmiw!D0a8MJVPZlkou#J=109JKUQUmhnr"IOHZMXN]45FOSFQAT(Ci2CD^I\JQ/F2e>OHZMXN]#J=f:KLVATBY'N9S]ZP0g9JKWBUMX$O>R^[_0d8MJTCZL[%H?Q_T^0e?LIUL[OZ"IOHZMXN]#J=_QV\0c=NG[NYI\ K2^RW[0`3@EYH_K^.P`8MJTCZL[%^HI;;HMV43=NG\:%H:5FOT2-@41!D3]SPZ5d3@E^<#J=_QV\0f=NG\:%H?Q_T^7`?LIR8'N9S]ZP679JKP6)Y11BCX>!RDE21>OH]9UdclrdcwaaYg{U<7DAXRSGR=>OH_[XN]#Jn;HMTVWCV&M;j7DAXRSGR*A4a3@E\^_K^.E0\TQY7n2CD[_\JQ/F1[URX9o1BCZ\]EP,G6ZVSW;l0EBY]RDS-@7YW\V9m7DAXRSGR*A4XX]U?j6G@WSPFU+B5WY^T9k5FOVPQAT(C:VZ_S;74INUQV@W)Yk1BCZ\]EP,QAB>6A]3:MVP6=WIM>0\L\[7:RJJKGJMh1[ECQMURKG\g=WAGUIY^@NMD48T`tngm20\b|{P`vk1>TF49427_O30?,SEA024;(WIM20^L2>1;2=2>TF48;5m6\N<03=*UGC=2XJ0<06;SC?5;(WIM?0^L2=>89QE949&YKO96\N<2<:?WG;;7$[MI;4R@>7:<=UI5>5"]OK5:PB808>3[K793 _AE78VD:1601YM181.QCG1>TF4>427_O37?,SEA33[om^h!CD:8V``Umx$O56\jfSgr*A7>3[om^h!D3:8V``Umx$Zm6\jfSgr*WC@>2XnjX|h9:PfbPt`&JO37_kiUse-@<=Umo_yk#J>9:PfbPt`&M8n7_kiUse-@7YW\V:n7_kiUse-@7YW\V;n7_kiUse-@7YW\V8n7_kiUse-@7YW\V937_kiUse-Ud=Umo_yk#\JG058V``RznUdclrdcwaaYg{U87^K[9:QfppvOgen87Y\F4:VZT@e<]ZOYS[G\ICNF=>PNM^U_U]K<;Wa`2>QfzyYNm6YnrqQF*kaf=2]bhyf=c:Z@=073^HZ?1ShoQFdc9[`mYWz`gBb{m4Xej\Twoj\pznj6Vkh^RqmhPbzzcdb55Wdi]Wmhcc3QncSYgbeBjfgnb1.DZS5`=df}%N~1>1.DZS[qune{oem`kk_ogw[g=df}%N~1>1.Pf8gkr(M{6;2#\JG89`jq)Bz5;5n6mat.Gq848)Lj1hby!Jr=3=*A7d3jd#H|31?,G6g=df}%N~1?1.Pf8gkr(M{6:2#\JG008gkr(AGC__RH\M^DE`4733jd#D@FTR]EWHYANm;%H<;4cov,MKOS[VLXARHId0,G543<:4cov,MKOS[VLXARHId0,R53=df}%BBDZ\_GQN[C@c9'XNK?=4cov,MKOS[VLXARHId0]wwlkdzlkiiQwos]26>ei|&CEEY]PFRO\BCb59=1hby!FNHVP[CUJWOLo>#J>5:amp*OIA]YTJ^CPFGf1*A76=2iex"GAIUQ\BVKXNOn9"I<>a:amp*OIA]YTJ^CPFGf1*A4XX]U;=l5lnu-JJLRTWOYFSKHk2/F1[URX98>0ocz IOKWWZ@TEVLMh? ^179`jq)NF@^XSK]B_GDg6+TBO;90ocz IOKWWZ@TEVLMh?Q{sho`v`gsmmUscQk;blw+IRnelx7<3??;blw+IRnelx7<3 LEg9`jq)K\`gn~1>1.E33?fhs'E^bah|30?,G5463:+B5n2iex"B[ilgq858)Y8;0ocz LUknaw:76'XNK;blw+IRnelx7==0!CD33?fhs'E^bah|311<-@4724;(C98;0ocz LUknaw:687$O><>4cov,HQojm{6:<3 ^139`jq)K\`gn~1??>/PFC4`24;Ys{`gh~ho{ee]{kwYb3jd#AZfmdp?548692iex"B[ilgq8479&JO:<6mat.NWmhcu48;5"I?>;blw+IRnelx7=<0!D032?fhs'E^bah|310<-@7773jd#AZfmdp?548)Y880ocz LUknaw:697$YIJ?i;blw+IRnelx7=<0Ptrkngwcf|lnTtb|Pe:amp*JSadoy0<<1109`jq)K\`gn~1?=>/AF55=df}%GXdcjr=31:+B692iex"B[ilgq8449&M;:=6mat.NWmhcu4885"I<>0:amp*JSadoy0<<1.P31?fhs'E^bah|313<-V@A6n2iex"B[ilgq8449W}yban|jaugg[}iuWm1hby!CThofv979991hby!CThofv979&JOm7n`{/MVji`t;97$O==5lnu-OPlkbz5;5"I?>0:amp*JSadoy0<0!D3d8gkr(D]cfi2>>/S25>ei|&F_e`k}<0<-V@A6m2iex"B[ilgq848X|zcfokntdf\|jtXl2iex"B[ilgq878682iex"B[ilgq878)KLl0ocz LUknaw:56'N:<6mat.NWmhcu4;4%H3 K2g9`jq)K\`gn~1<1.P32?fhs'E^bah|32?,QAB7b3jd#AZfmdp?6;Ys{`gh~ho{ee]{kwYc3jd#AZfmdp?7;773jd#AZfmdp?7;(DMo1hby!CThofv959&M;;7n`{/MVji`t;;7$O=<>4cov,HQojm{682#J=f:amp*JSadoy0>0!Q038gkr(D]cfi2<>/PFC4c0:Zrtadiyilzjd^zlvZb7:467:+EBn2iex"B[ilgq818)L8:0ocz LUknaw:36'N:==5lnu-OPlkbz5>5"I2#_>1:amp*JSadoy080!RDE2a>ei|&F_e`k}<4<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<7<24>ei|&F_e`k}<7<-G@`5:+B682iex"B[ilgq838)L8;;7n`{/MVji`t;>7$O>k5lnu-OPlkbz5<5"\?>;blw+IRnelx7:3 ]EF3f?fhs'E^bah|36?]wwlkdzlkiiQwos]g?fhs'E^bah|37?33?fhs'E^bah|37?,@Ac=df}%GXdcjr=5=*A773jd#AZfmdp?3;(C98:0ocz LUknaw:06'N9j6mat.NWmhcu4>4%]^vpmheumh~nhRv`r^f8gkr(D]cfi27>028gkr(D]cfi27>/AFb>ei|&F_e`k}<9<-@46;:+B6991hby!CThofv9>9&M8m7n`{/MVji`t;07$Z=<5lnu-OPlkbz525"_KH1d9`jq)K\`gn~161_uqjiftbi}ooSua}_e9`jq)K\`gn~171119`jq)K\`gn~171.BGe?fhs'E^bah|39?,G55=df}%GXdcjr=;=*A7682iex"B[ilgq8<8)L;l0ocz LUknaw:>6'[:=6mat.NWmhcu404%^HI>e:amp*JSadoy040Ptrkngwcf|lnTtb|Pc:amp*JSadoyXNh4cov,HQojm{^H"NKj;blw+IRnelx_O#Ji;blw+IRnelx_O#J>f:amp*JSadoyXN K2d9`jq)K\`gn~YM!Q028gkr(D]cfiZL.SGDg>ei|&^bah|30?d8gkr(\`gn~1>1.BGf?fhs']cfi2?>/Fe?fhs']cfi2?>/F2b>ei|&^bah|30?,G6`=df}%_e`k}<1<-U462:+Ba3jd#Ygbes>2:+B6n2iex"Zfmdp?5;(C:8>0ocz Thofv979&M8T\YQ?159`jq)Sadoy0<0!D3]SPZ76<2iex"Zfmdp?5;(C:VZ_S?k4cov,Plkbz5;5"\??;blw+Qojm{6:2#\JGb9`jq)Sadoy0?0i;blw+Qojm{692#MJe:amp*Rnelx7>3 Kf:amp*Rnelx7>3 K1g9`jq)Sadoy0?0!D337?fhs']cfi2=>/F1[URX88>0ocz Thofv949&M8T\YQ>159`jq)Sadoy0?0!D3]SPZ46<2iex"Zfmdp?6;(C:VZ_S>k4cov,Plkbz585"\??;blw+Qojm{692#\JGc9`jq)SadoyXNk4cov,Plkbz]I%OHj4cov,Plkbz]I%Hh5lnu-Wmhcu\J$O=h5lnu-Wmhcu\J$O>k5lnu-Wmhcu\J$NT]et'gE}ibny/Ff?fu(fF|n~aov.E3f?fu(fF|n~aov.E027>et'gE}ibny/F1[URX8890o~!aOwgqhd)L;U[XR?k;bq,jJpbzekr"\h4cr-mKscudhs%^HI>c:ap+kIqm{fjuRz|ilaqadrblVrd~R:4eeef<>`tj&KX~ko4fr`,EVta&Mh0j~l ARpe*A7e3oyi#L]}f/F1e>`tj&KX~k ^c:dpf*GTzo$YIJ74in`n+F>Jzk1bcoc C9Oq*Aeohjd%H4@|!D3f8mjdj'J2F~#KWP0g8mjdj'J2F~#KWP^vpmhtbfhgnhR`jt^`8mjdj'J2F~#_k;hmai*E?E{$YIJl4in`n+Air|FOo7damm.FlqqIB&Mo0eblb/EmvpJC)L8o0eblb/EmvpJC)L;;87damm.FlqqIB&M8T\YQ?129jkgk(LfCH K2^RW[4743`eia"J`uuMF*A4XX]U9=>5foco,@jssGL$O>R^[_2f8mjdj'Me~xBK!Qg9jkgk(LfCH ]EF3`?liee&NdyyAJ_uqjiftbi}ooSua}_`9jkgk(MZ6;2n5foco,AV:76'No7damm.GP858)L8n0eblb/DQ?4;(C:880eblb/DQ?4;(C:VZ_S=?=;hmai*CT494%H?Q_T^326>ohjd%N_1>1.E0\TQY5k2cdn`!JS=2=*Tcohjd%N_1?1c:klfh)B[5;5"Ij4in`n+@U;97$O=i5foco,AV:66'N9=?5foco,AV:66'N9S]ZP0008mjdj'LY7=3 K2^RW[4753`eia"K\<0<-@7YW\V8:>6g`bl-FW979&M8T\YQ1:+B59;1bcoc ER>1:+B5WY^T<<<4in`n+@U;:7$O>R^[_031?liee&OX0?0!D3]SPZ4d3`eia"K\<3<-U`=ngkg$I^2=>/PFC4d0l;hmai*CT4:4%Hi5foco,AV:46'N:h6g`bl-FW959&M8:>6g`bl-FW959&M8T\YQ?139jkgk(MZ682#J=_QV\544ohjd%N~#Jn;hmai*Cu&M;j7damm.Gq*A4e3`eia"K}.DZS55=ngkg$I JXQ]SPZ6682cdn`!Jr/G[TZVSW8;;7damm.Gq*@^WWY^T><>4in`n+@t)MQZT\YQ<1b9jkgk(M{$NT]Q{shoqakgjmmUeiyQ6;hmai*Cu&Xh0eblb/Dp-V@Ac3`eia"G@REPFUw`k5foco,MJTCZL[y"\?>;hmai*OHZMXN] ]EF;8mjdj'@EX;hmai*OH[9$O>R^[_332?liee&CD_= K2^RW[6763`eia"G@S1,G6ZVSW=h0eblb/HMP4+Wc3`eia"G@S1,QAB763`eia"G@S^DPIZ@Al8;87damm.KLWZ@TEVLMh< K159jkgk(AFYTJ^CPFGf2*A76<2cdn`!FOR]EWHYANm;%H??:;hmai*OH[VLXARHId0,F\U413`eia"G@S^DPIZ@Al8$NT]Q{shoqakgjmmUeiyQ>3:klfh)NGZUM_@QIFe3-U43ohjd%BC^QISL]EBa4)ZLM:=6g`bl-JKVYA[DUMJi=>3:klfh)NGZUM_@QIFe1-@42ohjd%BC^QISL]EBa5)L;U[XR>>9:klfh)NGZUM_@QIFe1-@7YW\V;:56g`bl-JKVYA[DUMJi=!D3]SPZ46;2cdn`!FOR]EWHYANm9%]<;4in`n+LITWOYFSKHk3/PFC474:klfh)NGZUM_@QIFe6-@4733`eia"G@S^DPIZ@Al=$O><=4in`n+LITWOYFSKHk4/S21>ohjd%BC^QISL]EBa2)ZLM:=6g`bl-JKVYA[DUMJi;>3:klfh)NGZUM_@QIFe7-@42ohjd%BC^QISL]EBa3)Y8?0eblb/HMP[CUJWOLo9#\JG038mjdj'@EXSK]B_GDg245'N:86g`bl-JKVYA[DUMJi8!D037?liee&CD_RH\M^DE`3(C:830eblb/HMP[CUJWOLo:#J=_QV\44?'N9S]ZP1018mjdj'@EXSK]B_GDg2+W6=2cdn`!FOR]EWHYANm<%^HI>1:klfh)NGZUM_@QIFe527>ohjd%BC^QISL]EBa1)L8>0eblb/HMP[CUJWOLo;#J>159jkgk(AFYTJ^CPFGf4*A4612cdn`!FOR]EWHYANm=%H?Q_T^22=>ohjd%BC^QISL]EBa1)L;U[XR?>9:klfh)NGZUM_@QIFe5-@7YW\V8:?6g`bl-JKVYA[DUMJi9!Q078mjdj'@EXSK]B_GDg3+TBOm1bcoc INUQV@Wun2cdn`!FOVPQATt)L8:0eblb/HMTVWCVz'N:==5foco,MJQUZL[y"I<>1:klfh)NG^XYI\|!EYR22>ohjd%BCZ\]EPp-A]VXX]U;=;5foco,MJQUZL[y"HV__QV\540ohjz%H`ho}1/F2a>ohjz%H`ho}1/F1`>ohjz%H`ho}1/Se?lie{&Igil|>.SGDf>ohjz%BCLM[re9jkgu(AFKHX Ke:klfv)NGHI_~#J>e:klfv)NGHI_~#J=d:klfv)NGHI_~#_i;hmaw*OHIJ^y"_KHf:klfv)NGMEEI2?>038mjdt'@EOCCK}<1<-@441:klfv)NGMEEI2?>/S27>ohjz%BCIAAEs>3:+TBO01bco} INN2g>ohjz%BCA?!CD`8mjdt'@EG=#Jl;hmaw*OHD8$O=n5focq,MJJ6&M8i7dams.KLH4(Vl2cdn~!FOM3-V@Af3`ei"G@RV3`?lie{&CD^Z?!De9jkgu(AFX\=#J>d:klfv)NG[]:"I<>2:klfv)NG[]:"Iohjz%BC_Y>.DZS[qune{oem`kk_ogw[f=ngky$EB\X1/Sf?lie{&CD^Z?!RDE2f>ohjz%BC_Y>_uqjiftbi}ooSua}_89jkgu(AF_:o6g`br-JKP7)KLh0ebl|/HMV5+Bd3`ei"G@U0,G5f=ngky$EB[>.E0a?lie{&CDY< ^d:klfv)NG\;%^HIn;hmaw*Jhim;h7dams.Nlea7)Lm1bco} Lncg5+B6l2cdn~!Co`f2*A46:2cdn~!Co`f2*A4XX]U;=?5focq,Hjgc9'N9S]ZP1008mjdt'Eejh< K2^RW[7753`ei"B`ae3-@7YW\V9n7dams.Nlea7)MQZ:j6g`br-Okdb6&LR[Sy}fmsgmehccWgoSn5focq,Hjgc9'[n7dams.Nlea7)ZLM27dams.Qfjqd1:klfv)Tmg~%H?Q_T^225>ohjz%Xicz!D3]SPZ7692cdn~!\eov-@7YW\V8:=6g`br-Pakr)L;U[XR=>1:klfv)Tmg~%H?Q_T^6g?lie{&Ynby JXQ3f?lie{&Ynby JXQ]wwlkumgkfiiQaeu]a?lie{&Ynby ^d:klfv)Tmg~%^HI>0:klfv)S[VLXARHId031?lie{&^XSK]B_GDg5+B6;2cdn~![S^DPIZ@Al8$O=<=4in`p+QUXNZGTJKj>.E02<>ohjz%__RH\M^DE`4(C:VZ_S=?7;hmaw*RTWOYFSKHk1/F1[URX9880ebl|/UQ\BVKXNOn:"\?;;hmaw*RTWOYFSKHk1/PFC46ohjz%__RH\M^DE`7(C:820ebl|/UQ\BVKXNOn9"I8:klfv)S[VLXARHId3,G6ZVSW;;37dams.VP[CUJWOLo>#J=_QV\742<>4n@FlqqJB8VEIYK ^9:lB@jssDL;o7cOKotvOA4(WIMo0bLJ`uuNF5ZIE]O;;7cOKotvOA4YHJ\L%HhF[VCDNb{{$P37?kGTW@EIcxz!BTDLA+IR\j1eM^QFOCmvp+Bc3gKXSDAMotv-@4b2:lBWZOHJf"IhF[VCDNb{{.Pg8jDUXAFHdyy ]EFd8jDUXAFHdyy [RRV4?kGh}}ENn6`NotvLA+VFLj1eMb{{OD]LFP@b3gKdyyAJ_N@VB+Ba3gKdyyAJ_N@VB+B6n2dJcxz@E^MAQC(C:8>0bLaztNG\KGSA&M8T\YQ?159mEjssGLUDNXH!D3]SPZ7682dJcxz@E^MAQC(BPY8:7cO`uuMF[JDRN'OS\Rz|ilpfjdkblVdnxRk4n@mvpJCXGK_M"\??;oClqqIBWFH^J#\JG89mF@TUWOYIh6`MESP\BVD)XHNn7cLJRS]EWGYHJ\L:<6`MESP\BVDXGK_M"I?>;o@FVWYA[KUDNXH!D032?kDBZ[UM_OQ@BTD-@7713gHN^_QISC]LFP@)L;U[XR>>6:lAAWTXNZHTCO[I.E0\TQY69?1eNH\]_GQA[JDRN'N9S]ZP2028jGCUZVLXNRAMUG,R57=iJLXYSK]M_N@VB+TBO880bOK]R^DPFZIE]O$^E@74nCGQVZOHJm1eNH\]_HMA*UGCm2dII_\PIN@\MGSA?2dI^RG@Bc9mFWYNGK$[MIm4nCP\MJDXGK_Mi6`MR^KLFZIE]O.Zi6`MR^KLFZIE]O$Oj6`MR^KLFZIE]O$O=k5aBS]JKGYHJ\L%H??;;o@Q[LIEWFH^J#J=_QV\442d:lAVZOHJVEIYKQ{sho`v`gsmmUscQ;;oABW==iKHY%\LJ6;oABWZIE]Oh0bNO\_N@VB+Bd3gIJ_RAMUG,G5f=iKHYTCO[I.E0a?kEF[VEIYK ^d:l@EVYHJ\L%^HIn;oFWEFMXNZHn7cJ[ABI\BVD)XHNm7cJ[ABI\BVDXGK_M=<5aDUC@OZ@TJVEIYK K139m@QGDCVLXNRAMUG,G5441eHYOLK^DPFZIE]O$O>R^[_234?kBSIJATJ^LPOCWE*A4XX]U?=:5aDUC@OZ@TJVEIYK K2^RW[0703gN_MNEPFR@\KGSA&M8T\YQ9109m@QGDCVLXNRAMUG,R56=iL]KHGRH\B^MAQC(UMNk0bIZNCJ]JKGchCagENSBLZF/F1[URX:890bIgaOD]LFP@)L;U[XR=k;oFjjJCXGK_M"\94nEmvpJCe3gNdyyAJ.QCGg>hCg|~DIRAMUGg8jAir|FOTCO[I.Ed8jAir|FOTCO[I.E3e?kBh}}ENSBLZF/F151=iLfCHQ@BTD-@7YW\V::86`KotvLAZIE]O$O>R^[_0g8jAir|FOTCO[I.P78jLVK:01eE]B=.QCGe>hNXE8TEO[I8:lO@VYA[Ki0bAJ\_GQA*UGCl2dGH^QISC]JFP@?3gFO_RG@Bb9mHAUXAFH%\LJm;oNGWZOHJfi6`CDR]JKGir|-ENh6`CDR]JKGir|-[:96`CDR]JKGir|'H^JBK!OTVg?kJC[VCDNb{{.Eg8jIBTW@EIcxz!D0g8jIBTW@EIcxz!D330?kJC[VCDNb{{.E0\TQY79:1e@I]PIN@lqq(C:VZ_ShHMVEIYK K2`9mK@YHJ\L%]:5aR@OOS@dhUIZUDNXH!Db9mVDUXGK_M"I?l;oPBWZIE]O$O>o5aR@Q\KGSA&Xn0b_O\_N@VB+TBO=1e^H]7;oPFW+VFL>1e^H]`uu;8jWCTg|~%Hl5aRDQlqq(C9h1e^H]`uu,G6<=iZLYdyy ^b:lQAVir|'XNK;5aRNO@Wd=iZFGH_#^NDc9mVJKD[VEIYKj4nSMNGVYHJ\L%Hh5aRNO@WZIE]O$O=h5aRNO@WZIE]O$O><=4nSMNGVYHJ\L%H?Q_T^227>hUGDIXSBLZF/F1[URX9m1e^BCLS^MAQC(V>2dYC@YJa:lQKHQB&YKOn6`]OLUF[JDRNm1e^BCXE^MAQC(Cm2dYC@YJ_N@VB+B6m2dYC@YJ_N@VB+B5l2dYC@YJ_N@VB+W?3g_O_RH\Bb9mQAUXNZH%\LJk;oWGWZ@TJVCIYK64nTFP[LIEk2d^H^QFOC,SEAd>3:lV@VYNGKe~x#J=_QV\545hQEHUBCO _AE`8jSKFW@EIcxzj;oTNEZOHJf(BKk;oTNEZOHJf(\?:;oTNEZOHJf"O[IOD,LQQbtfe&HJOY|!Db9qeh)EIJ^y"I?l;scn+GGD\{$O>i5}al-AEFRu&LR[=?5}al-AEFRu&LR[S]ZP0008vdk(JHI_~#KWP^RW[4753{kf#OOLTs,F\UYW\V8:>6|nm.@BGQt)MQZT\YQ<139qeh)EIJ^y"HV__QV\04ci;scn+FGT&M8T\YQ>f:pbi*EF['N9S]ZP2g9qeh)DIZ$O>R^[_2d8vdk(KHY%H?Q_T^6:?wgj'JKX"\l4r`o,GDU)ZLM37ob/Nl`ag=uid%Dbnk!CDc8vdk(Ggin"Il4r`o,Kkeb&M;i7ob/Nl`a+B5i2xja"Aacd,Rg>tfe&Eeoh ]EF;8vdk(ZHGNBo5}al-QEHCI&Mi0~lc R@OFJ+B6k2xja"\NMDL-@7763{kf#_OBEO,G6ZVSW9;:7ob/SCNAK(C:VZ_S;scn+WGJMG$O>R^[_332?wgj'[KFIC K2^RW[6763{kf#_OBEO,G6ZVSW=;:7ob/SCNAK(C:VZ_S8l4r`o,VDKBF'[o7ob/SCNAK(UMNl0~lc RR]EWHYANm;:=6|nm.PP[CUJWOLo=#J>2:pbi*TTWOYFSKHk1/F257=uid%Y_RH\M^DE`4(C:8;0~lc RR]EWHYANm;%]<=4r`o,VVYA[DUMJi?!RDEe?wgj'[YTJ^CPFGf154=uid%Y_RH\M^DE`7(C9;1ym`!]S^DPIZ@Al;$O=<<4r`o,VVYA[DUMJi JXQ]SPZ75<2xja"\\_GQN[C@c;'OS\Rz|ilpfjdkblVdnxR?>;scn+WUXNZGTJKj<.P30?wgj'[YTJ^CPFGf0*WC@n2xja"\\_GQN[C@c<8;0~lc RR]EWHYANm>%H<<4r`o,VVYA[DUMJi:!D031?wgj'[YTJ^CPFGf7*A4692xja"\\_GQN[C@c<'[:?6|nm.PP[CUJWOLo8#\JGg9qeh)U[VLXARHId732?wgj'[YTJ^CPFGf5*A753{kf#_]PFRO\BCb1&M;:>6|nm.PP[CUJWOLo:#J=169qeh)U[VLXARHId7,G6ZVSW9;<7ob/SQ\BVKXNOn="I5}al-QWZ@TEVLMh; ]EFd8vdk(ZZUM_@QIFe:25>tfe&XXSK]B_GDg<+B6:2xja"\\_GQN[C@c0'N:=?5}al-QWZ@TEVLMh5 K2058vdk(ZZUM_@QIFe:-@7YW\V::;6|nm.PP[CUJWOLo4#J=_QV\541)L;U[XR<>7:pbi*TTWOYFSKHk8/F1[URX;8=0~lc RR]EWHYANm2%H?Q_T^625>tfe&XXSK]B_GDg<+W6;2xja"\\_GQN[C@c0'XNKo5}al-QacBhfln0~lc RddGkkc)Ll1ym`!]egFlj`(C9l1ym`!]egFlj`(C:890~lc RddGkkc)L;U[XR>>3:pbi*TbnMeei#J=_QV\5a=uid%YikJ`nd,Rb>tfe&XnjIaae/PFC0=qienqMN4`6`?EF9jk1J7<51zQe0?7dl3:1=>:m1159e2g7sg;o;7?4n0f;>3=#9m:1=nk4}Rd0>4ec290:?9l>068b3d6:0yPb1<6km0;6<=;b024>d1f82|_:94?:082>6bfsZl?60j;l>4V0f0>7}r91=1=6{>8983?x"6k>0:4;5+19d95fev?8:019yl>7290/=4<57g9m5<7=821b;i4?:%3:6?1a3g;2=7?4;h5a>5<#9081;k5a18396>=n?00;6)?62;5e?k7>93907d98:18'5<4=?o1e=4?54:9j30<72-;2>79i;o3:5?3<3`oh6=44ie094?=nnm0;66g>o3ih0;66g>o38h0;66g;2b83>>o3?<0;66gi9;29?l2513:17d:n7;29?l02290/=4<57g9m5<7=l21b::4?:%3:6?1a3g;2=7m4;h4:>5<#9081;k5a1839f>=n>k0;6)?62;5e?k7>93k07d8k:18'5<4=?o1e=4?59:9j2c<72-;2>79i;o3:5?><3`=:6=4+18093c=i90;1;65f7283>!7>:3=m7c?61;48?l>5290/=4<57g9m5<7=m21d85750;9l7gb=831d8?h50;9l02>=831d?i650;9l0<>=831d?h950;9l016=831d8;k50;9l7d?=831d?k=50;9l7cd=831d8=j50;9(00b=831d=4m50;&2=7<6101e=4?5a:9l5d4=83.:5?4>989m5<7=?21d=l:50;&2=7<6101e=4?56:9l5d0=83.:5?4>989m5<7==21d=l650;&2=7<6101e=4?54:9l5de=83.:5?4>989m5<7=:21d=4k50;&2=7<6101e=4?59:9l5<1=83.:5?4>989m5<7=921d=lo50;&2=7<6101e=4?53:9l5d6=83.:5?4>989m5<7=021d=4o50;&2=7<6101e=4?50:9l5<3=83.:5?4>989m5<7=j21d?o>50;9l7fe=831d8<;50;9l076=831d89950;9l01c=831d88;50;9l033=831dh;4?::mf1?6=3k2:6=4>:183!7c:3;3i6F>c79j5=c=83.:h?4>8d9'5=3=9o1/=4>51g98yge?29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji7o4}|~?xd0m3:1=7>50z&2`7<60l1C=n84i0:f>5<#9m81=5k4$0:6>4e<,83;6d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9e>"3il0j7psr}:a3f<7280;6=u+1e095=c<@8i=7d?7e;29 4b5282n7)?75;3a?!7>83;i76smc783>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb6c94?7=83:p(2c:4h4?:%3g6?7?m2.:484>a:&2=5<6i21vnn;50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7o4$5cf>d=zutw0qo97:182>5<7s-;o>7?7e:J2g3=n91o1<7*>d382<`=#91?1=45+18295<=7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0j7):ne;c8yx{z3th<:7>51;294~"6l;0:4h5G1b48m4>b290/=i<519g8 4>22820(<7?:0:8?xde<3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=i2.?mh4n;|~y>{emm0;6<4?:1y'5a4=91o0D<:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo7d=#t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?0<,=kn6;5r}|8yg`729096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5G1e38R4b328qG=i851zTe7}#4?:083>5}#9m81=5k4H0a5?l7?m3:1({el=0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga2?1/8lk56:~yx=zjm?1<7<50;2x 4b52m:0D<;:m27?7d:J2`4=Q9m>1=vB>d782S`?2;q/=4:519f8Rce=:r.?mk49;%6ba?08d9K5f06??4$0;3>77<3thmj7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>N6l81]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag85?!2fm3<0qpsr;|`0fg<7280;6=u+1e095=c<@8i=7d?7e;29 4b5282n7)?75;06?!7>838>76sm3ca94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382<`=O9j<0e<6j:18'5a4=91o0(<6::318 4?72;907pl;ab83>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb2g6>5<6290;w)?k2;3;a>N6k?1b=5k50;&2`7<60l1/=5;5419'5<6=<910qo=j6;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382<`=O9j<0e<6j:18'5a4=91o0(<6::508 4?72=807pl;0b83>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb50g>5<6290;w)?k2;3;a>N6k?1b=5k50;&2`7<60l1/=5;5259'5<6=:=10qo:=e;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2c:4h4?:%3g6?7?m2.:484=6:&2=5<5>21vn998:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4n;%6ba?g8d9K5f06?>4$0;3>76<3thmn7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#o60l0;6)?k2;3;a>"60<0?j6*>9187b>=zj=8i6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0j7):ne;c8yx{z3th?m54?:083>5}#9m81=5k4H0a5?l7?m3:1(:7)?60;62?>{e<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3k0(9oj:`9~yx{:183!7c:3;3i6F>c79j5=c=83.:h?4>8d9'5=3=<=1/=4>54598yg>329096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji7o4}|~?xd103:1=7>50z&2`7<60l1C=n84i0:f>5<#9m81=5k4$0:6>1e<,83;69m4;|`;e?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9e>"3il0j7psr}:a2d<7280;6=u+1e095=c<@8i=7d?7e;29 4b5282n7)?75;33?!7>83;;76sm9183>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:`9'0dc=i2wvqp5rb7a94?7=83:p(2c:4h4?:%3g6?7?m2.:484>1:&2=5<6921vn4850;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7o4$5cf>d=zutw0qo8j:182>5<7s-;o>7?7e:J2g3=n91o1<7*>d382<`=#91?1=?5+182957=7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0j7):ne;c8yx{z3th<<7>51;294~"6l;0:4h5G1b48m4>b290/=i<519g8 4>228>0(<7?:068?xdf:3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=i2.?mh4n;|~y>{e?;0;6<4?:1y'5a4=91o0D<:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo7d=#t$0f1>4>b3A;h:6g>8d83>!7c:3;3i6*>84822>"6190::65rb`g94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<6290;w)?k2;3;a>N6k?1b=5k50;&2`7<60l1/=5;53b9'5<6=;j10qom6:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4n;%6ba?g4<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397=i;%3:4?5a32wi85750;194?6|,8n96o68:0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>029Ubf<5s->jj764$5cf>==zutw0ek;50;&2`7{M3g2?7|^o21>v*>958e1>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4jl0;6<4?:1y'5a4=91o0Dt$0f1>4e33A;h:6g>0283>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68:1]jn4={%6bb?><,=kn655r}|8mc3=83.:h?4i5:T2`1<6sE;o:7?tVg:96~"61=0m96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397:<;%3:4?2432wi8?h50;194?6|,8n96o68:0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>029Ubf<5s->jj764$5cf>==zutw0ek;50;&2`7{M3g2?7|^o21>v*>958e1>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3?00;6<4?:1y'5a4=91o0D=8391<7>t$0f1>4e33A;h:6g>0283>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68:1]jn4={%6bb?><,=kn655r}|8mc3=83.:h?4i5:T2`1<6sE;o:7?tVg:96~"61=0m96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397=k;%3:4?5c32wi?i650;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj764$5cf>==zutw0qo:69;295?6=8r.:h?4>8d9K5f068>4$0;3>06<3th?554?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn>k7:182>5<7s-;o>7?7e:J2g3=h91o1<7*>d382<`=#91?1?h5+18297`=52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#i60l0;6)?k2;3;a>"60<09m6*>9181e>=zj=>;6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io037):ne;:8yx{z3th?:k4?:083>5}#9m81=5k4H0a5?j7?m3:1({e<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn320(9oj:99~yx{51;294~"6l;0:4h5G1b48k4>b290/=i<519g8 4>22=20(<7?:5:8?xd4i00;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga211/8lk58:~yx=zj:l?6=4>:183!7c:3;3i6F>c79l5=c=83.:h?4>8d9'5=3=:01/=4>52898yg5a;3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=02.?mh47;|~y>{e;oi1<7?50;2x 4b5282n7E?l6:m2<`<72-;o>7?7e:&2<0<5:2.:5=4=2:9~f6`e29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji764}|~?xd38l0;6<4?:1y'5a4=91o0D3-;2<7:6;:a05b=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?><,=kn655r}|8yg22m3:1=7>50z&2`7<60l1C=n84+0:f>5<#9m81=5k4$0:6>1c<,83;69k4;|`71a<72:0;6=u+1e095f2<@8i=7d??3;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77;2\mo7==#7h:;W3g0?7|D8n=6<3l>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?6d;295?6=8r.:h?4>8d9K5f06>>4$0;3>66<3th:5n4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn5<7s-;o>7?7e:J2g3=h91o1<7*>d382<`=#91?1>o5+18296g=7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#i60l0;6)?k2;3;a>"60<09h6*>9181`>=zj8k?6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io037):ne;:8yx{z3th:m:4?:083>5}#9m81=5k4H0a5?j7?m3:1({e9h<1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn320(9oj:99~yx{51;294~"6l;0:4h5G1b48k4>b290/=i<519g8 4>22:;0(<7?:238?xd6i10;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga211/8lk58:~yx=zj8ko6=4>:183!7c:3;3i6F>c79l5=c=83.:h?4>8d9'5=3=;<1/=4>53498yg7fk3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=02.?mh47;|~y>{e90l1<7?50;2x 4b5282n7E?l6:m2<`<72-;o>7?7e:&2<0<5m2.:5=4=e:9~f4?b29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji764}|~?xd6110;6<4?:1y'5a4=91o0Dt$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?><,=kn655r}|8yg7fj3:1=7>50z&2`7<60l1C=n84o0:f>5<#9m81=5k4$0:6>65<,83;6>=4;|`2ed<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo7==#n54}c3b4?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9<>"3il037psr}:a5t$0f1>4>b3A;h:6a>8d83>!7c:3;3i6*>8480<>"61908465rb0;b>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag8;?!2fm320qpsr;|`2=3<7280;6=u+1e095=c<@8i=7b?7e;29 4b5282n7)?75;11?!7>839976sm18794?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382<`=O9j<0c<6j:18'5a4=91o0(<6::558 4?72==07pl7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:99'0dc=02wvqp5rb2ag>5<6290;w)?k2;3;a>N6k?1d=5k50;&2`7<60l1/=5;54`9'5<6=d38246=2e:4h4?:%3g6?7?m2.:484;d:&2=5<3l21vn9?::181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk47;%6ba?>4<729q/=i<519g8L4e13f;3i7>5$0f1>4>b3-;397:m;%3:4?2e32wi8?>50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj764$5cf>==zutw0qo:;8;295?6=8r.:h?4>8d9K5f06>o4$0;3>6g<3th?8:4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9:i:182>5<7s-;o>7?7e:J2g3=h91o1<7*>d382<`=#91?1?o5+18297g=?i7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#i60l0;6)?k2;3;a>"60<0856*>9180=>=zj=?>6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io037):ne;:8yx{z3th?:;4?:083>5}#9m81=5k4H0a5?j7?m3:1({e<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn320(9oj:99~yx{:183!7c:3;3i6F>c79l5=c=83.:h?4>8d9'5=3=;>1/=4>53698ygb129096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ecji764}|~?xdb>3:1=7>50z&2`7<60l1C=n84o0:f>5<#9m81=5k4$0:6>60<,83;6>84;|`f1?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d9<>"3il037psr}:a0=b=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2?j3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<1i1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{3i7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9e>"3il0j7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{297>56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj8:96=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg7d03:1=7>50z&2`7<60k1C=n84o0:g>5<#9m81=5j4;|`74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb5;3>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo:62;292?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2>;3:147>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;h33`?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7l4$5cf>g=zutw0e<>j:18'5a4=9990D;W3g0?7|D8n=6<3;;i6Xic;0x 1ga2k1/8lk5b:~yx=n99l1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511d8Rce=:r.?mk4m;%6ba?d0;29 4b528:87[?k4;3xH4b128q]j54={%3:0?7682\mo7g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?5<4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=3=6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4;;%6ba?20(9oj:59~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7f6=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5d:3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;jk1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd4k:0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#3:1d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:c9'0dc=j2wvqp5f11f94?"6l;0:<>5G1e38R4b328qG=i851zTek;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn>m7:184>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7fd=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3>0(9oj:59~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo71=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th??>4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9=>:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb517>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`77`<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c601?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?76<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#o50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=9i6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg24k3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:<7;292?6=8r.:h?4>8b9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;38 1gb281vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:1<7*>d38246=O9m;0Z4633_lh6?u+4`d90>"3il0?7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4;;%6ba?24=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3?h0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj==i6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?;i4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn968:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi8:k50;194?6|,8n96o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi85?50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=296=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2?;3:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7<1<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<1:1<7850;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?7<,=kn6<5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>95824`=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<121<7=50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7:4$5cf>1=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2=1/8lk54:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7b783>7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb0a2>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2h1/8lk5a:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7c183>3<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:59:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qo?m8;297?6=8r.:h?4>cg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj8hi6=4::183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?d5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?me;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7e?3:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;1<1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#>;I3`2>"69=0n7d??3;29 4b528:87[?k4;3xH4b128q]=5:52z&2=1<68:1]jn4={%6bb?7<,=kn6<5r}|8mc7=83.:h?4i1:T2`1<6sE;o:7?tV0:7>7}#90>1j<5Yfb81!2fn3;0(9oj:09~yx{d38e6>P6l=09wA?k6;3xR4>32;q/=4:5f39Ubf<5s->jj7=4$5cf>6=zutF:h84;{W3`7?5|^o<1>v*;ae8e6>"5i=0?mk5rVg596~"3im0:<>5+2`690d`v*;ae8e6>"5i=0?mk5rVg596~"3im0:<>5+2`690dcv*;ae8e6>"5i=0?mk5rVg596~"3im0m=6*=a587ec=z,<;?6?5rV0;0>7}Qn?09w):nd;d1?!4f<3>jj6s+5069`>{zu2c:o?4?:%3g6?7d:2\:h94={M3g2?7|^82?6?u+18695f4<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9j80(?o;:5ce?x"29=0h7psr;h3ba?6=,8n96ad9'6d2=4;a8yx{5}#9m81=nh4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=78;295?6=8r.:h?4>d89K5f05}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;1h1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2:g>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:2n6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2::>5<1290;w)?k2;3;g>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4>;%6ba?74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2;3>52c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<03->ji794}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=?2.?mh48;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag84?!2fm3=0qpsr;h33a?6=,8n96<><;W3g0?7|D8n=6<3;;i6Xic;0x 1ga2j1/8lk5c:~yx=n99l1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511d8Rce=:r.?mk4l;%6ba?e0;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:==5Yfb81!2fn3i0(9oj:b9~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e2383>6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn<<<:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb004>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?=9;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e2`83>6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn<5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb00f>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9;l1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj89=6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7493:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7m4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;a8 1gb2j1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9:81<7;50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2>1/8lk57:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9g>"3il0h7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:f=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:?>4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8l36=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:jk4?:283>5}#9m81=nh4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8b?!2fm3k0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8ln6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<330q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e9ok1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7aj3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`2bf<7280;6=u+1e095=d<@8i=7b?7d;29 4b5282o76sm1g;94?2=83:p(5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:?=1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:?n1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd5>00;6>4?:1y'5a4=9jl0D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd5>k0;684?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#;h50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<88;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=946;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn?9>:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn?9;:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb355>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;=86=4;:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?9?:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3:0>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;;8yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`13f<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm26g94?5=83:p(5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5?o0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<70;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3:2>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;=o6=4::183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?l77l3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`13d<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6j<0;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=12w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg7e93:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8h96=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg7e;3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9k:1<7=50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#=950;194?6|,8n96o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9e>"3il0j7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=027pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj;:96=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd58:0;6<4?:1y'5a4=9m30D0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>o68l0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0d9Ubf<5s->jj7l4$5cf>g=zutw0e<>i:18'5a4=9990Z46a3_lh6?u+4`d9f>"3il0i7psr}:k255<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:==5Yfb81!2fn3h0(9oj:c9~yx{1383>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<69;1]jn4={%6bb?d<,=kn6o5r}|8m474290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958243=Qnj09w):nf;38 1gb281vqps4i024>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:<7[hl:3y'0d`=92.?mh4>;|~y>o6810;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>099Ubf<5s->jj7?4$5cf>4=zutw0e<>6:18'5a4=9990Z46>3_lh6?u+4`d95>"3il0:7psr}:k24d<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4793:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=946;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn?>n:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th95}#9m81=i74H0a5?j7?l3:1({e:9i1<7?>:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>95824`=Qnj09w):nf;`8 1gb2k1vqps4i02e>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:m7[hl:3y'0d`=j2.?mh4m;|~y>o6990;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>119Ubf<5s->jj7l4$5cf>g=zutw0e:18'5a4=9990Z4763_lh6?u+4`d9f>"3il0i7psr}:k257<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=?5Yfb81!2fn3h0(9oj:c9~yx{0783>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68?1]jn4={%6bb?d<,=kn6o5r}|8m460290/=i<51118R4b328qG=i851zTe8;Wd`>7}#{M3g2?7|^o21>v*>95824==Qnj09w):nf;38 1gb281vqps4i02:>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:27[hl:3y'0d`=92.?mh4>;|~y>o68h0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0`9Ubf<5s->jj7?4$5cf>4=zutw0e<>m:18'5a4=9990Z46e3_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{54;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a64`=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:158:?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb33b>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi>{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;;26=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb303>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`162<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0j7):ne;c8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb305>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;;8yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<=3;295?6=8r.:h?4>d89K5f094?:283>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:;;1<7:50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3k0(9oj:`9~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?5<7s-;o>7?k9:J2g3=h91n1<7*>d38254;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn?<6:187>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5;>0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4n;%6ba?g4=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720??6*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a664=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl=3583>0<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e::;1<7:50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3k0(9oj:`9~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?=m:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38256;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?l77l3:1({zut1b==k50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;926=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb363>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`102<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0j7):ne;c8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb365>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;;8yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<;3;295?6=8r.:h?4>d89K5f05}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>o68m0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7l4$5cf>g=zutw0e<>j:18'5a4=9990Z46b3_lh6?u+4`d9f>"3il0i7psr}:k24c<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:=21<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:=o1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd54?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0i7):ne;`8yx{z3`;;j7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33b>Pak38p(9oi:c9'0dc=j2wvqp5f10294?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=98:0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn?:6:187>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5=>0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4n;%6ba?g4=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720??6*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a604=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl=5583><<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=j2.?mh4m;|~y>o68o0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0g9Ubf<5s->jj7l4$5cf>g=zutw0e4773_lh6?u+4`d9f>"3il0i7psr}:k254<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=<5Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:<21<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{j7>53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:5$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd5=h0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;`8 1gb2k1vqps4i033>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328;;7[hl:3y'0d`=j2.?mh4m;|~y>o6980;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>109Ubf<5s->jj7l4$5cf>g=zutw0e4753_lh6?u+4`d9f>"3il0i7psr}:k256<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=>5Yfb81!2fn3;0(9oj:09~yx{0683>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68>1]jn4={%6bb?7<,=kn6<5r}|8m46?290/=i<51118R4b328qG=i851zTe7;Wd`>7}#{M3g2?7|^o21>v*>95824<=Qnj09w):nf;38 1gb281vqps4i02b>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:j7[hl:3y'0d`=92.?mh4>;|~y>o68k0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0c9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a60?=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:ka;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn9j?:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn9j<:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{o87>54;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3l<0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f0g=#029K5a7<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb5f4>5<2290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;h33`?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0a>=83<1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:c9'0dc=j2wvqp5f11g94?"6l;0:<>5G1e38R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?7<,=kn6<5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:8:1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;c8 1gb2h1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:8<1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd59;0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;`8 1gb2k1vqps4i033>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328;;7[hl:3y'0d`=j2.?mh4m;|~y>o6980;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>109Ubf<5s->jj7l4$5cf>g=zutw0e4753_lh6?u+4`d9f>"3il0i7psr}:k256<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:=>5Yfb81!2fn3h0(9oj:c9~yx{0683>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68>1]jn4={%6bb?d<,=kn6o5r}|8m46?290/=i<51118R4b328qG=i851zTe7;Wd`>7}#{M3g2?7|^o21>v*>95824<=Qnj09w):nf;38 1gb281vqps4i02b>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:j7[hl:3y'0d`=92.?mh4>;|~y>o68k0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0c9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a647=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#5:50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo<79;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?68:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38255;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:c9'0dc=j2wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn?6n:184>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj794$5cf>2=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d93>"3il0<7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0d83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?1<,=kn6:5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4f;3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7o4$5cf>d=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6d4=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`1=f<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3;g>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo<6f;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?00e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3c3>5<1290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>o68m0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj784$5cf>3=zutw0e<>j:18'5a4=9990Z46b3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;?<1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9e>"3il0j7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj:<<6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4>10;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo73=#029K5a7<^8n?60e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0=7):ne;48yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>958255=Qnj09w):nf;`8 1gb2k1vqps4i032>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328;:7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=9b;29=?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk49;%6ba?03=#029K5a7<^8n?60g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?d<,=kn6o5r}|8m477290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958254=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;?i1<7750;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj784$5cf>3=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2?1/8lk56:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d92>"3il0=7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==h4Vga96~"3io0i7):ne;`8yx{z3`;:<7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;324>Pak38p(9oi:c9'0dc=j2wvqp5f10394?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=98;0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn>8k:18:>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2?1/8lk56:~yx=n99n1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?d1183>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<6991]jn4={%6bb?d<,=kn6o5r}|8m476290/=i<51118R4b328qG=i851zTe;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=k2.?mh4l;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;6;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb064>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a51>=83;1<7>t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rb06b>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;b;297?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=>2.?mh49;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8>26=4::183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?l77l3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`20c<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d93>"3il0<7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4l;%6ba?ef=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7283:147>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;58 1gb2>1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=?2.?mh48;|~y>o68l0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0d9Ubf<5s->jj7m4$5cf>f=zutw0e<>i:18'5a4=9990Z46a3_lh6?u+4`d9g>"3il0h7psr}:k255<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51028Rce=:r.?mk4l;%6ba?e4=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`211<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a503=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6=?0;6<4?:1y'5a4=9m30D=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo73=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7213:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9<=1<7=50;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4183:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:?<1<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd5>;0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5f11d94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99l0Zkm52z&7ecji7l4}|~?l7683:1(0:Teg?4|,=km6o5+4`g9f>{zut1b=v*;ag8a?!2fm3h0qpsr;h326?6=,8n96<><;W3g0?7|D8n=6<3;:>6Xic;0x 1ga2k1/8lk5b:~yx=n9891<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51018Rce=:r.?mk4m;%6ba?d2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==94Vga96~"3io0i7):ne;`8yx{z3`;;47>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33<>Pak38p(9oi:c9'0dc=j2wvqp5f11;94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=9930Zkm52z&7ec<63->ji7?4}|~?l77i3:1({zut1b==l50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955d<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;<:6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb27e>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`020<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+5069=>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c155?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn>8<:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a736=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb250>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`03<<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+5069=>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c141?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn>98:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a722=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb42`>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`656<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c73`?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj<;:6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:nj6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8i>4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn>k=:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi?il50;194?6|,8n96o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd4ll0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=>2.?mh49;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:nm6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8i=4?:283>5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn>jk:187>5<7s-;o>7?7c:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb5;b>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7e5<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a0t$0f1>4ea3A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd31j0;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo73=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:79'0dc=>2wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<13->ji784}|~?l77m3:1({zut1b==h50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955`<^oi1>v*;ag85?!2fm3<0qpsr;h324?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>119Ubf<5s->jj784$5cf>3=zutw0e:18'5a4=9990Z4763_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{2j7>59;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:79'0dc=>2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<13->ji784}|~?l77l3:1({zut1b==k50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag85?!2fm3<0qpsr;h33b?6=,8n96<><;W3g0?7|D8n=6<3;;j6Xic;0x 1ga2?1/8lk56:~yx=n98:1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51028Rce=:r.?mk4m;%6ba?d1;29 4b528:87[?k4;3xH4b128q]j54={%3:0?7692\mo7g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?5i4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=k=6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2f93:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7m4}|~?l77k3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7e7<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d93>"3il0<7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4l;%6ba?ef=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2f;3:1?7>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7`g=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5b13:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;o81<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5a93:1:7>50z&2`7<6881C=n84$037>`=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f6ce29086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0af<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm3dg94?2=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn>ki:186>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d9f>"3il0i7psr}:k24a<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?d4=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0=6<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a7<2=8391<7>t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl<9683>6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:3=6=4<:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd41k0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:k86=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8m54?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d38253;294~"6l;0:ok5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>7k:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4i90;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:k:6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5f:3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=6e;291?6=8r.:h?4>8b9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;h>1<7:50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7m4$5cf>f=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2j1/8lk5c:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d9g>"3il0h7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{55;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0<7):ne;58yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?e<,=kn6n5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#ji7m4}|~?j7?l3:1({zut1vn>o9:180>5<7s-;o>7?7c:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8?>4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn>=m:185>5<7s-;o>7??1:J2g3=#98>1j6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi?>:50;194?6|,8n96o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi?>950;194?6|,8n96;=4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`07=<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8?44?:483>5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`073<72=0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd49?0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:;n6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e;8=1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5603:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`05d<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn>?l:187>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{54;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb3c`>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi>lk50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag85?!2fm3<0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;h;6=4::183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4l;%6ba?ef=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0h7):ne;a8yx{z3`;;h7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5jl0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;i<6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:`9'0dc=i2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5k?0;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=:2w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg4en3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;i;6=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg4d:3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo1<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3a7>5<1290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;i:6=4;:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>?i:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qo==0;297?6=8r.:h?4>cg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj:896=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4c<3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e:mn1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4ck3:1:7>50z&2`7<6881C=n84$037>`=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f7b229086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1`3<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm2e:94?2=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1`<<72=0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{g=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th9hl4?:583>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#ji784}|~?l77k3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1`2<72=0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4:<0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:8j6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e;;<1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg55?3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`06=<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb20`>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi??h50;794?6|,8n96;=4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;h33`?6=,8n96<><;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?70<729q/=i<5629K5f0g=#029K5a7<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6a5=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`1g<<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4di3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`1gf<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5kl0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;im6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3aa>5<2290;w)?k2;3;g>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th9h<4?:783>5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:b9'0dc=k2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7m4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;a8 1gb2j1vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=k2.?mh4l;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn?ji:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th9i=4?:083>5}#9m81=i74H0a5?j7?l3:1({e:l81<7:50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d92>"3il0=7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ecji7l4}|~?l77k3:1({zut1b==j50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag85?!2fm3<0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;o?6=4::183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5m<0;684?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{55;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=92.?mh4>;|~y>o68m0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a5`>=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7bm3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7o4$5cf>d=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a5`b=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`2a<<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb0gb>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo?jb;291<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5f11d94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99l0Zkm52z&7ecji7l4}|~?l7683:1(0:Teg?4|,=km6o5+4`g9f>{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`2ac<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`2b3<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c3e4?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511a8Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0i7):ne;`8yx{z3`;;j7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33b>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6n=0;6:4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:j?4?:283>5}#9m81=5m4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj<::6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th>5}#9m81==?4H0a5?!76<3l0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d3827>53;294~"6l;0:ok5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn8><:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb425>5<2290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj<:<6=49:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3`;;h7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd2810;6;4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990D;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2k1/8lk5b:~yx=n99o1<7*>d38246=O9m;0Z46b3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5G1e38R4b328qG=i851zTel;Wd`>7}#ji7l4}|~?l77m3:1({M3g2?7|^o21>v*>95824`=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e=9>1<7850;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?7<,=kn6<5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#{M3g2?7|^o21>v*>95824`=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e98?1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj8;=6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd69>0;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb03b>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?>b;297?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:=n4?:283>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e98n1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?j7?l3:1({zut1vn5<7s-;o>7?7c:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0:7):ne;38yx{z3`;;h7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:09'0dc=92wvqp5f11g94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99o0Zkm52z&7ec<63->ji7?4}|~?l77n3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`043<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd48m0;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=:2w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg57?3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a75>=83;1<7>t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rb22:>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=?b;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d9g>"3il0h7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3i0(9oj:b9~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?e<,=kn6n5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=>5;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn>>i:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8==4?:083>5}#9m81=i74H0a5?j7?l3:1({e;881<7:50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;8;1<7=50;2x 4b5282h7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg52;3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;<21<7850;2x 4b528::7E?l6:&2515$0f1>4643_;o87?tL0f5>4}Q91>1>v*>958246=Qnj09w):nf;38 1gb281vqps4ig394?"6l;0m=6X>d582I7c>3;pZ<6;:3y'5<2=n81]jn4={%6bb?7<,=kn6<5r}|8mc4=83.:h?4i2:T2`1<5sE;o:7?tV0:7>7}#90>1j?5Yfb81!2fn390(9oj:29~yxJ6l<0?w[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`d8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7ea<68:1/>l:54`g8y!36<330q[?l3;1xRc0=:r.?mi4i2:&1e1<3io1vZk952z&7eajh7h=;%0b0?2fn2w/9<:5d:~y>o6k;0;6)?k2;3`6>P6l=09wA?k6;3xR4>32;q/=4:51b08Rce=:r.?mk4l;%6ba?ec290/=i<519f8?xd4==0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2:1>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0<0<72?0;6=u+1e09557<@8i=7)?>4;g8m464290/=i<51118R4b328qG=i851zT2<1<5s-;287??3:Teg?4|,=km6<5+4`g95>{zut1bj<4?:%3g6?`63_;o87?tL0f5>4}Q91>1>v*>958e5>Pak38p(9oi:09'0dc=92wvqp5ff383>!7c:3l97[?k4;0xH4b128q]=5:52z&2=16=#uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mk5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;337>"5i=0?mh5r$437><=z^8i86>uYf781!2fl3l97){Qn>09w):nd;d2?!4f<3>jj6s+50696>{Q9091>vXi6;0x 1gc2o80(?o;:5ce?x"29=0o7psr;h3`6?6=,8n96c39'6d2=4;a8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7fm2.9m94;ag9~ 0732j1vqp5`19f94?"6l;0:4i54}c14f?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn>9j:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj784$5cf>3=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2?1/8lk56:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d92>"3il0=7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1=<>4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb25e>52c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=>2.?mh49;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;h33b?6=,8n96<><;W3g0?7|D8n=6<3;;j6Xic;0x 1ga2k1/8lk5b:~yx=n98:1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51028Rce=:r.?mk4m;%6ba?d4=#729036=4?{%3g6?043A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?0<,=kn6;5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:79'0dc=>2wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ecji7l4}|~?l77m3:1({M3g2?7|^o21>v*>95824`=Qnj09w):nf;`8 1gb2k1vqps4i02e>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:m7[hl:3y'0d`=j2.?mh4m;|~y>o6990;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>119Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7=7=8321<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo73=#029K5a7<^8n?60e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8m46b290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33a>Pak38p(9oi:c9'0dc=j2wvqp5f11d94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99l0Zkm52z&7ecji7l4}|~?l7683:1(0:Teg?4|,=km6o5+4`g9f>{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`03a<72<0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>6<:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag84?!2fm3=0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2>1/8lk57:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d93>"3il0<7psr}:k24a<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk48;%6ba?1f=#029U5a2=9rF:h;4>{Wd;>7}#90>1==h4Vga96~"3io0h7):ne;a8yx{z3`;:<7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<6991]jn4={%6bb?e<,=kn6n5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#650;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;1;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?;4;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn<=6:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn<=l:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6;l0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2?1/8lk56:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73g83>1<729q/=i<5629K5f0g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6<90;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73c83>3<729q/=i<519a8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?l77k3:1({zut1b==j50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag82?!2fm3;0qpsr;h33a?6=,8n96<><;W3g0?7|D8n=6<3;;i6Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74383>=<729q/=i<5629K5f02=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0<7):ne;58yx{z3`;;o7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?1<,=kn6:5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:69'0dc=?2wvqp5f11g94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99o0Zkm52z&7ecji7m4}|~?l77n3:1({zut1b=<>50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328;;7[hl:3y'0d`=k2.?mh4l;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?99;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=i2.?mh4n;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?85;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn<8n:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{2e:4i4?:%3g6?7?l21vn<8k:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6>o0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a526=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3`;;o7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?0<,=kn6;5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a524=83>1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?0<,=kn6;5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a53e=8321<7>t$0f1>4>d3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;38 1gb281vqps4i02g>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=92.?mh4>;|~y>o68l0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0d9Ubf<5s->jj7?4$5cf>4=zutw0e<>i:18'5a4=9990Z46a3_lh6?u+4`d95>"3il0:7psr}:k255<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:==5Yfb81!2fn3;0(9oj:09~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb3d5>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi>k650;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=o<6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?io4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9h?:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi8h650;194?6|,8n96o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{n57>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd3mh0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0`e=83<1<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7f=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0<7):ne;58yx{z3`;;o7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?e<,=kn6n5r}|8m46c290/=i<51118R4b328qG=i851zTek;Wd`>7}#ji7m4}|~?j7?l3:1({zut1vn9kk:185>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7m4$5cf>f=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d9g>"3il0h7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:f=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2bm3:1?7>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0c1=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg3783:1:7>50z&2`7<6881C=n84$037>`=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f1`?29086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7b<<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sm4g`94?3=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7bf<72?0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990D;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2k1/8lk5b:~yx=n99o1<7*>d38246=O9m;0Z46b3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{mi7>56;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7bd<72<0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn8?50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo;m:185>5<7s-;o>7??1:J2g3=#98>1i6g>0283>!7c:3;;?6X>d582I7c>3;pZ<6;:3y'5<2=9990Zkm52z&7ec<63->ji7?4}|~?l`6290/=i<5f09U5a2=9rF:h;4>{W3;0?4|,83?6k?4Vga96~"3io0:7):ne;38yx{z3`l96=4+1e09b7=Q9m>1>vB>d782S7?<38p(<7;:g08Rce=:r.?mk4<;%6ba?518lh4}Wd4>7}#1ga3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#1gb3t.>=946;|T2g6<4s_l=6?u+4`f9b7=#:h>18lh4}Wd4>7}#v*;ae8e6>"5i=0?mk5r$437>a=zut1b=n<50;&2`7<6k;1]=i:52zN2`3<6s_;3874e53_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28i97){#=8>1o6sr}:k2e`<72-;o>7?ne:T2`1<5sE;o:7?tV0:7>7}#90>1=lk4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51`g8 7g32=km7p*:158`?x{z3f;3h7>5$0f1>4>c32wi9?4?:283>5}#9m81=nh4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo;<:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d3826=48:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029K5a7<^8n?60e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>95825<0290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;`8 1gb2k1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=j2.?mh4m;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8a?!2fm3h0qpsr;h33b?6=,8n96<><;W3g0?7|D8n=6<3;;j6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<7*>d38246=O9m;0Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?dg=#029K5a7<^8n?60g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#5}#9m81:>5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7l4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4H0f2?S7c<3;p@{zut1b==h50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:m7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo;6:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:g=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg33290=6=4?{%3g6?7?k2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag82?!2fm3;0qpsr;h33`?6=,8n96<><;W3g0?7|D8n=6<3;;h6Xic;0x 1ga281/8lk51:~yx=n99o1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4>;%6ba?74=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd6>10;6;4?:1y'5a4=99;0DPak38p(9oi:09'0dc=92wvqp5ff083>!7c:3l:7[?k4;3xH4b128q]=5:52z&2=14=#7h=;W3g0?4|D8n=66Xic;0x 1ga2:1/8lk53:~yI7c=3>pZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ag9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?77;2.9m94;ad9~ 073201vZjh7h=;%0b0?2fn2w]j:4={%6b`?`63-8j87:nf:'142=:2w]=4=52zTe2?4|,=ko6k<4$3c7>1ga3t.>=94k;|~?l7d:3:1(c39Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82g7=#:h>18lh4}%720?ef=#8e98yg72l3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8?n6=4>:183!7c:3;o56F>c79l5=b=83.:h?4>8e98yg7183:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<63->ji7?4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`224<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?76583>6<729q/=i<5629K5f00483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb046>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?96;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg72n3:147>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d95>"3il0:7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?7<,=kn6<5r}|8m477290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a5=5=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`23=<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7013:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`23g<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn<9k:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77d83>6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?70;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?0g=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg7?93:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?8a;298b9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>95824a=Qnj09w):nf;38 1gb281vqps4i02f>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=92.?mh4>;|~y>o68o0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0g9Ubf<5s->jj7?4$5cf>4=zutw0e4773_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo?j1;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:hn4?:083>5}#9m81=i74H0a5?j7?l3:1({e9mn1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk49;%6ba?04=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0<7):ne;58yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?e<,=kn6n5r}|8m46d290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd50m0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj;396=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e:1o1<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4?n3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`1=5<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>9582d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3k0(9oj:`9~yx{2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?l::182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38255;294~"6l;0=?6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8m46d290/=i<51118R4b328qG=i851zTel;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn?l7:184>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=n99i1<7*>d38246=O9m;0Z46d3_lh6?u+4`d9f>"3il0i7psr}:k24a<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511f8Rce=:r.?mk4m;%6ba?d8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4e13:1;7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji7l4}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;`8 1gb2k1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1b==k50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:n7[hl:3y'0d`=j2.?mh4m;|~y>o68o0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0g9Ubf<5s->jj7l4$5cf>g=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6gg=83=1<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029K5a7<^8n?60e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8m46a290/=i<51118R4b328qG=i851zTei;Wd`>7}#{M3g2?7|^o21>v*>9582d382;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{0e83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#h750;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qod38246=2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?km:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d38253;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd5ml0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=k2.?mh4l;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8`?!2fm3i0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2j1/8lk5c:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f0f=#029K5a7<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0h7):ne;a8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3d3>5<2290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;58 1gb2>1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8`?!2fm3i0qpsr;h33`?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0e9Ubf<5s->jj7m4$5cf>f=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6c7=8321<7>t$0f1>35<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3=0(9oj:69~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0<7):ne;58yx{z3`;;h7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?1<,=kn6:5r}|8m46b290/=i<51118R4b328qG=i851zTej;Wd`>7}#{M3g2?7|^o21>v*>95824c=Qnj09w):nf;a8 1gb2j1vqps4i033>5<#9m81===4H0f2?S7c<3;p@0:Teg?4|,=km6n5+4`g9g>{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`1b7<72<0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:09'0dc=92wvqp5f11f94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99n0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?hm:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qocg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#kj50;394?6|,8n96i60m0;6)?k2;3;`>=zj;lm6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5783:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7l4}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`044<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:c9'0dc=j2wvqp5f11794?"6l;0:<>5G1e38R4b328qG=i851zTe:;Wd`>7}#ji7l4}|~?j7?l3:1({zut1vn>><:18;>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag8a?!2fm3h0qpsr;h331?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990D;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2k1/8lk5b:~yx=n99n1<7*>d38246=O9m;0Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg4am3:1:7>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d95>"3il0:7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg54k3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;=:1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{56;294~"6l;0:<<5G1b48 4732l1b===50;&2`7<68:1]=i:51zN2`3<6s_;3874643_lh6?u+4`d95>"3il0:7psr}:ke5?6=,8n96k?4V0f7>4}K9m<1=vX>8581!7><3l:7[hl:3y'0d`=92.?mh4>;|~y>oa:3:1(7}#69uY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc28:87){#=8>156sY1b197~Pa>38p(9ok:g08 7g32=km7pXi7;0x 1gc2o;0(?o;:5ce?x"29=097pX>9281S`12;q/8lj5f39'6d2=4;f8yx{Pak38p(9oi:b9'0dc=k2wvqA?k5;3xR4ee2;q]j;4={%6b`?7d:2.9m94;ag9~ 0732j1vqp5f1`g94?"6l;0:mh5Y1e696~J6l?0:w[?74;0x 4?328kn7[hl:3y'0d`=k2.?mh4l;|~H4b228q]=nl52zTe2?4|,=ko6i60m0;6)?k2;3;`>=zj:9o6=4<:183!7c:3;hj6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd4;l0;6<4?:1y'5a4=9m30Dt$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb262>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7m4}|~?l77=3:1({zut1b==m50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8`?!2fm3i0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:>96=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3i0(9oj:b9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7f=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg53;3:1:7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ecji7m4}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;a8 1gb2j1vqps4i02`>5<#9m81===4H0f2?S7c<3;p@{zut1b==j50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:o7[hl:3y'0d`=k2.?mh4l;|~y>o68l0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955c<^oi1>v*;ag8`?!2fm3i0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj:>?6=4;:183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn>:8:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qo=;8;297?6=8r.:h?4>cg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj:>j6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?05$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb26f>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi?8>50;194?6|,8n96;=4H0a5?l77<3:1({M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=j2.?mh4m;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:j1;296?6=8r.:h?4k0:J2g3=n9991<7*>d38246=2.:=94j;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn9k<:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d382n87>53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3n80;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=l=6=49:183!7c:3;;=6F>c79'542=m2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2a;3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`7b1<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:158:?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb5ab>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a0fd=83;1<7>t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rb5a`>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7g<<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg06290=6=4?{%3g6?7792B:o;5+1069a>o68:0;6)?k2;337>P6l=0:wA?k6;3xR4>32;q/=4:51118Rce=:r.?mk4>;%6ba?7:18'5a4=n81]=i:51zN2`3<6s_;387c7<^oi1>v*;ag82?!2fm3;0qpsr;hd1>5<#9m81j?5Y1e696~J6l?0:w[?74;0x 4?32o80Zkm52z&7ec<43->ji7=4}|O5a3=4<{Wd5>7}#3t\:o>4<{Wd5>7}#3t\:o>4<{Wd5>7}#18lh4}%720?46*=a587ec=z,<;?6i5r}|9j5f4=83.:h?4>c39U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0a1?!4f<3>jj6s+5069g>{zu2c:mh4?:%3g6?7fm2\:h94={M3g2?7|^82?6?u+18695dc<^oi1>v*;ag8`?!2fm3i0qpsC1e795~P6kk09w[h9:3y'0db=9ho0(?o;:5ce?x"29=0h7psr;n3;`?6=,8n96<6k;:a1a<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb4g94?7=83:p(2e:4i4?:%3g6?7?l21vn8h50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj8o96=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th:i:4?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d38253;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e9l>1<7?50;2x 4b528n27E?l6:m27?7d:9~f4c229086=4?{%3g6?043A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a6t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`1=1<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3;6>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo<67;292?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{3=#029K5a7<^8n?60d83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==k4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb3;;>5<1290;w)?k2;40?M7d>2c:<94?:%3g6?77;2B:h<5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({zut1b==m50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=>2.?mh49;|~y>o68m0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag85?!2fm3<0qpsr;h33a?6=,8n96<><;W3g0?7|D8n=6<3;;i6Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?76<729q/=i<519a8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn?o::181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?73<729q/=i<51138L4e13-;:87k4i020>5<#9m81===4V0f7>4}K9m<1=vX>8581!7><3;;?6Xic;0x 1ga281/8lk51:~yx=nn80;6)?k2;d2?S7c<3;p@{zut1bj?4?:%3g6?`53_;o874}Q91>1>v*>958e6>Pak38p(9oi:29'0dc=;2wvqA?k5;6xR4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3io1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7??3:&1e1<3il1v(8?;:89~R4e42:q]j;4={%6b`?`53-8j87:nf:Ub2<5s->jh7h>;%0b0?2fn2w/9<:52:U5<5=:r\m:7c4<,;k?69oi;|&651{zuE;o97?tV0aa>7}Qn?09w):nd;3ba>"5i=0?mk5r$437>f=zut1d=5j50;&2`7<60m10qocg9K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#l950;394?6|,8n96i60m0;6)?k2;3;`>=zj;k36=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?05$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb27b>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi?8m50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=?m6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?:94?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d382=<7>53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e7?7d:9~f10529086=4?{%3g6?043A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?d<,=kn6o5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j90;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=h?6=4=:183!7c:3n;7E?l6:k246<72-;o>7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?nn4?:783>5}#9m81==?4H0a5?!76<3o0e<><:18'5a4=9990Z4=#7h>;W3g0?7|D8n=65+4`g97>{zuE;o97:tV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587ec=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3;;?6*=a587e`=z,<;?645rV0a0>6}Qn?09w):nd;d1?!4f<3>jj6sYf681!2fl3l:7){#=8>1>6sY18196~Pa>38p(9ok:g08 7g32=km7p*:158g?x{z3`;h>7>5$0f1>4e53_;o874}Q91>1>v*>9582g7=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6k;1/>l:54`d8y!36<3i0qps4i0cf>5<#9m81=lk4V0f7>7}K9m<1=vX>8581!7><3;ji6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?ne:&1e1<3io1v(8?;:b9~yx=h91n1<7*>d382i=7>53;294~"6l;0:ok5G1b48m463290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn9l=:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d382i?7>53;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j<0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=?2.?mh48;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8`?!2fm3i0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2j1/8lk5c:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f00483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0h7):ne;a8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j>0;694?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=?2.?mh48;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8`?!2fm3i0qpsr;h33g?6=,8n96<><;W3g0?7|D8n=6<3;;o6Xic;0x 1ga2j1/8lk5c:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?71<729q/=i<5629K5f00483>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0h7):ne;a8yx{z3`;;o7>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;33g>Pak38p(9oi:b9'0dc=k2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3j00;6:4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag84?!2fm3=0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj794$5cf>2=zutw0e<>k:18'5a4=9990D;W3g0?7|D8n=6<3;;h6Xic;0x 1ga2>1/8lk57:~yx=n99o1<7*>d38246=O9m;0Z46b3_lh6?u+4`d9g>"3il0h7psr}:k24c<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>o68j0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7?4$5cf>4=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d95>"3il0:7psr}:k24`<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95823:1d38244=O9j<0(029U5a2=9rF:h;4>{W3;0?4|,83?6<><;Wd`>7}#5$0f1>c7<^8n?6"3il087psrL0f6>1}Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>jj6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:020?!4f<3>ji6s+5069=>{Q9j91?vXi6;0x 1gc2o80(?o;:5ce?xPa?38p(9ok:g38 7g32=km7p*:1581?xP61:09w[h9:3y'0db=n;1/>l:54`d8y!36<3n0qps4i0a1>5<#9m81=n<4V0f7>7}K9m<1=vX>8581!7><3;h>6Xic;0x 1ga2j1/8lk5c:~yI7c=3;pZjh7?l2:&1e1<3io1v(8?;:b9~yx=n9ho1<7*>d382e`=Q9m>1>vB>d782S7?<38p(<7;:0cf?S`d2;q/8lh5c:&7e`4gb3-8j87:nf:'142=k2wvq6a>8e83>!7c:3;3h65rb5`f>5<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?74<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wi8n?50;194?6|,8n96;=4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=i96=4::183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3h0(9oj:c9~yx{6=4+1e09555<@8n:7[?k4;3xH4b128q]j54={%3:0?77=2\mo7g=#029U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3`;;h7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68m1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag85?!2fm3<0qpsr;h33g?6=,8n96<><;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>0b9Ubf<5s->jj7l4$5cf>g=zutw0e<>k:18'5a4=9990Z46c3_lh6?u+4`d9f>"3il0i7psr}:k24`<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:511g8Rce=:r.?mk4m;%6ba?d4=#0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ecji7l4}|~?l77l3:1({M3g2?7|^o21>v*>95824a=Qnj09w):nf;`8 1gb2k1vqps4i02f>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`7g0<72?0;6=u+1e0926=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d9f>"3il0i7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3h0(9oj:c9~yx{0e83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3`;;i7>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#50;494?6|,8n96<6l;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0d83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68l1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:j0;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn9jl:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?hi4?:083>5}#9m81=i74H0a5?j7?l3:1({e<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4m;%6ba?d4=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd35<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga2<1/8lk55:~yx=zj=>86=4<:183!7c:3;hj6F>c79j552=83.:h?4>029K5a7<^8n?60483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?7<,=kn6<5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#i60m0;6)?k2;3;`>=zj=>>6=4<:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?03=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?;=4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn99;:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4:;%6ba?36<729q/=i<51bd8L4e13`;;87>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl;7283>6<729q/=i<5629K5f03=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5fj3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;hl1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3?0(9oj:49~yx{53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;hn1<7?50;2x 4b528n27E?l6:m27?7d:9~f6gb29086=4?{%3g6?043A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=ia;292?6=8r.:h?4>009K5f0<,8;?6h5f11194?"6l;0:<>5Y1e695~J6l?0:w[?74;0x 4?328:87[hl:3y'0d`=92.?mh4>;|~y>oa93:1(;Wd`>7}#7>5$0f1>c4<^8n?6?uC1e495~P60=09w)?64;d1?S`d2;q/8lh53:&7e`<43twv@c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fn2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6<><;%0b0?2fm2w/9<:59:U5f5=;r\m:7c4<,;k?69oi;|Te3?4|,=ko6k?4$3c7>1ga3t.>=94=;|T2=6<5s_l=6?u+4`f9b7=#:h>18lh4}%720?bf=#6*=a587ec=z,<;?6n5r}|9j5dc=83.:h?4>ad9U5a2=:rF:h;4>{W3;0?4|,83?67}#638p(9ok:0cf?!4f<3>jj6s+5069g>{zu2e:4i4?:%3g6?7?l21vn>h9:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th8j:4?:083>5}#9m81=i74H0a5?j7?l3:1({e;o21<7=50;2x 4b52?90D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7l4$5cf>g=zutw0e<>::18'5a4=9990D;W3g0?7|D8n=6<3;;96Xic;0x 1ga2k1/8lk5b:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb52:>5<1290;w)?k2;335>N6k?1/=<:5e:k246<72-;o>7??3:T2`1<6sE;o:7?tV0:7>7}#90>1===4Vga96~"3io0:7):ne;38yx{z3`l:6=4+1e09b4=Q9m>1=vB>d782S7?<38p(<7;:g38Rce=:r.?mk4>;%6ba?7c4<^oi1>v*;ag80?!2fm390qpsC1e790~P6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=km7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj51118 7g32=kn7p*:158:?xP6k:08w[h9:3y'0db=n;1/>l:54`d8yS`02;q/8lj5f09'6d2=4;08yS7>;38pZk852z&7eao6il0;6)?k2;3ba>P6l=09wA?k6;3xR4>32;q/=4:51`g8Rce=:r.?mk4l;%6ba?e2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo=if;295?6=8r.:h?4>d89K5f05}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e<981<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?0<,=kn6;5r}|8m462290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd38=0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=>2.?mh49;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=:>6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?60b83>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==m4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb525>5<3290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=>2.?mh49;|~y>o68j0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+186955e<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=:<6=4;:183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?03=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2783:147>50z&2`7<60j1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d95>"3il0:7psr}:k24a<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:0g83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68o1]jn4={%6bb?7<,=kn6<5r}|8m477290/=i<51118R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>9582d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a042=83<1<7>t$0f1>4663A;h:6*>158f?l77;3:1(029Ubf<5s->jj7?4$5cf>4=zutw0ek?50;&2`7{M3g2?7|^82?6?u+1869b4=Qnj09w):nf;38 1gb281vqps4ig094?"6l;0m>6X>d581I7c>3;pZ<6;:3y'5<2=n;1]jn4={%6bb?5<,=kn6>5r}|N2`0<3s_;h?7=tVg496~"3im0m>6*=a587ec=z^o=1>v*;ae8246=#:h>18lh4}%720??6*=a587ec=z^o=1>v*;ae8246=#:h>18lk4}%720??6*=a587ec=z^o=1>v*;ae8e5>"5i=0?mk5r$437>7=z^8386?uYf781!2fl3l97){#=8>1h6sr}:k2g7<72-;o>7?l2:T2`1<5sE;o:7?tV0:7>7}#90>1=n<4Vga96~"3io0h7):ne;a8yx{K9m?1=vX>cc81S`12;q/8lj51b08 7g32=km7p*:158`?x{z3`;ji7>5$0f1>4gb3_;o874}Q91>1>v*>9582e`=Qnj09w):nf;a8 1gb2j1vqpB>d482S7dj38pZk852z&7ea<6il1/>l:54`d8y!36<3i0qps4o0:g>5<#9m81=5j4;|`755<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2693:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`757<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thoh7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vnh=50;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qok?:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thn=7>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xdb:3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`f0?6=;3:1d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=<2.?mh4;;|~y>o68<0;6)?k2;337>N6l81]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag87?!2fm3>0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj1?1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0>7):ne;78yx{z3th3:7>53;294~"6l;0:ok5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e0>0;6<4?:1y'5a4=9m30D;:18'5a4=9990Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb9d94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<4290;w)?k2;3`b>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d89K5f053;294~"6l;0=?6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0=7):ne;48yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd>93:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e1<0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga2<1/8lk55:~yx=zj081<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg?4290:6=4?{%3g6?7c12B:o;5`19f94?"6l;0:4i54}c;7>5<4290;w)?k2;40?M7d>2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;48 1gb2?1vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=>2.?mh49;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo78:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d38246=6<729q/=i<51bd8L4e13`;;87>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:09'0dc=92wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<63->ji7?4}|~?j7?l3:1({zut1vn4750;394?6|,8n96i60m0;6)?k2;3;`>=zj0k1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk49;%6ba?04=#t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8ygg629096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<23->ji7;4}|~?xd>m3:1?7>50z&2`7<6ko1C=n84i027>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=92.?mh4>;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7?4$5cf>4=zutw0c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a=c<7280;6=u+1e095a?<@8i=7b?7d;29 4b5282o76sma183>6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?0<,=kn6;5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vnl950;094?6|,8n96i>4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qoo;:180>5<7s-;o>7?lf:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4>;%6ba?74=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thj97>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xdf>3:1?7>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`b=?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:aea<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo70=#t$0f1>4ea3A;h:6g>0583>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>95825<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qool:180>5<7s-;o>78<;I3`2>o68=0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj784$5cf>3=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d92>"3il0=7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{7??3:9l5=b=83.:h?4>8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3thi?7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#5}#9m81=nh4H0a5?l77<3:1({zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag82?!2fm3;0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zjk;1<7?50;2x 4b528n27E?l6:m27?7d:9~fg4=8391<7>t$0f1>35<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo73=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0=7):ne;48yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rbc794?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag86?!2fm3?0qpsr;|`a2?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{4<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32win54?:283>5}#9m81:>5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{ejk0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zjkl1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3?0(9oj:49~yx{c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xdel3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`aa?6=;3:1d3857>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag85?!2fm3<0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga2?1/8lk56:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d38246=7<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:49'0dc==2wvqp5rbb394?5=83:p(2c:<94?:%3g6?77;2\:h94>{M3g2?7|^o21>v*>958241=Qnj09w):nf;38 1gb281vqps4i026>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:>7[hl:3y'0d`=92.?mh4>;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qom=:182>5<7s-;o>7?k9:J2g3=h91n1<7*>d3827??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3<0(9oj:79~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8ygef29096=4?{%3g6?b73A;h:6g>0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xddm3:1>7>50z&2`754o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`==2.?mh4:;|~y>{ekk0;6>4?:1y'5a4=9jl0D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga281/8lk51:~yx=n99?1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51178Rce=:r.?mk4>;%6ba?74=#t$0f1>4b>3A;h:6a>8e83>!7c:3;3h65rbbf94?5=83:p(5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;330>Pak38p(9oi:79'0dc=>2wvqp5f11794?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99?0Zkm52z&7ec<13->ji784}|~?j7?l3:1({zut1vn>l=:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?7d38246=2.:=94i;h337?6=,8n96<><;W3g0?7|D8n=65Yfb81!2fn3;0(9oj:09~yx{d38e5>P6l=0:wA?k6;3xR4>32;q/=4:5f09Ubf<5s->jj7?4$5cf>4=zutw0ek<50;&2`7d487S7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7ea029'6d2=4;;8yS7d;39pZk852z&7eac383>!7c:3;h>6X>d581I7c>3;pZ<6;:3y'5<2=9j80Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?l7fm3:1(ad9Ubf<5s->jj7m4$5cf>f=zutF:h84>{W3`f?4|^o<1>v*;ae82e`=#:h>18lh4}%720?e6<729q/=i<51bd8L4e13`;;87>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl6<729q/=i<5629K5f00483>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68<1]jn4={%6bb?d<,=kn6o5r}|8k4>c290/=i<519f8R4b328qG=i851zTe7}#{M3g2?7|^o21>v*>958241=Qnj09w):nf;`8 1gb2k1vqps4i026>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`0f0<72:0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb2af>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|``b?6=:3:1d38g4>N6k?1b===50;&2`7<68:10c<6k:18'5a4=91n0Z4>c3_lh6?u+4`d95>"3il0:7psr}:a7a2=8381<7>t$0f1>a6<@8i=7d??3;29 4b528:876a>8e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg5c?3:1:7>50z&2`7<6881C=n84$037>c=n9991<7*>d38246=Q9m>1=vB>d782S7?<38p(<7;:020?S`d2;q/8lh51:&7e`<63twvq6gi1;29 4b52o;0Z"3il0:7psr}:ke6?6=,8n96k<4V0f7>7}K9m<1=vX>8581!7><3l97[hl:3y'0d`=;2.?mh4<;|~H4b22=q]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:nf:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;74643-8j87:ne:'142=12w]=n=53zTe2?4|,=ko6k<4$3c7>1ga3t\m;7c7<,;k?69oi;|&651<53t\:5>4={Wd5>7}#{zuE;o97?tV0aa>7}Qn?09w):nd;3`6>"5i=0?mk5r$437>f=zut1b=lk50;&2`7<6il1]=i:52zN2`3<6s_;3874gb3_lh6?u+4`d9g>"3il0h7psrL0f6>4}Q9jh1>vXi6;0x 1gc28kn7){#=8>1o6sr}:m27?7d:9~f6ea29086=4?{%3g6?7dn2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;m:1<7?50;2x 4b528n27E?l6:m27?7d:9~f6b5290?6=4?{%3g6?043A;h:6g>0583>!7c:3;;?6F>d09U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0i7):ne;`8yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:c9'0dc=j2wvqp5f11a94?"6l;0:<>5G1e38R4b328qG=i851zTel;Wd`>7}#{M3g2?7|^o21>v*>9582d3857>N6k?1b==:50;&2`7<68:1C=i?4V0f7>4}K9m<1=vXi8;0x 4?328:?7[hl:3y'0d`=j2.?mh4m;|~y>o68<0;6)?k2;337>P6l=0:wA?k6;3xRc>=:r.:594>049Ubf<5s->jj7l4$5cf>g=zutw0e<>l:18'5a4=9990Z46d3_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{53;294~"6l;0:4n5G1b48m463290/=i<51118R4b328qG=i851zTe;;Wd`>7}#{M3g2?7|^o21>v*>958240=Qnj09w):nf;38 1gb281vqps4o0:g>5<#9m81=5j4V0f7>4}K9m<1=vXi8;0x 4?3282o7[hl:3y'0d`=92.?mh4>;|~y>{e;m?1<7=50;2x 4b52?90D<;W3g0?7|D8n=6<3;;86Xic;0x 1ga2>1/8lk57:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9g>"3il0h7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{:;7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qo:>8;297?6=8r.:h?4>cg9K5f04=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb53:>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo:>b;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?08e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg26k3:187>50z&2`7<1;2B:o;5f11694?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99>0Zkm52z&7ec<13->ji784}|~?l77=3:1({M3g2?7|^o21>v*>958240=Qnj09w):nf;48 1gb2?1vqps4i02`>5<#9m81===4V0f7>4}K9m<1=vXi8;0x 4?328:h7[hl:3y'0d`=>2.?mh49;|~y>i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7?4$5cf>4=zutw0qo:>d;290?6=8r.:h?493:J2g3=n99>1<7*>d38246=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk49;%6ba?08e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg26m3:197>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji784}|~?l77k3:1({zut1b==j50;&2`7<68:1]=i:51zN2`3<6s_l36?u+186955b<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=;j6=4::183!7c:3;3o6F>c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5f11a94?"6l;0:<>5Y1e695~J6l?0:w[h7:3y'5<2=99i0Zkm52z&7ec<63->ji7?4}|~?l77l3:1({zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`767<72;0;6=u+1e09`5=O9j<0e<><:18'5a4=99907b?7d;29 4b5282o7[?k4;3xH4b128q]j54={%3:0?7?l2\mo74=#0283>!7c:3;;?65`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<23->ji7;4}|~?xd3::0;6>4?:1y'5a4=9jl0D<;I3g5>P6l=0:wA?k6;3xRc>=:r.:594>059Ubf<5s->jj7?4$5cf>4=zutw0e<>::18'5a4=9990Z4623_lh6?u+4`d95>"3il0:7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{987>51;294~"6l;0:h45G1b48k4>c290/=i<519f8?xd3:?0;6>4?:1y'5a4=>:1C=n84i027>5<#9m81===4H0f2?S7c<3;p@{zut1b==;50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869553<^oi1>v*;ag8a?!2fm3h0qpsr;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=8<6=4::183!7c:3<87E?l6:k241<72-;o>7??3:J2`4=Q9m>1=vB>d782S`?2;q/=4:51168Rce=:r.?mk4m;%6ba?dg=#029U5a2=9rF:h;4>{Wd;>7}#90>1==j4Vga96~"3io0i7):ne;`8yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb506>5<4290;w)?k2;3;g>N6k?1b==:50;&2`7<68:1]=i:51zN2`3<6s_l36?u+1869552<^oi1>v*;ag82?!2fm3;0qpsr;h331?6=,8n96<><;W3g0?7|D8n=6<3;;96Xic;0x 1ga281/8lk51:~yx=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4>;%6ba?77<729q/=i<5d19K5f05$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb56g>5<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag86?!2fm3?0qpsr;|`70d<72:0;6=u+1e095f`<@8i=7d??4;29 4b528:87E?k1:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg23j3:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`70f<72<0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2?1/8lk56:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d92>"3il0=7psr}:k24f<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:3=#8e9U5a2=9rF:h;4>{Wd;>7}#90>1=5j4Vga96~"3io0:7):ne;38yx{z3th?9=4?:383>5}#9m81h=5G1b48m464290/=i<51118?j7?l3:1({zut1vn9;;:181>5<7s-;o>7j?;I3`2>o68:0;6)?k2;337>=h91n1<7*>d3821=vB>d782S`?2;q/=4:519f8Rce=:r.?mk4:;%6ba?36<729q/=i<51bd8L4e13`;;87>5$0f1>4643A;o=6X>d582I7c>3;pZk652z&2=1<68=1]jn4={%6bb?7<,=kn6<5r}|8m462290/=i<51118R4b328qG=i851zTe:;Wd`>7}#{M3g2?7|^o21>v*>9582d382`<=O9j<0c<6k:18'5a4=91n07pl;5283>0<729q/=i<5629K5f03=#029K5a7<^8n?60b83>!7c:3;;?6X>d582I7c>3;pZk652z&2=1<68j1]jn4={%6bb?d<,=kn6o5r}|8m46c290/=i<51118L4b63_;o87?tL0f5>4}Qn109w)?64;33`>Pak38p(9oi:79'0dc=>2wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xd3=>0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zj=?h6=49:183!7c:3;;=6F>c79'542=n2c:<>4?:%3g6?77;2\:h94>{M3g2?7|^82?6?u+1869555<^oi1>v*;ag82?!2fm3;0qpsr;hd2>5<#9m81j<5Y1e695~J6l?0:w[?74;0x 4?32o;0Zkm52z&7ec<63->ji7?4}|~?l`5290/=i<5f39U5a2=:rF:h;4>{W3;0?4|,83?6k<4Vga96~"3io087):ne;18yx{K9m?18vX>c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=c280S`12;q/8lj5f39'6d2=l:54`d8y!36<380q[?63;0xRc0=:r.?mi4i2:&1e1<3io1v(8?;:e9~yx=n9j81<7*>d382g7=Q9m>1>vB>d782S7?<38p(<7;:0a1?S`d2;q/8lh5c:&7e`4e53-8j87:nf:'142=k2wvq6g>ad83>!7c:3;ji6X>d581I7c>3;pZ<6;:3y'5<2=9ho0Zkm52z&7ecji7m4}|O5a3=9r\:oo4={Wd5>7}#1ga3t.>=94l;|~?j7?l3:1({e<<21<7=50;2x 4b528im7E?l6:k241<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<95Yfb81!2fn3;0(9oj:09~yx{6=4+1e09555<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg2213:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`71d<72:0;6=u+1e0926=O9j<0e<>;:18'5a4=9990D;W3g0?7|D8n=6<3;;86Xic;0x 1ga2k1/8lk5b:~yx=n99?1<7*>d38246=O9m;0Z4623_lh6?u+4`d9f>"3il0i7psr}:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3;0(9oj:09~yx{=;7>52;294~"6l;0o<6F>c79j555=83.:h?4>0298k4>c290/=i<519f8R4b328qG=i851zTe7}#4H0a5?l77;3:1(i60m0;6)?k2;3;`>P6l=0:wA?k6;3xRc>=:r.:594>8e9Ubf<5s->jj7;4$5cf>0=zutw0qo:98;297?6=8r.:h?4>cg9K5f04=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rb54:>5<6290;w)?k2;3g=>N6k?1d=5j50;&2`7<60m10qo:9b;291?6=8r.:h?493:J2g3=n99>1<7*>d38246=O9m;0Z4633_lh6?u+4`d92>"3il0=7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3<0(9oj:79~yx{3=#029K5a7<^8n?68e83>!7c:3;3h6X>d582I7c>3;pZk652z&2=1<60m1]jn4={%6bb?7<,=kn6<5r}|8yg21k3:197>50z&2`7<1;2B:o;5f11694?"6l;0:<>5G1e38R4b328qG=i851zTe;;Wd`>7}#ji784}|~?l77k3:1({M3g2?7|^o21>v*>95824f=Qnj09w):nf;48 1gb2?1vqps4i02g>5<#9m81===4H0f2?S7c<3;p@{zut1d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag82?!2fm3;0qpsr;|`72d<72:0;6=u+1e095=e<@8i=7d??4;29 4b528:87[?k4;3xH4b128q]j54={%3:0?77<2\mo74=#029U5a2=9rF:h;4>{Wd;>7}#90>1==;4Vga96~"3io0:7):ne;38yx{z3f;3h7>5$0f1>4>c3_;o87?tL0f5>4}Qn109w)?64;3;`>Pak38p(9oi:09'0dc=92wvqp5rbe:94?4=83:p(5$0f1>46432e:4i4?:%3g6?7?l2\:h94>{M3g2?7|^o21>v*>95825<5290;w)?k2;f3?M7d>2c:<>4?:%3g6?77;21d=5j50;&2`7<60m1]=i:51zN2`3<6s_l36?u+18695=b<^oi1>v*;ag86?!2fm3?0qpsr;|`g=?6=;3:1d382gc=O9j<0e<>;:18'5a4=9990Z4633_lh6?u+4`d95>"3il0:7psr}:k240<72-;o>7??3:T2`1<6sE;o:7?tVg:96~"61=0:<85Yfb81!2fn3;0(9oj:09~yx{4<729q/=i<51e;8L4e13f;3h7>5$0f1>4>c32wiho4?:083>5}#9m81=i74H0a5?j7?l3:1({em>0;6?4?:1y'5a4=l91C=n84i020>5<#9m81===4;n3;`?6=,8n96<6k;W3g0?7|D8n=6<3;3h6Xic;0x 1ga281/8lk51:~yx=zjlh1<7<50;2x 4b52m:0D<;:m27?7d:T2`1<6sE;o:7?tVg:96~"61=0:4i5Yfb81!2fn3?0(9oj:49~yx{c79j552=83.:h?4>029U5a2=9rF:h;4>{Wd;>7}#90>1==:4Vga96~"3io0:7):ne;38yx{z3`;;97>5$0f1>4643_;o87?tL0f5>4}Qn109w)?64;331>Pak38p(9oi:09'0dc=92wvqp5`19f94?"6l;0:4i5Y1e695~J6l?0:w[h7:3y'5<2=91n0Zkm52z&7ec<63->ji7?4}|~?xdb13:1=7>50z&2`7<6l01C=n84o0:g>5<#9m81=5j4;|`fe?6=93:1d382=91n01>6k:027?85>83;;863=8`8241=:;?k1==:4=24a>463349=o7??4:?02a<68=16=9j51168943728:?70:6e;330>;31o0:<95236g9552<5:=m6<>;;<1;4?77<2784<4>059>7=5=99>01<:=:027?84a93;;863=968241=::021==:4=5`6>46334>i57??4:?746<68=168{t?m0;6>u27d82<`=Y?m16o:4>029~wf1=83;ow0m8:0:g?85?m3;;863<918240=::1k1==;4=24b>462349=n7??5:?02f<68<16?;j51178942c28:>70?:0;331>;31l0:<85248d9553<5:=n6<>:;<14b?77=2784=4>049>7=7=99?01>6<:026?873:3;;963>dg8241=::o;1==;4=3;4>462348247??5:?7f3<68=168o751178916228:?70:?6;330>;38>0:<95240g9552<5=:;|q4f?6=;r7;d>3;;?6s|c783>46|5j<1=5j4=2;3>46d3483m7??c:?215<68j1684k511a891?a28:h70=73;33g>;6<;0:l;<0:0b9>053=99?019?l:027?821j3;;o63;6b8240=z{>31<7=t=6c95=c301n;51118yve2290:;4190:k;<6:a?77l27?5k4>0e9>7=5=99n01<:=:02g?84a93;;h63=96824a=::021==j4=5`:>46c34>;:7??5:?75a<68=168;l511f8910d28:h7p}87;297~;003;3i6P87:?ae?77;2wxnl4?:9y>fd<60m16>5o511g891?b28:n70:6f;33a>;em3;;863me;331>;d;3;;863l3;331>{t?<0;6>u27782<`=Y?<16n94>029~wg2=83f28:m70:6e;33b>;31o0:;5il0:<85rsdd94?4|5ll1=5j4=5;6>c44>c34;9:7h=;<301?`5348h:7h=;<0gg?`5348o?7h=;<0f3?`5348m87h=;<17g?`53tyo>7>54z?g7?7?m2To>63k4;337>;c=3;;?6s|d583>c}:l=0:4i5232`9b7=:;8o1j?522c09b7=:;;>1j?5233c9b7=:;:81j?521329b7=:;9n1j?523079b7=::ok1j?525c8e6>;5jj0m>63<048e6>;46s|d483>0}:l<0:4i523bc9b7=:<:o1j?524959b7=:<8>1j?5rsgf94?2|5oo1=5k4^gf89c`=99901<>?:020?xuan3:18=u2fg8221j?522919b7=:9k?1j?522149b7=::9o1j?5220g9b7=::;<1j?5223g9b7=:::<1j?5222g9b7=::=<1j?5225g9b7=::<<1j?5224g9b7=:;1j?521419b7=:9?1j?524g29b7=:=9:1j?5217:9b7=:9191j?521d39b7=::081j?523409b7=:;6m>0m>63=9`8e6>;4=l0m>63;658e6>;3jj0m>63;c68e6>;3m90m>63;088e6>;4j00m>63;3=j0m>6s|11294?5|58:;6<6k;<0be?`5349mm7h=;|q0fd<72:q6?ol519g8Z6df349io7??3:p7ge=838iw0=mc;3;`>;40k0:<9521209552<5=n?6<>;;<6g059>6d6=99>01>8n:02`?851j3;;o63<6g8241=:9=l1==:4=07;>463349oi7??4:?7=`<699168l85116891g128:>70:n2;330>;4i<0:<9525159553<5<:36<>;;<14a?77k278;k4>0b9>0`e=99?019hl:026?82al3;;863=f18241=:4633482;7??e:?1e=<68=16>l65117891e428:>70:l4;330>;3<<0:<9524619552<5=:?6<>;;<1g1?77<27?=o4>049>04e=99?019?k:026?826m3;;963;4b8241=:<<91==:4}r6be?6=;r7?mo4>8d9]0dg<5=kh6<><;|q7ef<728=p19ol:0:g?851i3;;h63<6c824a=:;?i1==m4=24g>46d34;?h7??c:?03`<68m16?:h511f896>728:h70=71;33g>;6><0:<9521929552<5=h<6<>;;<6a049>025=99?019>8:026?826j3;;o63;1b824f=:<8n1==m4=53f>46d34>?o7??5:?716<68<1v>k;:18085b=3;3i6P7`0=9990q~=j6;297~;4m?0:4i521369552<588?6<>:;|q71a<72=q6=5751118913b282n7S::d:?71a<60m1v<66:18687?13;3h63>2e8241=:9;n1==;4=3;e>46c348j<7??d:p05g=839p19>m:0:f?[27i27?029~w16d2908w0:?c;3;`>;6:l0:<95213g95539o7>53z?76a<60l1U8?m4=50f>4643ty?>h4?:7y>07c=91n01>8<:027?851;3;;963>3d824f=:9:l1==m4=56`>46c3ty?;84?:2y>020=91o0R99:;<643?77;2wx8:950;4x9110282o70=87;330>;4?>0:<852162955e<58=96<>l;<667?77l2wxj44?:2y>bd<60l1Uj452fc8246=z{oh1<7mt=g`95=b<5;i96<>:;<0`7?77m279h54>0b9>6ag=99?01?mj:027?84b:3;;963=e2824a=:9mn1==:4=0fg>462348no7??4:?1af<68<1v9<6:180825i3;3i6P;289>07d=9990q~:=b;297~;3:k0:4i522829552<5;3;6<>:;|q7e2<72:q68l6519g8Z1g034>j57??3:p0d?=839p19o6:0:g?853i3;;863<4`8240=z{??1<7=t=7495=c32908w06;:0:g?8>?28:?7067:026?xu1?3:1?v398;3;a>X1?273m7??3:p8e9><`<68=164h4>049~w3?=839p1;o519g8Z3?<50:1===4}r;3>5<4s43;6<6k;<;7>463343?6<>:;|q5f?6=;r7=o7?7e:\5f>;>>3;;?6s|9783>6}:1?0:4i529`8241=:1h0:<85rs7f94?5|5?o1=5k4^7f897p}9f;297~;083;3i6P9f:?b6?77;2wxm?4?:2y>e7<60m16m;4>059>e3<68<1v:?50;1x924=91o0R:?4=`:95554>c34kh6<>;;4623ty53z?40?7?m2T{til0;6>u2ad822908w0m6:0:g?8ec28:?70mk:026?xu3000;6>u249c95=c{t<1n1<746434>3h7?7d:p0=e=838p1966:g7891>d282o7p}6}:;ko1=5k4^2`g?85el3;3h6s|3b394?4|5:ho6<><;<1`5?7?l2wx?n>50;0x96dc2o?01>m?:0:g?xu3:o0;6>u242295=c{t<:91<746434>8?7?7d:p064=838p196}:<>31=5k4^55;?82003;3h6s|46a94?4|5==36<><;<64g?7?l2wx8:l50;0x911?2o?0199m:0:g?xu4l10;6>u23e;95=c{t;mk1<7464349om7?7d:p0<>=839p1976:0:f?[2>027?554>8e9~w1?f2909w0:68;337>;31h0:4i5rs2g4>5<4s49n47?7e:\0a2=:;l=1=5j4}r1fe?6=:r78i:4>029>7`g=91n0q~:;0;297~;3<80:4h5Q45289127282o7p};4383>7}:<=:1===4=561>4>c3ty?:h4?:2y>03`=91o0R98j;<65a?7?l2wx8:>50;0x910b28:870:80;3;`>{t;h31<7=t=2cb>4>b3W9j5638e9~w6`42908w0=i4;3;a>X4n:16?k=519f8yv5a=3:1>v38d9]7cd<5:li6<6k;|q0ba<72;q6?kl5111896`c282o7p};0e83>6}:<9o1=5k4^52g?827l3;3h6s|41d94?4|5=:o6<><;<63b?7?l2wxhh4?:3y>00b=99901ik519f8yvbc2909w0::d;d6?8bc282o7p}>9b83>6}:90n1=5k4^0;`?87>k3;3h6s|8483>7}:90i1===4=9795=b7>53z?2e6<60l1U=l<4=0c1>4>c3ty3n7>52z?2e7<68:164o4>8e9~w4g32908w0?n5;3;a>X6i=16=l:519f8yv?62909w0?n4;337>;>93;3h6s|1`494?5|58k<6<6j;_3b2>;6i?0:4i5rs8594?4|58k=6<><;<;4>4>c3ty:m54?:2y>5d?=91o0R5d>=999014j519f8yv7fk3:1?v3>ae82<`=Y9hi01v3>ab8246=:i:0:4i5rs0;f>5<4s4;2j7?7e:\2=`=:90o1=5j4}rc:>5<5s4;2i7??3:?b=?7?l2wx=4950;1x94??282n7S?67:?2=2<60m1vlh50;0x94?028:870oi:0:g?xu6ih0;6>u21``95=c{tj<0;6?u21`c9555<5k?1=5j4}r3b4?6=;r7:m<4>8d9]5d6<58k;6<6k;|qaf?6=:r7:m=4>029>fg<60m1v<7n:18087>j3;3i6P>9`9>5i3;;?63l0;3;`>{t90?1<7=t=0;5>4>b3W;2963>948246434ij6<6k;|q0f5<72:q6?o?519g8Z6d7349i<7?7d:p7g4=838p1>l?:020?85e:3;3h6s|3ba94?5|5:io6<6j;_1`g>;4kj0:4i5rs2af>5<5s49ho7??3:?0g`<60m1v9?::180826>3;3i6P;149>043=91n0q~:>7;296~;39<0:<>5240595=b9<7>53z?764<60l1U8?>4=503>4>c3ty?>?4?:3y>076=999019<=:0:g?xu3<>0;6>u245:95=c<70:;7;3;`>{t<=31<746434>?57?7d:p01c=839p19:i:0:f?[23m27?8h4>8e9~w1372909w0:;e;337>;3=90:4i5rs576>5<4s4>>:7?7e:\710=:<029>001=91n0q~:95;297~;3>?0:4h5Q47789102282o7p};6683>7}:4>c3tyo:7>53z?g3?7?m2To:63k6;3;`>{tl10;6?u2d78246=:l10:4i5rsd794?5|5l<1=5k4^d789`3=91n0q~k8:1818c228:870k8:0:g?xu31=0;69u249f9555<5=2i6<><;<6:3?77=27?584>8e9~w1>e290?w0:7b;3;`>;31;0:<9524819552<5;n:6<>;;|q7<`<72;q685m5111891>b282o7p};9783>7}:<1o1===4=5;5>4>c3ty?4k4?:3y>0<1=99>0196i:0:g?xu31>0;6?u248595=b<5=3>6<><;|q247<72k2p1<>=:0:g?82>=3;h>63;9482e`=:;jk1=n<4=2ab>4gb34>8i7?l2:?77`<6il1685951b0891>028kn70?l0;3`6>;6k90:mh5238095f4<5:396;4>c39>561=99?01<=::0a1?87am3;h>63>fd82e`=::?n1=n<4=34g>4gb348<47?l2:?13=<6il16>5=51b0897>428kn70?m5;3`6>;6j<0:mh5221495f4<5;:=6ad9>64c=9j801??j:0cf?845>3;h>63=2782e`=::;o1=n<4=30f>4gb3488:7?l2:?173<6il16>>k51b08975b28kn70<;6;3`6>;5n6ad9>60c=9j801?;j:0cf?82ci3;h>63;d`82e`=::8<1=n<4=335>4gb3483o7?l2:?1l<51b0897g528kn70=81;3`6>;4?80:mh5214195f4<58?86ad9>630=9j801?89:0cf?851=3;h>63<6482e`=:;>31=n<4=25:>4gb34?:?7?l2:?656<6il16?h<51b0896c528kn70:n5;3ba>;4n80:o?523g395dc<5:3j6c39>7d>=9ho01>=m:0a1?854j3;ji63<1d82g7=:;8o1=lk4=3`1>4e5348i>7?ne:?1g3<6k;16>n851`g8964328i970==4;3ba>;5lj0:o?522ea95dc<5:8j6c39>764=9ho01?j<:0a1?84c;3;ji63=e682g7=::l=1=lk4=0gg>4e534;nh7?ne:?2b3<6k;16=k851`g8906e28i970;?b;3ba>;6:90:o?5213295dc<5::o6c39>743=9ho01>;7:0a1?85203;ji63<8482g7=:;1?1=lk4=067>4e534;?87?ne:?230<6k;16=:;51`g897`f28i970;3n90:o?524g295dc<5<:;6n7?l2:?6f?7fm27::54>c39>53>=9ho01<6<:0a1?87?;3;ji63>e082g7=:9l;1=lk4=3;1>4e53482>7?ne:?1ff<6k;16>om51`g897`328i970;48<0:o?5231795dc<5:>=6c39>71e=9ho01>;=:0a1?852:3;ji63;e782g7=:4e534>m:7?ne:?7g`<6k;168nk51`g8937=9j801;?51`g894c028i970?j7;3ba>;51h0:o?5228c95dc<5;kj6c39>70c=9ho0198;:0a1?821<3;ji63;bb82g7=:4e534>h;7?ne:?7a5<6k;168h>51`g896`f28i970=ia;3ba>;3800:o?5241;95dc<5=;?6c39>7g?=9ho01>j8:0a1?85c?3;ji63;5b82g7=:<mn:g38915b2o;01968:g3896?52o;01<<9:g3894522o;01?>;:025?847<3;;;63=05824==::9>1==74=327>46f348;87??b:?14f<68>16>=m511:8976d28:270;58j0:9;<06g?77?2799n4>099>60e=99301?;l:02b?842k3;;n63;d`8e5>;59=0:<552206955?<5;;?6<>n;<020?77j279m?4i1:?0344i1:?21g089>632=99k01?8;:02a?836;3l:70=j2;d2?82f=3l:70=i1;d2?85>i3l:70=n8;d2?854j3l:70=>e;d2?84e:3l:705;d2?85203l:70=75;d2?873<3l:70?85;d2?84ai3l:70:i0;d2?83783l:70;m:g38940?2o;01<6<:g3894c62o;01?7=:g3897dd2o;01?h;:g3896622o;01>:9:g38962d2o;01>;=:g3891c12o;019h9:g38937=n816=h95f09>6lo5f09>70c=n8168;:5f09>0ge=n8168n95f09>0`6=n816?ko5f09>05?=n8168<:5f09>7g?=n816?i95f09>00e=n81v97?:18182?n3;;863;91828e9~w7db290mw0:62;331>;31:0:<8522cg95=b<5;i96<>;;<0`7?77<279o94>059>6a>=99>01?j6:027?84dk3;;863=ce8241=::jl1==:4=3f2>462348n?7??4:?1a1<68=16>h;51168yv4c<3:1nv3;93824f=:<091==m4=3a0>462348h87??5:?1`1<60m16>io5116897ea28:>70;5m:0:<8522d69553<5;o>6<>:;|q1g=<72mq684<511f891?428:o70;5k=0::;<0`049>6fb=99?01?j>:02g?84b;3;;o63=e5824f=::l?1==m4}r0ga?6=1r7?5?4>0d9>0<5=99o01?m<:02g?84d<3;;h63=cg824f=::m;1==k4=3ff>4>c348n>7??4:?1a0<68m1v97=:18182>:3;3h63;908241=z{8;>6=4:{<6:7?77n27:=84>8e9>700=99>01>;9:026?853;3;;86s|35594?4|5=386{tko0;6<:t=5;5>46334>2:7??5:?0gg<68=16?nl51178915a28:?70:;3010:<95249:9553<5:936<>;;<10=?77<278>k4>059>766=99>01;4<80:<952cg8246334>9;7??4:p7f?=839p1>m>:020?85en3;;?63:4>059>571=99?01<=9:027?874>3;;96s|3b094?4|5:i;6<><;<1`6?7?l2wx?nl50;0x96e528:870=lb;3;`>{t;j91<7464349h?7?7d:p7f2=838p1>m<:027?85d<3;3h6s|3b794?4|5:i86<>:;<1`1?7?l2wx?<850;3485d>3;;86346334>3>7??4:?053<60m16?d;330>;49;0:<9523019552<5463348i57??4:?1fd<68=16?==51168962528:?70=;3;331>;39;0:<95rs3ca>5751178967e28:>70;5j90:<95233d9553<5:9;6<>:;<32`?77=278059>744=99?01>?<:026?84e?3;;863=b98240=:;9;1==:4}r12b?6=9=q6?n8511a896e028:>70=l8;331>;3;h0:<9524919552<5:;j6<>;;<12e?77=278=k4>8e9>54?=99>0170;7:026?83>28:>70;5j00:<8522cc9553<5::86<>:;<626?77=2wx??;50;3085d>3;;h6346334>387??4:?067<68=16??<511789642282o70?>a;330>;69h0:<85254824a=:=?0:46d348im7??c:?046<68j1v>k;<60g?77<27?484>059>77>=99>01><7:026?855j3;3h63>1c8241=:98h1==;4=47955c<5<<1==j4=45955b<5<21==j4=4;955b<5;h36<>j;<0a=?77l279nl4>0e9>755=99n0q~=l6;296~;4k?0:4i523b79552514y>7f1=99o01>=<:0:g?854?3;;863=b18240=:;;l1==m4=213>46d34;:i7??5:?04g<68<16984>0g9>13<68l169:4>0d9>1=<68l16944>0d9>6g1=99?01?l6:02f?85783;;963<008240=:;981==:4=220>46b349?=7??5:?006<68j1v>m8:18185d?3;3h636=4n{<1`0b9>6g6=99i01>:;<613?77=2wx?>m50;cx96e?28:m70=<9;33g>;4;90:46b349;>7??c:?07f<60m16?9?511a8914028:h7p}7}:;j21=5j4=2a6>46d3ty??i4?:2y>065=999019=>:020?824m3;3h6s|42394?4|5=9:6<6k;<60g?77k2wx8>:50;0x915528:870:<4;3;`>{t<:l1<746434>8j7?7d:p063=838p19=j:020?824=3;3h6s|42494?4|5=9>6<>;;<602?7?l2wx8>950;0x915228:>70:<7;3;`>{t;9k1<7=t=51;>46334>847??5:?04d<60m1v9=7:18182403;3h63;368241=z{8936=4n{<60=?77=27??l4>049>06d=99?019=l:026?857j3;;h63>3982463348ni7??4:?1ac<68=1v9=6:18182413;3h63;368240=z{=9j6=4={<60e?7?l27??:4>0b9~w15e2909w0:;3;>0:5<5s4>8o7?7d:?772<68l1v969:180820k3;;?63;7`8246=:<1=1=5j4}r64e?6=:r7?;l4>8e9>0=3=99i0q~:8d;296~;3?k0:<>5246f95=b347>52z?73a<68:16856519f8yv20m3:1>v3;868246=:<>o1=5j4}r64b?6=:r7?;h4>059>02`=91n0q~:70;296~;3?l0:<85249295=b53z?7<4<68=1685?5117897ga282o7p};8083>7}:<1;1=5j4=5:3>4633ty::44?:`y>0=4=99?0196<:026?82?<3;;963;848240=:9:;1==:4=3`3>46c34;=57?7d:?235<68=16=:?51168941528:?7p};8383>7}:<181=5j4=5:3>4623ty?4>4?:3y>0=5=91n0196?:02`?xu30=0;6?u249695=b<5=2;6<>k;|q7<0<72;q685;519f891>728:n7p}>bg83>6}:9k<1===4=0a2>46234;h<7?7d:p5g0=83np1b2824f=:46c34?:<7??4:?643<68=169=95116891cd28:?70:ib;330>;3nj0:<9524b09552<5=i86<>;;|q2f=<72;q6=n?5116894d?282o7p}>c083>7}:9j;1=5j4=0a3>4643ty:n:4?:3y>5f6=n816=o9519f8yv7e13:1>v3>b98241=:9k31=5j4}r3ae?6=:r7:n54>049>5gg=91n0q~<97;29e~;6jk0:<9521ca9552<58ho6<>;;<053?7?l279:o4>059>622=99>01?9::027?840m3;;863=818241=::121==:4}r05b?6=ir7:no4>049>5ge=99?01?1==;4=35e>4633483<7??5:?2f6<68=16>5651178yv4013:15v3>bc824f=:9ki1==m4=0`g>46d348<57?7d:?13`<68<16>:h5117897>728:h70?m3;331>;5010:5<6nr7:no4>0e9>5gc=99>01463348<<7??4:?1<4<68=16>:o5116894d728:?70;5800:<95220;9552<5;8:6<>;;<01=?77<279?<4>059>66?=99>01?:>:027?84313;;863=508241=::<31==:4=332>463348397??4:?124<68=16=hl5116894`428:?70?i4;330>;6lh0:4i521d79552<58o>6<>:;|q2fg<72;q6=ol519f894df28:?7p}>e383>4b|58hh6<>k;<3aa?77=27:n:4>049>5c?=99?01?8m:02`?84103;;963=778240=::>:1==;4=3:2>462348=?51178976>28:>70<>9;331>;5:80:<85223;9553<5;9:6<>:;<00=?77=2798<4>049>61?=99?01?;>:026?84213;;963=108240=::1?1==;4=342>46234;nn7??5:?2b6<68<16=k:5117894c5282o7p}>bb83>7}:9ki1=5j4=0`b>4623ty9494?:05x94dc28:o70?me;33`>;6n00:l;<0;5?77k279<<4>0b9>65?=99i01??6:02`?84593;;o63=28824f=:::;1==m4=31:>46d348?=7??c:?10<<68j16>8?511a8973>28:h70<>1;33g>;50=0:4i52273955e<58oi6<>l;<3e7?77k27:j94>0b9~w4dc2909w0?md;3;`>;6jh0:5<5s4;ii7?7d:?2fd<68m1v>7>:18185?>3;;?63<93828z?0<3<60m16?5m5116896>c28:>70=7e;331>;4>h0:j;<15`?77m27:8o4>059>7a`=99>01>o=:027?850m3;;i63<7g824`=:;1:1==k4=2:2>46b34;?<7??4:?236<68=16=;85116894>628:?70:m5;331>;3j?0:<8524c59553<5=h36<>:;<6a=?77m2wx?4>50;0x96>a28:870=60;3;`>{t;1l1<7=t=2:e>4>c34;=<7??4:?225<68<1v>68:18185>:3;;?63<86828e9~w6>>2909w0=77;331>;4000:4i5rs5`7>5<4s493m7??4:?0v3<8`8270:k5;330>;51o0:<8522`29553<5:k;<15`?77l278:k4>049>51`=99?01<;7:026?85cm3;;963;9g8255=:46234?;;7??c:?64<<68=16?5>511f896>628:o70:jc;33g>;3mm0:<9524ga955e<5=ln6<>;;<0e4?77=27?on4>049>0f?=99?01?77:02f?84f=3;3h63;c2824f=:462349o97??5:p7=d=838p1>6m:0:g?85?13;;96s|6383>71|5:2h6<>:;<1:4?77m27:?<4>049>564=99i01>8n:02e?851j3;;j63<6b824c=:;?n1==h4=06e>46d34;><7??e:?21<<68=168l?5116891g528:h70=n2;331>;4i=0:<9523`7955e<5:=n6<>i;<14b?77n2784=4>0g9>7=7=99l01>6<:02f?874m3;;963>3g8240=:9=:1==;4=061>46b34;<<7??5:?234<68<16=:<51178941428:>70?95;331>;6>?0:<8521929553<582:6<>:;<0fa?77=279ik4>049>6c6=99i01?h>:02f?805282o70=m7;330>{t;1i1<74>c349357??c:p7<5=83;?w0=7d;33g>;40l0:059>7d6=99>01>o>:027?850m3;:<63<818255=:9:i1==:4=01`>46234;=h7??4:?22a<68<16=;<51168940428:?70?8d;330>;6?l0:<9523429552<5=h<6<>l;|q0>28:o7p}<8d83>7}:;1o1=5j4=2::>46b3ty95o4?:cy>7<6=99l01?7m:0:g?84>n3;;o63=a1824f=:9<:1==h4=2:0>46a34;?>7??f:?1b4<68o168o7511d8917b28:o70:9c;33`>{t;0h1<7<:{<1:4?76827:??4>0e9>73d=98:01>8k:033?873n3;;h63>518255=:46c3492;7??4:?0=2<68<16?4l519f896g728:>70=n2;33g>;4i=0:<8523`7955b<5:=m64>119>516=99i01<:=:033?870;3;;o63>638240=:9?>1==:4=046>46d34;=:7??c:?23a<68<16=:h5116894>728:h70?71;33g>;5n90::;<7e>46334?m6<>:;<6a1?77k27?n;4>0b9>0g>=99i0q~?=5;296~;6:80:<>5213495=b55z?264<60m16?h>51168967d28:>70=>2;33g>;4<;0:<85rs004>5<5s4;947??4:?262<60m1v<<7:18187503;3h63>2782e`=z{8896=4={<312?77;27:>?4>8e9~w4442909w0?=2;330>;6::0:4i5rs007>5<5s4;9>7??5:?261<60m1v<=;:18187513;;?63>34820b9>745=99i01>:=:02`?852k3;;863<5b8240=z{8986=4={<304?77;27:?>4>8e9~w4572908w0?<0;3;`>;6>l0:<95217g955352z?272<68=16=>8519f8yv74?3:1>v3>3682029>57g=91n0q~?=b;296~;6:h0:<95213`95=b52z?26d<68<16=?m519f8yv75l3:1>v3>2e82h4>8e9>57e=99?0q~6}:9:;1==m4=250>4>c34;<=7??c:p567=838p1<=>:0:g?874;3;;86s|12094?4|58996<6k;<307?77=2wx=kj50;1x94`?28:870?if;331>;6nl0:4i5rs0d;>5=m51168977d28:?70<>c;331>;5:=0:<95223a9552<5;9?6<>;;<00g?77<279894>059>61e=99>01?;;:027?842k3;;863=158241=::?>1==:4}r3ee?6=:r7:jk4>059>5cg=91n0q~?if;296~;6no0:4i521gg955552z?2b`8e9~w4`e2909w0?ia;330>;6nk0:4i5rs0d`>5<5s4;mm7??5:?2bf<60m1v?8l:180841?3;;?63=6d8240=::?n1=5j4}r05=?6=:r79:h4>059>63?=91n0q~<9e;296~;5>l0:4i5227f955552z?12a8e9~w70f2909w0<99;330>;5>h0:4i5rs34a>5<5s48=57??5:?12g<60m1v?98:181841n3;;?63=79828e9~w7172909w0<88;d2?84083;3h6s|26094?4|5;=:6<>;;<046?7?l2wx>:=50;0x971628:>70<83;3;`>{t:>>1<74>c3485<50;0x971>28:870<73;3;`>{t:>h1<74643487}::>h1==:4=35`>4>c3ty9;i4?:3y>62d=99?01?9k:0:g?xu5?l0;6?u226g95=b<5;=o6<>;;|q13c<72;q6>:h519f8971c28:>7p}=8183>7}::1:1=5j4=35g>46d3ty94<4?:3y>6=7=91n01?9k:02g?xu6j=0;6?u21`d9555<58h>6<6k;|q2ec<72hq6=lh519f891b?28:>70;>0;331>;2810:<85251;9553<5=oo6<>:;<6e`?77=27?jh4>049>0f2=99?019m::026?xu6j80;6?u21c79555<58h:6<6k;|q2f5<72;q6=o;5f09>5g6=91n0q~?m2;296~;6j80:<9521c095=b52z?2f4<68<16=o=519f8yv47=3:1?v3=018246=::9=1==;4=325>4>c3ty9<=4?:5y>656=91n01?>l:025?846<3;;:63=658243=z{;:96=4={<033?77<2798e9~w7602909w0;58?0:<>5rs322>5<5s48;:7h>;<035?7?l2wx>==50;0x976528:?70{t:9>1<7462348;87?7d:p64>=83np1?>;:026?847k3;;963=19821==;4=30`>462348887??5:?17f<68<16>9:51178972d28:>70<:4;331>;5=j0:<8522069553<5;:;|q165<72jq6>=:511a8976d28:h70<=0;3;`>;5:j0:l;<070?77k2798n4>0b9>602=99i01?;l:02`?846<3;;o63=65824f=z{;836=4m{<030?77l2790e9>67>=91n01?=;:02g?844k3;;h63=45824a=::=i1==j4=377>46c348>o7??d:?151<68m16>;:511f8yv4483:1mv3=05824`=::9i1==k4=313>4>c3488o7??e:?101<68l16>9m511g8973328:n70<:c;33a>;59=0:59z?141<68o16>=m511d8975?282o70<;4;33b>;5i;<020?77n279:94>0g9~w7272903w0;58j0:==5225295=b<5;>h6119>642=98:01?8;:033?xu5<10;6:u22169547<5;:h6;<07109>60e=98;01??;:032?841<3;:=6s|24294?0|5;:?68e9>60e=98801??;:031?841<3;:>6s|24:94?3|5;:?68e9>642=98901?8;:030?xu58m0;6>u221:9555<5;:m6<>:;<03a?7?l2wx>=650;1x976?282o70<>4;333>;5>=0:<:5rs32b>5<5s48;j7??4:?14d<60m1v?>i:181847n3;3h63=0d8246=z{;:26=4={<03a?`6348;57?7d:p65d=838p1?>n:027?847j3;3h6s|21a94?4|5;:j6<>:;<03g?7?l2wx>f;331>;59l0:4i5rs33b>5<5s48:j7??4:?15d<60m1v??i:181846n3;3h63=1d8246=z{;;26=4={<02a?`6348:57?7d:p64d=838p1??n:027?846j3;3h6s|20a94?4|5;;j6<>:;<02g?7?l2wx>?;50;1x974728:870<=7;331>;5:?0:4i5rs301>5<5s489;7??4:?167<60m1v?<8:181845?3;3h63=278246=z{;8:6=4={<012?`63489=7?7d:p675=838p1?<=:027?845;3;3h6s|23694?4|5;896<>:;<010?7?l2wx>?j50;1x974?28:870<=f;331>;5:l0:4i5rs30b>5<5s489j7??4:?16d<60m1v?:;<01g?7?l2wx>>;50;1x975728:870<<7;331>;5;?0:4i5rs311>5<5s488;7??4:?177<60m1v?=8:181844?3;3h63=378246=z{;9:6=4={<002?`63488=7?7d:p665=838p1?==:027?844;3;3h6s|22694?4|5;996<>:;<000?7?l2wx>>j50;1x975?28:870<;5;l0:4i5rs31b>5<5s488j7??4:?17d<60m1v?=i:181844n3;3h63=3d8246=z{;926=4={<00a?`6348857?7d:p66d=838p1?=n:027?844j3;3h6s|22a94?4|5;9j6<>:;<00g?7?l2wx>9;50;1x972728:870<;7;331>;55<5s48?;7??4:?107<60m1v?:8:181843?3;3h63=478246=z{;>:6=4={<072?`6348?=7?7d:p615=838p1?:=:027?843;3;3h6s|25694?4|5;>96<>:;<070?7?l2wx>9j50;1x972?28:870<;f;331>;55<5s48?j7??4:?10d<60m1v?:i:181843n3;3h63=4d8246=z{;>26=4={<07a?`6348?57?7d:p61d=838p1?:n:027?843j3;3h6s|25a94?4|5;>j6<>:;<07g?7?l2wx>8;50;1x973728:870<:7;331>;5=?0:4i5rs371>5<5s48>;7??4:?117<60m1v?;8:181842?3;3h63=578246=z{;?:6=4={<062?`6348>=7?7d:p605=838p1?;=:027?842;3;3h6s|24694?4|5;?96<>:;<060?7?l2wx>8j50;1x973?28:870<:f;331>;5=l0:4i5rs37b>5<5s48>j7??4:?11d<60m1v?;i:181842n3;3h63=5d8246=z{;?26=4={<06a?`6348>57?7d:p60d=838p1?;n:027?842j3;3h6s|24a94?4|5;?j6<>:;<06g?7?l2wx8i750;0x91ea28:870:ka;3;`>{t4>c34>o87??5:?7`0<68<168i85116891b028:>70;?6;331>;3nk0:<8524b09553<5=>h6<>l;<667?77k2wx8i>50;0x91bf28:870:k0;3;`>{t46334>o=7?7d:p0a4=838p19j?:026?82c:3;3h6s|4d`94?5|5=n86<>;;<6g7?77=27?io4>8e9~w1b42909w0:k3;3;`>;3l;0:<95rs5a;>5<4s4>o87??c:?7`0<68j168n6519f8yv2c<3:1>v3;d5828e9>0a4=99i0q~;?1;29b~;3l?0:<8524e5955e<5=n36<>l;<735?7?l27><84>059>0`e=99n019kk:02`?82aj3;;o63;fb824a=:46d34>h>7??c:?7g6<68m168n:511a891e228:h7p};f083>2}:46234?;:7??c:?642<68m169=6511a8906>28:h70:i1;3;`>{t4>c34>o>7??d:p15e=83;9w0:k7;33`>;3l10:;;<732?77l27><:4>0d9>15>=99n018>6:02g?82bk3;;i63;ee824a=:46b34>mh7??d:?7b`<68m168n<511f891e428:n70:l4;33`>;3k<0:5<5s4>o;7?7d:?7`7<68l1v9l?:18:82c03;;i63:09824`=:=931==k4=5gg>46b34>mh7??e:?7b`<68l168o>519f891e328:n70:l5;33a>{t4>c34>o>7??f:p643=839p1???:020?846?3;;963=1782099~w7752909w0<>7;330>;59;0:4i5rs334>5<5s48:;7?7d:?153<68:1v??>:181846>3l:70<>1;3;`>{t:891<7463348:?7?7d:p642=838p1??=:026?846<3;3h6s|29`94?4|5;2?6<><;<0;g?7?l2wx>5o50;0x97>>28:870<7a;3;`>{t:131<7=t=3::>4>c34>;=7??4:?744<68<1v?69:18184?k3;;?63=87826=4={<0;g?`6348397?7d:p6=1=838p1?69:027?84??3;3h6s|29:94?4|5;2=6<>:;<0;l?50;1x97?e28:870;5i;0:4i5rs3;`>5<5s48j?7??4:?1=f<60m1v?o<:18184f;3;3h63=a38246=z{;3o6=4={<0:g?77<2795i4>8e9~w7?b2909w0<6c;331>;51l0:4i5rs3;e>5<5s482j7?7d:?1=`<68=1v?7<:18184f83;;i63=9282049~w6172908w0=96;337>;4?;0:<85236395=b57z?023<60m16?;o51038960e28;:70=9c;325>;4>m0:=<524`3955e<5:k?6<>l;|q02c<72;q6?;k51118960a282o7p}<6d83>6}:;?o1=5j4=06b>46334;?m7??5:p731=838p1>9=:027?851?3;3h6s|36094?4|5:=96<6k;<145?77;2wx?;650;0x960028:?70=98;3;`>{t;?31<7462349=57?7d:p73g=838p1>8n:0:g?85113;;86s|37`94?4|5:{t;?n1<74>c349=57??d:p504=838p1<:9:020?872;3;3h6s|15494?d|58>=6<6k;<37f?77=27:8n4>059>51b=99n0197j:032?82>n3;:=63>dg8240=:<991==;4=526>46d34>;:7??c:?742<68j1v<;>:181873m3;;?63>5082n6=4<{<37a?7?l2785k4>059>7<`=99?0q~?;7;296~;6=:0:<>5215595=b52z?202<68=16=96519f8yv7313:1>v3>468240=:9=31=5j4}r37e?6=:r7:8l4>8e9>51?=99>0q~?;b;296~;657>52z?20f<68<16?87519f8yv73k3:1>v3>4b828e9>51?=99n0q~?;f;296~;6<7>52z?215<60m16=8?51178yv72i3:1>v3>558246=:98e9>50?=99?016<6k;|q213<72;q6=8;511689431282o7p}>5683>7}:94>c3ty:954?:3y>50>=91n01<;8:027?xu4u214;955e<5:>o6<6k;<1a3?77=2wx=8750;0x943>282o70?:7;331>{t:??1<7464348=:7?7d:p636=83>p1?8?:0:g?87bj3;;h63>f2824a=:9o>1==j4}r056?6=:r79:;4>029>634=91n0q~<91;296~;5>?0m=63=60824>8e9~w7032909w0<92;331>;5>=0:4i5rs247>5<5s49>j7??3:?020<60m1v>;i:180852n3;3h63=ed824f=::ll1==m4}r155?6=:r78:84>029>737=91n0q~=90;296~;4><0m=63<61828e9~w6042909w0=91;331>;4>:0:4i5rs25b>5<0s49=<7??4:?025<68<16?::51168961328:>70=8a;3;`>;4j?0:<9523c4955352z?036<68:16?:7519f8yv50=3:1>v3<788246=:;>?1=5j4}r140?6=:r78;44i1:?031<60m1v>99:181850=3;;863<77828e9~w0752909w0;?c;337>;29:0:4i5rs42g>5<5s4?:?7??3:?64a<60m1v8>j:181837l3;;863:0d828e9~w0772909w0;>0;3;`>;28o0:<95rs5g4>5<2s4?:=7??5:?7a2<60m168h:5116891c328:>70::a;330>{t46d34>n=7?7d:?7``<68=168ik51178yv3693:1>v3:1082029>7`5=99901>k=:0:g?xu4m:0;6>u23d195=b<5:nm6<>:;<1f4?77=2wx?il50;0x96c528:870=kb;3;`>{t;mi1<7463349oo7?7d:p7ab=838p1>jm:026?85cl3;3h6s|3eg94?4|5:nn6<6k;<1g`?77<2wx?ih50;0x96ba282o70=kd;331>{t;l:1<74>c349oh7??c:p0d2=838p197n:020?82f=3;3h6s|4`194?4|5=k;6<><;<6b7?7?l2wx8l>50;1x91g7282o70?8b;330>;6?k0:<85rs5;a>5<5s4>j97??3:?7=g<60m1v9o9:18182f=3;h>63;a7828e9~w1?c2909w0:6b;331>;31m0:4i5rs5;f>5<5s4>2i7?7d:?7=a<68=1v97i:18182>n3;3h63;9e8240=z{=k:6=4={<6b5?7?l27?m>4>059~w1g52909w0:n2;3;`>;3i:0:<85rs2d3>5<3s49nm7??3:?0a<<68:16?k<5117896`6282o7p}1}:;l31=5j4=2gf>463349nj7??4:?0`6<68=1v>km:18185a:3;;863029~w6cd2909w0=jb;330>;4mj0:4i5rs2gg>5<5s49nn7??5:?0aa<60m1v46b34;m?7??e:?2b1<68l168;<5116896b528:>70=k3;331>{t9ll1<77t=2gf>46d349nj7??c:?2ag<68o16=hh519f894`428:m70?i4;33b>;3>;0:<8523e0955e<5:n86<>l;|q0a`<72;q6?hk519f896cc28:?7p}=8e83>6}:;ll1==j4=0ga>4773483h7?7d:p7``=838p1>ki:0:g?85bl3;;96s|38;94?4|5:386<><;<1:e?7?l2wx?4:50;0x96?f28:870=64;3;`>{t;0?1<7463349297?7d:p7<0=838p1>7;:026?85>>3;3h6s|38594?4|5:3<6<6k;<1:2?77<2wx?8=50;7x96??28:>70=n1;331>;4=:0:4i521719553<58=n6<>:;|q0==<72;q6?46519f896?128:>7p}7}:;0h1===4=2c;>4>c3ty8m;4?:3y>7d5=99901>o9:0:g?xu4i:0;6>u23`195=b<58<:6<>;;<355?77=2wx?4m50;0x96g?28:870=6c;3;`>{t;0n1<74633492h7?7d:p77l:026?85>m3;3h6s|38d94?4|5:3m6<6k;<1:a?77<2wx?l>50;0x96g7282o70=6e;331>{t;h;1<74>c3492i7??c:p7d4=838p1>o=:0:g?85>m3;;h6s|3`694?4|5:k?6<6k;<1b2?77<2wx?l;50;0x96g2282o70=n6;331>{t;:k1<74643498n7?7d:p762=838p1>=m:020?854<3;3h6s|32794?4|5:9?6<>;;<101?7?l2wx?>850;0x965328:>70=<6;3;`>{t;9<1<76t=214>462349847??d:?07<<68m16?=8519f8900=99l01?hi:027?84an3;;963<42824a=z{:9<6=4={<103?7?l278?;4>059~w65?2909w0=<8;3;`>;4;?0:<85rs21:>5<5s49857?7d:?073<68j1v>?k:181856>3;;?63<1d828e9~w67?2909w0=>7;330>;4910:4i5rs23:>5<5s49:;7??5:?05<<60m1v>?n:181856i3;3h63<188241=z{:;i6=4={<12f?7?l278=44>049~w67d2909w0=>c;3;`>;4900:5<5s48jn7??3:?1f7<60m1v?l?:18184fn3;;?63=b1828e9~w7gc2909w0;5im0:4i5rs3cf>5<5s48jo7??5:?1e`<60m1v?m::18084em3;;?63=c68240=::j<1=5j4}r0ab?6=:r79o:4>059>6g`=91n0q~0:4i522b4955552z?1fc<68=16>n>519f8yv4d93:1>v3=bg8240=::j;1=5j4}r0f=?6=0r79o?4>0b9>6f2=99o01?j6:02`?84ci3;;o63=cd8240=::l81==m4=3g7>46c348n57?7d:p6f4=838p1?m=:0:g?84d93;;86s|2b194?4|5;i86<6k;<0`5?77=2wx>n:50;0x97e3282o70{t;;91<7464349987?7d:p776=838p1><;:020?85583;3h6s|33394?4|5:8;6<>;;<115?7?l2wx??<50;0x964728:>70==2;3;`>{t:mh1<7=t=3f7>464348oh7??5:?1`f<60m1v?j::18184cl3;;863=d482029~w7b12909w0;5l?0:4i5rs3f4>5<5s48o97??5:?1`2<60m1v?j7:18184c03;3h63=d68241=z{;n26=4={<0g=?7?l279h:4>049~w7bf2909w0;5l>0:5<5s49997??3:?06d<60m1v><9:181855i3;;?63<2782:4>8e9~w64?2909w0==6;331>;4:10:4i5rs212>5<5s499n7??3:?077<60m1v>i4>8e9~w64b2909w0==c;331>;4:l0:4i5rs20e>5<5s499j7?7d:?06`<68=1v>=?:18185483;3h63<2d8240=z{;n96=4={<0`4>8e9~w7b62909w0;5l80:4i5rs3a:>5<5s48o?7??3:?1g<<60m1v?mn:18184d13;;863=c`828e9~w7ed2909w0;5kk0:<95rs3ag>5<5s48hh7?7d:?1gg<68<1v?mj:18184dm3;3h63=cc824f=z{;im6=4={<0`b?7?l279oo4>0e9~w7c12908w0;5m10:<8522d595=b52z?1a=<68=16>ih519f8yv4b03:1>v3=e982059>6`6=91n0q~7>52z?1a7<60m16>h?51168yv4b;3:1>v3=e2828e9>6`7=99i0q~53z?2a=<68:16=hk5117894cc282o7p}>e883>7}:9lo1==:4=0g:>4>c3ty:ih4?:3y>5`c=91n01f483>6}:9ll1===4=0d4>46234;m:7?7d:p5c6=838p1{t9o81<746234;m>7?7d:p5c5=838p1{t=981<746434?;>7?7d:p155=838p18>=:027?837;3;3h6s|51694?4|5<:96<>:;<730?7?l2wx9=;50;0x9062282o70;?4;330>{t=9<1<74>c34?;87??5:p151=838p18>8:0:g?837<3;;o6s|51:94?4|5<:36<6k;<730?77l2wx9=750;0x906>282o70;?4;33a>{t98l1<746434;9<7?7d:p540=838p1<3;3h6s|10594?4|58;=6<>;;<323?7?l2wx=<650;0x947128:>70?>8;3;`>{t9831<74>c34;:47??4:p54g=838p150;1x947d28:?70?>c;331>;4<90:4i5rs03`>5<5s4;:o7?7d:?25=<68m1v19824`=z{8;n6=4={<32a?7?l27:=54>0g9~w66d2909w0=?6;337>;48m0:4i5rs22a>5<5s49;m7??3:?04g<60m1v>>8:181857l3;;?63<06828e9~w66>2909w0=?7;331>;4800:4i5rs22f>5<1s49;57??4:?04<<68<16?=k519f8901=99l01>><:02e?853;3;;i6s|30694?4|5::n6<><;<121?7?l2wx?=h50;0x967228:870=?f;3;`>{t;8:1<7463349:<7?7d:p747=838p1>>i:026?85693;3h6s|30094?4|5:;96<6k;<125?77<2wx?<=50;0x9674282o70=>1;331>{t;<=1<7464349>47?7d:p702=838p1>;7:020?852<3;3h6s|34794?4|5:??6<>;;<161?7?l2wx?8850;0x963328:>70=:6;3;`>{t;1>1<7464349397?7d:p7=5=838p1>6=:020?85?;3;3h6s|39094?5|5:296<6k;<34g?77<27:;n4>049~w61e2909w0=75;337>;4?k0:4i5rs25`>5<5s499k:181850j3;;963<7e82059~w61a2909w0=8f;3;`>;4?m0:<85rs2:3>5<5s493<7?7d:?03a<68j1v>6>:18185?93;3h63<7e824a=z{8>86=4<{<30049>512=91n0q~?;2;296~;6<80:<>5215095=b53z?204<60m16=;h51168940a28:>7p}>3883>7}:9=?1==:4=01:>4>c3ty:884?:3y>513=91n01<:;:020?xu6;h0;6?u212;9552<589j6<6k;|q27g<72;q6=>751178945e282o7p}>3b83>7}:9:i1=5j4=01a>4633ty9ii4?:2y>56b=99>01<=k:026?84bl3;3h6s|12f94?4|589o6<6k;<30f?77=2wx=>k50;0x945b282o70?{t9:l1<74>c34;8n7??d:p516=838p1<:?:0:g?874j3;;i6s|16694?5|58<26<><;<342?77=27:;84>8e9~w40f2909w0?86;330>;6>h0:4i5rs055>5<5s4;<:7?7d:?230<68:1v<8m:181871i3;;863>6c828e9~w40c2909w0?9d;3;`>;6>j0:<95rs04f>5<5s4;=i7?7d:?22f<68<1v<8i:181871n3;3h63>6b824f=z{8=;6=4={<344?7?l27::n4>0e9~w4162909w0?81;3;`>;6>j0:5<5s4;<>7?7d:?22f<68o1v<9<:181870;3;3h63>6b8255=z{;l26=4={<0e1?77;279jl4>8e9~w7`12909w0;5n?0:4i5rs3d4>5<5s48m:7??4:?1b2<60m1v?h7:18184a>3;;963=f982049>50e=91n01<8;:026?xu3mo0;6?u24d59555<5=l;6<6k;|q7a`<72;q68hl5111891cb282o7p};e983>7}:4>c3ty?i44?:3y>0`>=99>019k6:0:g?xu3mh0;6?u24d:9553<5=oj6<6k;|q7b2<72?q68ho5116891cf28:>70:i7;3;`>;3k80:<9524b39553<5=?j6<>:;|q7af<72;q68hm519f891cb28:?7p};ee83>7}:4623ty?jk4?:3y>0c1=999018>?:0:g?xu3n10;6?u25129555<5=l36<6k;|q7b<<72;q68k65116891`>282o7p};f`83>7}:4>c3ty?jo4?:3y>0cd=91n019hn:027?xu3nj0;6?u24ga95=b<5=lj6<>:;|q7ba<72;q68kj519f891`f28:h7p};fd83>7}:46c3ty>m7>52z?65?77;27>n7?7d:p14<72:q69<4>8e9>1=<68o16?lk51168yv352909w0;m:020?835282o7p}:3;296~;2:3;;863:3;3;`>{t==0;6?u2538240=:==0:4i5rs4794?4|54>c34??6<>:;|q63?6=:r7>;7?7d:?60?77k2wx954?:3y>1=<60m16994>0e9~w0?=838p187519f8902=99o0q~?97;296~;6=j0:<>5217:95=bh7>52z?22=<68:16=8j519f8yv72m3:1>v3>5e8241=:9049>50`=91n0q~?90;296~;6>90:4i5214d955252z?224<60m16=8h51178yv71:3:1>v3>63824>8e9>50`=99n0q~?94;296~;6>=0:4i5214d955c52z?220<60m16=8h511d8yv71>3:1>v3>67824}r3;6?6=:r7:;:4>029>5=5=91n0q~?87;290~;6?>0:4i5216d9553<5:9m6<>;;<10b?77=2wx=:650;0x94>428:870?88;3;`>{t9>31<746334;<57?7d:p52g=838p1<97:026?870i3;3h6s|16`94?4|58=i6<6k;<34e?77<2wx=:m50;0x941d282o70?8a;331>{t9>n1<74>c34;50;0x94>7282o70?8a;33b>{t91;1<74>c34;0:p5`6=838p1<;<3gb?7?l2wx=ik50;1x94bb282o70:?2;330>;38;0:<85rs0fa>5<5s4;n=7??3:?2`g<60m1vdb828e9~w7?62909w0<7d;337>;51;0:4i5rs3:f>5<5s482>7??3:?1<`<60m1v?6i:18184?m3;;863=8g828e9~w7de2908w0;5jm0:<8522ca95=b56z?1f6<60m16>o9511f897d?28:m70;5jh0:52z?1fa<68=16>o:519f8yv4el3:1>v3=be82059>6g3=91n0q~52z?1f2<60m16>o851168yv4e03:1>v3=b9828e9>6g0=99i0q~52z?1a<<68:16>k:519f8yv4a:3:1>v3=ee8246=::o81=5j4}r0fe?6=:r79j94>029>6`g=91n0q~52z?1ad<68<16>hm519f8yv4bm3:1>v3=ed828e9>6c4=99?0q~52z?1b4<60m16>k<511f8yv57<3:1>v3=fc8246=:;9?1=5j4}r0ef?6=;r79jo4>8e9>755=98:019<8:02g?xu5nj0;6?u23179555<5;lh6<6k;|q1ba<72;q6>km5116897`c282o7p}=fd83>7}::oi1==;4=3df>4>c3ty9jk4?:3y>6c`=91n01?hj:027?xu4890;6?u231295=b<5;ln6<>:;|q044<72;q6?=?519f897`b28:h7p}<0383>7}:;981=5j4=3df>46c3ty8<>4?:3y>755=91n01?hj:02f?xu4<<0;6?u232a9555<5:>=6<6k;|q001<72;q6?9>511189623282o7p}<3e83>7}:;=<1===4=21g>4>c3ty8?h4?:3y>76b=99>01>=j:0:g?xu4;o0;6?u232f9553<5:9m6<6k;|q004<72;q6?9?519f8962328:?7p}<4383>7}:;=81=5j4=267>4623ty88>4?:3y>715=91n01>:;:02`?xu4h6<6k;|q00=<72;q6?9m51118962?282o7p}<4883>7}:;=21==:4=26:>4>c3ty88l4?:3y>71>=99?01>:n:0:g?xu4=80;6?u235f9555<5:?96<6k;|q00`<72;q6?8<51118962b282o7p}<4g83>7}:;=o1==:4=26e>4>c3ty89=4?:3y>71c=99?01>;?:0:g?xu3m<0;6?u24d39555<5=o=6<6k;|q7a7<72;q68h85111891c5282o7p};e283>7}:4>c3ty?i94?:3y>0`4=99?019k;:0:g?xu3n<0;6?u24g39555<5=l=6<6k;|q7b7<72;q68k85111891`5282o7p};f283>7}:4>c3ty?j94?:3y>0c4=99?019h;:0:g?xu3lk0;6>u24g69552<5=l?6<>:;<6gf?7?l2wx8nj50;0x91e?28:870:le;3;`>{t46434>hm7?7d:p0f?=838p19mj:g3891e>282o7p};cc83>7}:4>c3ty?on4?:3y>0fg=99?019ml:0:g?xu183:1>v3:c;337>;193;3h6s|5b83>7}:=j0:4i523`g955346434?o6<6k;|q6a?6=:r7>h7??4:?6a?7?l2wx9k4?:3y>1a<68<169k4>8e9~w4c12909w0?j2;337>;6m>0:4i5rs0g0>5<5s4;n;7??3:?2a6<60m1ve5826=4={<3f7?77=27:i84>8e9~w7?>2909w0<63;337>;51h0:4i5rs3;7>5<5s482m7??3:?1=1<60m1v?7::18184><3;;863=94828e9~w7?02909w0<67;3;`>;51?0:<95rs3;;>5<5s48247?7d:?1=3<68<1v?o6:18184f=3;;?63=a`828e9~w7g02909w0;5i>0:4i5rs3c;>5<5s48j:7??5:?1e=<60m1v>;k:18185213;;?63<5d828e9~w63e2909w0=:a;330>;4=k0:4i5rs27`>5<5s49>m7??5:?01f<60m1v98<:181822n3;;?63;658250;0x910328:870:90;3;`>{t46334>==7?7d:p034=838p198?:026?821:3;3h6s|4c`94?4|5=h;6<><;<6ag?7?l2wx8oo50;0x91d328:870:ma;3;`>{t46434>i=7?7d:p0g4=838p19l>:027?82e:3;3h6s|4c194?4|5=h:6<>:;<6a7?7?l2wx?i:50;1x91d428:?70:m3;331>;4l=0:4i5rs5`6>5<5s4>i97?7d:?7fd<68=1v9l9:18182e>3;3h63;b`8240=z{=h<6=4={<6a3?7?l27?nl4>0b9~w1d?2909w0:m8;3;`>;3jh0:5<5s4>i57?7d:?7fd<68l1v9m9:18182el3;;?63;c682059>7c>=99?0q~:me;296~;3k>0:<>524cg95=bij7>52z?7f`<68=168oh519f8yv2d83:1>v3;bd8240=:8e9>0f6=99>0q~:l2;296~;3k;0:4i524b29553h?7>52z?7g6<60m168n>511a8yv2d<3:1>v3;c5828e9>0f6=99o0q~:kf;296~;3lk0:<>524d295=boo7>52z?7a5<68:168im519f8yv2cl3:1>v3;db8241=:049>0ac=91n0q~:;6;296~;3<;0:<>5245495=b??7>52z?703<68:1689=519f8yv23<3:1>v3;428241=:<=>1=5j4}r671?6=:r7?8>4>049>013=91n0q~:84;296~;3?90:<>5246695=b<=7>52z?731<68:168:?519f8yv20:3:1>v3;708241=:<>81=5j4}r647?6=:r7?;<4>049>025=91n0q~=nf;296~;4ik0:<>523`d95=b52z?0ec<68:16?lm519f8yv5fl3:1>v3049>7dc=91n0q~=i9;296~;4n<0:<>523gc95=b52z?0bd<68:16?k8519f8yv5a?3:1>v3049>7c>=91n0q~:?8;296~;4nm0:<>5241;95=b52z?74<<68:16?kk519f8yv5an3:1>v3049>056=91n0q~:?1;296~;3880:4i524129552;>7>52z?747<60m168=>51178yv27;3:1>v3;02828e9>056=99n0q~:?5;296~;38<0:4i52412955c;:7>52z?743<60m168=>511d8yv27?3:1>v3;06824}r627?6=:r7?029>042=91n0q~:>0;296~;39=0:<>5240295=b:=7>52z?755<68=168v3;118240=:<881=5j4}rg0>5<5s4nn6<><;4>c3tyoj7>52z?g`?77;27oj7?7d:pa1<72;q6hk4>029>a1<60m1vh>50;0x9`5=99901h>519f8yvc62909w0k?:027?8c6282o7p}j2;296~;b83;;963j2;3;`>{t000;6?u2848246=:000:4i5rs9494?4|5131===4=9495=b463342<6<6k;|q;8e9~w=e=838p15h511189=e=91n0q~6k:1818>d28:?706k:0:g?xu?m3:1>v37c;331>;?m3;3h6s|9483>7}:180:<>5294825<5s4396<>;;<;0>4>c3ty287>52z?:6?77=27287?7d:p=g<72;q65:4>029>=g<60m1v4650;0x92909w077:027?8?>282o7p}6a;296~;>03;;9636a;3;`>{ti80;6?u29e8246=:i80:4i5rs8g94?4|5h;1===4=8g95=b463343m6<6k;|qb4?6=:r72i7??5:?b4?7?l2wxm:4?:3y>e6<68:16m:4>8e9~wd2=838p1l9511189d2=91n0q~o::1818g328:?70o::0:g?xuf>3:1>v3n4;331>;f>3;3h6s|ae83>7}:i00:<>52ae825<5s4kj6<>;;4>c3tyjo7>52z?be?77=27jo7?7d:pf6<72;q6mk4>029>f6<60m1vo>50;0x9g5=99901o>519f8yvd62909w0l?:027?8d6282o7p}m2;296~;e83;;963m2;3;`>{tj00;6?u2b48246=:j00:4i5rsc494?4|5k31===4=c495=b46334h<6<6k;|qafg<68:16nk4>8e9~wge=838p1oh511189ge=91n0q~lk:1818dd28:?70lk:0:g?xuem3:1>v3mc;331>;em3;3h6s|c583>7}:k90:<>52c5825<5s4i:6<>;;4>c3tyh?7>52z?`5?77=27h?7?7d:pg`<72;q6ol4>029>g`<60m1vnl50;0x9fc=99901nl519f8yved2909w0mm:027?8ed282o7p}ld;296~;dj3;;963ld;3;`>{t;k21<7=t=2`1>46434<96<><;<1a=?7?l2wx?o=50;0x96d>28:870=m3;3;`>{t;k>1<7463349i87?7d:p7g3=838p1>l<:026?85e=3;3h6s|3c494?4|5:h=6<6k;<1a1?77<2wx?o950;0x96d0282o70=m5;331>{t;m<1<7=t=2af>46434im6<><;<1g3?7?l2wx?i;50;0x96b328:870=k5;3;`>{t;jl1<7464349hj7?7d:p7a6=838p1>mi:027?85c83;3h6s|3e394?4|5:im6<>:;<1g5?7?l2wx?i<50;0x96b5282o70=k1;330>{t;m91<74>c349o=7??5:p04`=838p19?8:020?826n3;3h6s|40:94?4|5=;m6<><;<629;3;`>{t<8k1<746234>:m7?7d:p04d=838p19?m:0:g?826i3;;86s|40a94?4|5=;h6<6k;<62e?77=2wx8a;33g>{t<8o1<74>c34>:m7??d:p07>=838p19<=:020?82503;3h6s|43194?4|5=836<><;<617?7?l2wx8?:50;0x914428:?70:=4;3;`>{t<;?1<746234>997?7d:p070=838p19<9:0:g?825=3;;86s|43594?4|5=8<6<6k;<611?77=2wx89j50;0x912>28:870:;d;3;`>{t<=k1<746434>?m7?7d:p01d=838p19:n:027?823j3;3h6s|45a94?4|5=>j6<>:;<67g?7?l2wx88:50;0x913728:870::4;3;`>{t<<;1<746434>>=7?7d:p004=838p19;>:027?822:3;3h6s|44194?4|5=?:6<>:;<667?7?l2wx88l50;0x913028:870::c;3;`>{t<<21<746434>>47?7d:p00?=838p19;7:027?82213;3h6s|44c94?4|5=?36<>:;<66e?7?l2wx8;j50;0x910028:870:9d;3;`>{t46434>=47?7d:p03?=838p1987:027?82113;3h6s|47c94?4|5=<36<>:;<65e?7?l2wx8;l50;0x910e282o70:9a;330>{t4>c34>=m7??5:p`f<72;q6h54>029>`f<60m1vi750;0x9ae=99901i7519f8yvbf2909w0j6:027?8bf282o7p}kb;296~;c13;;963kb;3;`>{tmk0;6?u2e68246=:mk0:4i5rsd:94?4|5lh1===4=d:95=b46334o26<6k;|qfe?6=:r7n47??5:?fe?7?l2wvb9<68;295~{i<;326=4>{|l764}zf=82o7>51zm07?c290:wp`;28g94?7|ug>95k4?:0y~j14f83:1=vsa43c2>5<6std?>l<50;3xyk25i:0;6{|l76dg=83;pqc:=ac83>4}zf=8jo7>51zm07gc290:wp`;2`g94?7|ug>9mk4?:0y~j14e83:1=vsa43`2>5<6std?>o<50;3xyk25j:0;6{|l76gg=83;pqc:=bc83>4}zf=8io7>51zm07dc290:wp`;2cg94?7|ug>9nk4?:0y~j14d83:1=vsa43a2>5<6std?>n<50;3xyk25k:0;6{|l76fg=83;pqc:=cc83>4}zf=8ho7>51zm07ec290:wp`;2bg94?7|ug>9ok4?:0y~j14c83:1=vsa43f2>5<6std?>i<50;3xyk25l:0;6{|l76ag=83;pqc:=dc83>4}zf=8oo7>51zm07bc290:wp`;2eg94?7|ug>9hk4?:0y~j14b83:1=vsa43g2>5<6std?>h<50;3xyk25m:0;6{|l76`g=83;pqc:=ec83>4}zf=8no7>51zm07cc290:wp`;2dg94?7|ug>9ik4?:0y~j14a83:1=vsa43d2>5<6std?>k<50;3xyk25n:0;6{|l76cg=83;pqc:=fc83>4}zf=8mo7>51zm07`c290:wp`;2gg94?7|ug>9jk4?:0y~j15783:1=vsa4222>5<6std??=<50;3xyk248:0;6>::182xh3;9<1<7?t}o6042<728qvb9=?8;295~{i<::26=4>{|l775g=83;pqc:<0c83>4}zf=9;o7>51zm066c290:wp`;31g94?7|ug>85<6std??<<50;3xyk249:0;6?::182xh3;8<1<7?t}o6052<728qvb9=>8;295~{i<:;26=4>{|l774g=83;pqc:<1c83>4}zf=9:o7>51zm067c290:wp`;30g94?7|ug>8=k4?:0y~j15583:1=vsa4202>5<6std???<50;3xyk24::0;6<::182xh3;;<1<7?t}o6062<728qvb9==8;295~{i<:826=4>{|l777g=83;pqc:<2c83>4}zf=99o7>51zm064c290:wp`;33g94?7|ug>8>k4?:0y~j15483:1=vsa4212>5<6std??><50;3xyk24;:0;6=::182xh3;:<1<7?t}o6072<728qvb9=<8;295~{i<:926=4>{|l776g=83;pqc:<3c83>4}zf=98o7>51zm065c290:wp`;32g94?7|ug>8?k4?:0y~j15383:1=vsa4262>5<6std??9<50;3xyk24<:0;6:::182xh3;=<1<7?t}o6002<728qvb9=;8;295~{i<:>26=4>{|l771g=83;pqc:<4c83>4}zf=9?o7>51zm062c290:wp`;35g94?7|ug>88k4?:0y~j15283:1=vsa4272>5<6std??8<50;3xyk24=:0;6;::182xh3;<<1<7?t}o6012<728qvb9=:8;295~{i<:?26=4>{|l770g=83;pqc:<5c83>4}zf=9>o7>51zm063c290:wp`;34g94?7|ug>89k4?:0y~j15183:1=vsa4242>5<6std??;<50;3xyk24>:0;68::182xh3;?<1<7?t}o6022<728qvb9=98;295~{i<:<26=4>{|l773g=83;pqc:<6c83>4}zf=9=o7>51zm060c290:wp`;37g94?7|ug>8:k4?:0y~j15083:1=vsa4252>5<6std??:<50;3xyk24?:0;69::182xh3;><1<7?t}o6032<728qvb9=88;295~{i<:=26=4>{|l772g=83;pqc:<7c83>4}zf=951zm061c290:wp`;36g94?7|ug>8;k4?:0y~j15?83:1=vsa42:2>5<6std??5<50;3xyk240:0;66::182xh3;1<1<7?t}o60<2<728qvb9=78;295~{i<:226=4>{|l77=g=83;pqc:<8c83>4}zf=93o7>51zm06>c290:wp`;39g94?7|ug>84k4?:0y~j15>83:1=vsa42;2>5<6std??4<50;3xyk241:0;67::182xh3;0<1<7?t}o60=2<728qvb9=68;295~{i<:326=4>{|l774}zf=92o7>51zm06?c290:wp`;38g94?7|ug>85k4?:0y~j15f83:1=vsa42c2>5<6std??l<50;3xyk24i:0;6o::182xh3;h<1<7?t}o60e2<728qvb9=n8;295~{i<:k26=4>{|l77dg=83;pqc:4}zf=9jo7>51zm06gc290:wp`;3`g94?7|ug>8mk4?:0y~j15e83:1=vsa42`2>5<6std??o<50;3xyk24j:0;6l::182xh3;k<1<7?t}o60f2<728qvb9=m8;295~{i<:h26=4>{|l77gg=83;pqc:4}zf=9io7>51zm06dc290:wp`;3cg94?7|ug>8nk4?:0y~j15d83:1=vsa42a2>5<6std??n<50;3xyk24k:0;6m::182xh3;j<1<7?t}o60g2<728qvb9=l8;295~{i<:i26=4>{|l77fg=83;pqc:4}zf=9ho7>51zm06ec290:wp`;3bg94?7|ug>8ok4?:0y~j15c83:1=vsa42f2>5<6std??i<50;3xyk24l:0;6j::182xh3;m<1<7?t}o60`2<728qvb9=k8;295~{i<:n26=4>{|l77ag=83;pqc:4}zf=9oo7>51zm06bc290:wp`;3eg94?7|ug>8hk4?:0y~j15b83:1=vsa42g2>5<6std??h<50;3xyk24m:0;6k::182xh3;l<1<7?t}o60a2<728qvb9=j8;295~{i<:o26=4>{|l77`g=83;pqc:4}zf=9no7>51zm06cc290:wp`;3dg94?7|ug>8ik4?:0y~j15a83:1=vsa42d2>5<6std??k<50;3xyk24n:0;6h::182xh3;o<1<7?t}o60b2<728qvb9=i8;295~{i<:l26=4>{|l77cg=83;pqc:4}zf=9mo7>51zm06`c290:wp`;3gg94?7|ug>8jk4?:0y~j12783:1=vsa4522>5<6std?8=<50;3xyk238:0;6::182xh3<9<1<7?t}o6742<728qvb9:?8;295~{i<=:26=4>{|l705g=83;pqc:;0c83>4}zf=>;o7>51zm016c290:wp`;41g94?7|ug>?5<6std?8<<50;3xyk239:0;68;295~{i<=;26=4>{|l704g=83;pqc:;1c83>4}zf=>:o7>51zm017c290:wp`;40g94?7|ug>?=k4?:0y~j12583:1=vsa4502>5<6std?8?<50;3xyk23::0;6{|l707g=83;pqc:;2c83>4}zf=>9o7>51zm014c290:wp`;43g94?7|ug>?>k4?:0y~j12483:1=vsa4512>5<6std?8><50;3xyk23;:0;6{|l706g=83;pqc:;3c83>4}zf=>8o7>51zm015c290:wp`;42g94?7|ug>??k4?:0y~j12383:1=vsa4562>5<6std?89<50;3xyk23<:0;626=4>{|l701g=83;pqc:;4c83>4}zf=>?o7>51zm012c290:wp`;45g94?7|ug>?8k4?:0y~j12283:1=vsa4572>5<6std?88<50;3xyk23=:0;6{|l700g=83;pqc:;5c83>4}zf=>>o7>51zm013c290:wp`;44g94?7|ug>?9k4?:0y~j12183:1=vsa4542>5<6std?8;<50;3xyk23>:0;6{|l703g=83;pqc:;6c83>4}zf=>=o7>51zm010c290:wp`;47g94?7|ug>?:k4?:0y~j12083:1=vsa4552>5<6std?8:<50;3xyk23?:0;6<1<7?t}o6732<728qvb9:88;295~{i<==26=4>{|l702g=83;pqc:;7c83>4}zf=>51zm011c290:wp`;46g94?7|ug>?;k4?:0y~j12?83:1=vsa45:2>5<6std?85<50;3xyk230:0;6{|l70=g=83;pqc:;8c83>4}zf=>3o7>51zm01>c290:wp`;49g94?7|ug>?4k4?:0y~j12>83:1=vsa45;2>5<6std?84<50;3xyk231:0;6{|l704}zf=>2o7>51zm01?c290:wp`;48g94?7|ug>?5k4?:0y~j12f83:1=vsa45c2>5<6std?8l<50;3xyk23i:0;6{|l70dg=83;pqc:;ac83>4}zf=>jo7>51zm01gc290:wp`;4`g94?7|ug>?mk4?:0y~j12e83:1=vsa45`2>5<6std?8o<50;3xyk23j:0;6{|l70gg=83;pqc:;bc83>4}zf=>io7>51zm01dc290:wp`;4cg94?7|ug>?nk4?:0y~j12d83:1=vsa45a2>5<6std?8n<50;3xyk23k:0;6{|l70fg=83;pqc:;cc83>4}zf=>ho7>51zm01ec290:wp`;4bg94?7|ug>?ok4?:0y~j12c83:1=vsa45f2>5<6std?8i<50;3xyk23l:0;6{|l70ag=83;pqc:;dc83>4}zf=>oo7>51zm01bc290:wp`;4eg94?7|ug>?hk4?:0y~j12b83:1=vsa45g2>5<6std?8h<50;3xyk23m:0;6{|l70`g=83;pqc:;ec83>4}zf=>no7>51zm01cc290:wp`;4dg94?7|ug>?ik4?:0y~j12a83:1=vsa45d2>5<6std?8k<50;3xyk23n:0;6{|l70cg=83;pqc:;fc83>4}zf=>mo7>51zm01`c290:wp`;4gg94?7|ug>?jk4?:0y~j13783:1=vsa4422>5<6std?9=<50;3xyk228:0;6::182xh3=9<1<7?t}o6642<728qvb9;?8;295~{i<<:26=4>{|l715g=83;pqc::0c83>4}zf=?;o7>51zm006c290:wp`;51g94?7|ug>>5<6std?9<<50;3xyk229:0;68;295~{i<<;26=4>{|l714g=83;pqc::1c83>4}zf=?:o7>51zm007c290:wp`;50g94?7|ug>>=k4?:0y~j13583:1=vsa4402>5<6std?9?<50;3xyk22::0;6{|l717g=83;pqc::2c83>4}zf=?9o7>51zm004c290:wp`;53g94?7|ug>>>k4?:0y~j13483:1=vsa4412>5<6std?9><50;3xyk22;:0;6{|l716g=83;pqc::3c83>4}zf=?8o7>51zm005c290:wp`;52g94?7|ug>>?k4?:0y~j13383:1=vsa4462>5<6std?99<50;3xyk22<:0;626=4>{|l711g=83;pqc::4c83>4}zf=??o7>51zm002c290:wp`;55g94?7|ug>>8k4?:0y~j13283:1=vsa4472>5<6std?98<50;3xyk22=:0;6{|l710g=83;pqc::5c83>4}zf=?>o7>51zm003c290:wp`;54g94?7|ug>>9k4?:0y~j13183:1=vsa4442>5<6std?9;<50;3xyk22>:0;6{|l713g=83;pqc::6c83>4}zf=?=o7>51zm000c290:wp`;57g94?7|ug>>:k4?:0y~j13083:1=vsa4452>5<6std?9:<50;3xyk22?:0;6<1<7?t}o6632<728qvb9;88;295~{i<<=26=4>{|l712g=83;pqc::7c83>4}zf=?51zm001c290:wp`;56g94?7|ug>>;k4?:0y~j13?83:1=vsa44:2>5<6std?95<50;3xyk220:0;6{|l71=g=83;pqc::8c83>4}zf=?3o7>51zm00>c290:wp`;59g94?7|ug>>4k4?:0y~j13>83:1=vsa44;2>5<6std?94<50;3xyk221:0;6{|l714}zf=?2o7>51zm00?c290:wp`;58g94?7|ug>>5k4?:0y~j13f83:1=vsa44c2>5<6std?9l<50;3xyk22i:0;6{|l71dg=83;pqc::ac83>4}zf=?jo7>51zm00gc290:wp`;5`g94?7|ug>>mk4?:0y~j13e83:1=vsa44`2>5<6std?9o<50;3xyk22j:0;6{|l71gg=83;pqc::bc83>4}zf=?io7>51zm00dc290:wp`;5cg94?7|ug>>nk4?:0y~j13d83:1=vsa44a2>5<6std?9n<50;3xyk22k:0;6{|l71fg=83;pqc::cc83>4}zf=?ho7>51zm00ec290:wp`;5bg94?7|ug>>ok4?:0y~j13c83:1=vsa44f2>5<6std?9i<50;3xyk22l:0;6{|l71ag=83;pqc::dc83>4}zf=?oo7>51zm00bc290:wp`;5eg94?7|ug>>hk4?:0y~j13b83:1=vsa44g2>5<6std?9h<50;3xyk22m:0;6{|l71`g=83;pqc::ec83>4}zf=?no7>51zm00cc290:wp`;5dg94?7|ug>>ik4?:0y~j13a83:1=vsa44d2>5<6std?9k<50;3xyk22n:0;6{|l71cg=83;pqc::fc83>4}zf=?mo7>51zm00`c290:wp`;5gg94?7|ug>>jk4?:0y~j10783:1=vsa4722>5<6std?:=<50;3xyk218:0;6::182xh3>9<1<7?t}o6542<728qvb98?8;295~{i{|l725g=83;pqc:90c83>4}zf=<;o7>51zm036c290:wp`;61g94?7|ug>=5<6std?:<<50;3xyk219:0;68<1<7?t}o6552<728qvb98>8;295~{i{|l724g=83;pqc:91c83>4}zf=<:o7>51zm037c290:wp`;60g94?7|ug>==k4?:0y~j10583:1=vsa4702>5<6std?:?<50;3xyk21::0;6;<1<7?t}o6562<728qvb98=8;295~{i{|l727g=83;pqc:92c83>4}zf=<9o7>51zm034c290:wp`;63g94?7|ug>=>k4?:0y~j10483:1=vsa4712>5<6std?:><50;3xyk21;:0;6:<1<7?t}o6572<728qvb98<8;295~{i{|l726g=83;pqc:93c83>4}zf=<8o7>51zm035c290:wp`;62g94?7|ug>=?k4?:0y~j10383:1=vsa4762>5<6std?:9<50;3xyk21<:0;6=<1<7?t}o6502<728qvb98;8;295~{i26=4>{|l721g=83;pqc:94c83>4}zf=51zm032c290:wp`;65g94?7|ug>=8k4?:0y~j10283:1=vsa4772>5<6std?:8<50;3xyk21=:0;6<<1<7?t}o6512<728qvb98:8;295~{i{|l720g=83;pqc:95c83>4}zf=<>o7>51zm033c290:wp`;64g94?7|ug>=9k4?:0y~j10183:1=vsa4742>5<6std?:;<50;3xyk21>:0;6?<1<7?t}o6522<728qvb9898;295~{i{|l723g=83;pqc:96c83>4}zf=<=o7>51zm030c290:wp`;67g94?7|ug>=:k4?:0y~j10083:1=vsa4752>5<6stwvqMNL{5c7g?>f8hn:=ksO@Cy3yEFWstJK \ No newline at end of file +$72g0=#Zl|/;6&?:183-c=/83:1<$.'0;294,773!;;<=4>01295567!890$0:*2356=9>:;6<9?0(33?-7?890:4=>51923-46< ;2;<7<70181<56.991#?=>?:2234?5789#9=6&<012975672::;<$.'3423>637839><='>0:*0156=;<:;6>;?0(f8,1672=:;69>?)028,36783<;<=49012*55=/?9:;6:>?0;5345/682"2<=>59123><678 ;0<<5>2:336>76:2;9=?5>2;MVPUSS2[K7=<4?>39277=6<;1:9?5>639237=60;1:5<5=2:036>45:288=95=3;KMTPR=IVLXN1<<:0<1?7253;?97?9=;3:1?7?63:80?=<43308764<;=80?8<43708727<<;1?8?5:539627=20;1>5<592:406>03:2<>>6891:51?2653>897::=;641?2153>297:7>;908<54<08804?<48408<34<0>8044?4939:47=>9;12>?56339:07=>=;12:?56749BH@6J?2KGI=C!D99BH@6J&M;37LBJ0L,G6a=FDL:F"IGKM9G%H?Q_T^3g?DJB8D$O>R^[_3f8EIC7E'N9S]ZP3e9BH@6J&M8T\YQ;7:COA5K)Y01J@H>B.SGD1>GKM9Y<7LBJ0R,G<>GKM9Y%H<64AMG3W+B5?2KGI=]!Q89BH@6T&[OL86OCE018EKB>3HDECYO[EE;8EZ@TJ5;;2i5N_GQA8469&YKO56OPFR@?548c3HUM_O2>1?,SEA?e9B[CUE4885"]OK9:C\BVD;9:4o7LQISC>27;(WIM30MRH\B=37:a=FWOYI0<:1.QCG=>GXNZH7=80k;@]EWG:6=7$[MI74A^DPF9716m1JSK]M<04=*UGC12KTJ^L3169&YKO56OPFR@?5<8c3HUM_O2>9?,SEA>GXNZH7>>0!P@F;?DYA[K692n5N_GQA878)XHN37LQISC>0:f=FWOYI0>0!P@F;?DYA[K6?2n5N_GQA818)XHN37LQISC>6:f=FWOYI080!P@F;?DYA[K6=2n5N_GQA838)XHN37LQISC>4:f=FWOYI0:0!P@F;?DYA[K632n5N_GQA8=8)XHN37LQISC>::f=FWOYI040!P@Fg?DYA[KU:_H@VBa=FWOYIS?GXNZHT>>QFBTD`?DYA[KU9SDLZFb9B[CUEW:UBNXHl;@]EWGY3W@H^Jn5N_GQA[0YNJ\Lh7LQISC]5[LDRNj1JSK]M_6]JFP@d3HUM_OQ7_H@VBf=FWOYIS4QFBTD5?Dir|FO87O[I8:@VWZOINF>0O<8B8:A22H(WIMo0O<8B_H@VB*@DDBl0O<8B_H@VB*@DDB'=7N0:A14HCIWFH^J)LZF131?F47ELDTCO[I$CWE4+B6;2I9<@KA_N@VB!DRN9$O=<=4C32NAKYHJ\L/NXH?.E026>E58DOESBLZF%@VB5(Vm2I9<@KA_N@VB!IBl2I9<@KA_N@VB!W6=2I9<@KA_N@VB+DRNFO%CXZk;B03I@HXGK_M"Ik4C32NAKYHJ\L%H4:A14HCIWFH^J#KWP^RW[5733J8;AH@POCWE*@^WWY^T=<:4C32NAKYHJ\L%IU^PPU]151=D:9GNBRAMUG,F\UYW\V99<6M=0LGM[JDRN'OS\Rz|ilpfjdkblVdnxRj4C32NAKYHJ\L%]<>4C32NAKYHJ\L%X_][6:A11HCIi2I99@KA.QCGf>E5=DOESBLZFe9@60KBFVEIYK Ke:A11HCIWFH^J#J>e:A11HCIWFH^J#J=d:A11HCIWFH^J#_<;B:N3>E?E'ZJH55L8L]JFP@c3J2FSDLZF.D@HNcC23L$[MIo4EYR24Z(BPYl0IU^>0^,F\UYW\V:m7HV_11]-A]VXX]U:j6KWP02\*@^WWY^T>k5JXQ33[+C_XVZ_S>h4EYR24Z(BPYU[XR:>b:G[T46X&LR[Sy}fmsgmehccWgoSl5JXQ32[+C_Xo1NT]?>_/G[TZVSW9l0IU^>1^,F\UYW\V;m7HV_10]-A]VXX]U9j6KWP03\*@^WWY^T?k5JXQ32[+C_XVZ_S9?m;DZS54Y)MQZTx~gbrdlbi`bXfl~Tm6KWP00\*@^Wn2OS\<2^,F\UYW\V8m7HV_13]-A]VXX]U8j6KWP00\*@^WWY^T8Q!EYR\TQY7n2OS\<=P.DZS[URX9o1NT]?<_/G[TZVSW;l0IU^>3^,F\UYW\V9m7HV_12]-A]VXX]U?j6KWP01\*@^WWY^T94^,F\UYW\V9m7HV_15]-A]VXX]U?=o5JXQ37[+C_XV~xe`|jn`of`Zhb|Vk0IU^>5^,F\U7e3LR[=8Q!EYR\pvojzldjahjPndv\e>C_X8V$NT]Q_T^0e?@^W9?U%IU^PPU]0b>C_X8U%IU^i;DZS52Y)MQZT\YQ?f:G[T41X&LR[S]ZP10`8A]V6?V$NT]Q{shoqakgjmmUeiyQn;DZS5=Y)MQZm7HV_19]-A]VXX]U;j6KWP0:\*@^WWY^T=k5JXQ3;[+C_XVZ_S?h4EYR2X&LR[S]ZP50`8A]V60V$NT]Q{shoqakgjmmUeiyQ9;D]JFP@03Lnli[oc4:D@HN>OI9;>0EC?<4:KM512?6GA229JJ6587D@:3:KM26=NF>90EC6<;HL:=>OIA]Y_MYK:;HMBGQ1R^[_1f8MJGD\'N9S]ZP1e9JKDES&M8T\YQ=d:KLEFR)L;U[XR=k;HMBGQ(C:VZ_S9j4INC@P+B5WY^T9:5FO@AW*T?OHLFDN"Ij;HMGKKC)L;U[XR?j;HMGKKC)L;U[XR?1BCA>!Q99JKI6)ZLM:96G@L1]wwlkdzlkiiQwos]:?LIIX[ojhtl4INLSV`gcq'Nh7DAAPSgb`|(C9j1BCC^]e`fz*A4692CDB]\jae{-@7YW\V::=6G@NQPfea)L;U[XR?k;HMMTWcflp$NT]?=;HMMTWcflp$NT]Q_T^226>OHFYXnmiw!EYR\TQY69;1BCC^]e`fz*@^WWY^T><<4INLSV`gcq'OS\R^[_23f?LIIX[ojht JXQ]wwlkumgkfiiQaeu]a?LIIX[ojht ^d:KLJUTbims%^HI6;HMSSWcflph0EB^XRdcg}+Bd3@E[[_kndx,G5f=NGY]Yiljv.E025>OHX^Xnmiw!D3]SPZ6692CD\Z\jae{-@7YW\V;i7DA_WSgb`|(Vl2CD\Z\jae{-V@A03@EYH_K^9:KLVATBY'Nj7DA]DSGR*A7f3@EYH_K^.E0e?LIUL[OZ"IOHZMXN]#J=_QV\5c=NG[NYI\ K2^RW[7`OHZMXN]#\JG59JKP613@E^<#J8;HMV4+B6?2CDY= K2b9JKP6)L;U[XR>l;HMV4+B5WY^T=n5FOT2-@7YW\V8h7DAZ0/F1[URX;j1BCX>!D3]SPZ2d3@E^<#J=_QV\1f=NG\:%H?Q_T^45?LIR8'[37DAZ0/PFC436@?7:LFPRIUC:1DBH<4OS18KPR43YKO86^NRU58TLHIIDOj7]GA_CWPMA^e3YCESO[\N@OF2>Vbz`eo46^`ruRbpm32XJ0<>1a:PB8469&YKO46\N<0394;025;(WIM?0^L2>>89QE979&YKO96\N<3<:?WG;:7$[MI;4R@>0:<=UI595"]OK5:PB818>3[K783 _AE78VD:2601YM1;1.QCG1>TF4?427_O36?,SEA389QE9?9&YKO46\NMSgb`|g>0:PBIWcflp$O>R^[_033?WGJZlkou#J=_QV\646:<6\NMSgb`|(C:VZ_S8o4R@OQadb~&Xi0^LC]e`fz*WC@12XJS=Q@BTDa?WGX8VEIYK Kc:PB[5YHJ\L%HTFW9UDNXH!Q`9QEZ77WFH^Jn5]A^33[JDRN'No7_OP11]LFP@)L8n0^LQ>0^MAQC(C:880^LQ>0^MAQC(C:VZ_S=?=;SC\55YHJ\L%H?Q_T^3`?WGX99UDNXH!Qg9QEZ76WFH^J)LZF132?WGX98UDNXH+BTD3*A753[KT=6\N_03\KGSA,K_M<#J=169QEZ76WFH^J)LZF1,G6ZVSW9;<7_OP10]LFP@#J\L;"I199QEZ76WFH^J)LZF1,F\UYW\V8986\N_03\KGSA,K_M<#KWP^vpmhtbfhgnhR`jt^32?WGX98UDNXH+BTD3*TeTFW8;TCO[I.Ef8VDY69VEIYK K1e9QEZ76WFH^J#J=139QEZ76WFH^J#J=_QV\4441^MAQC(V12XJSTFW8UDNXH!Q89QEZ4XGK_Mn6\N_3]LFP@)Lj1YMRRAMUG,G647TCO[I.Ea8VDY3WFH^J#J>c:PB[1YHJ\L%H??>;SC\0ZIE]O$O>R^[_132?WGXTFW?UDNXH!D0a8VDY1WFH^J#J=109QEZ0XGK_M"ITFW1UDNXH!D332?WGX0VEIYK K2^RW[5763[KT4RAMUG,G6ZVSW8h0^LQ7_N@VB+W>3[KT5RAMUG`8VDY>WFH^J#Jl;SC\=ZIE]O$O=n5]A^;\KGSA&M8:=6\N_8]LFP@)L;U[XR>>1:PB[=9:WL,33)QW689*#_}kjd!Djh`<'^lxxeb`/3/2*0>^CEE;o7UGCIOZ.\AD'8';+_Y[M 1,2$DUDA@90TB\9;Yfa[Lbe3QncS]|fmHlug>^c`VZye`Zvpdd8\anXX{cfZh||inl;?]boW]cfii5Wdi]WmhcD`li`h6Vkh^Vji`Bbdhs:<6Vkm^ObnjtQm{ybcc??;Yfn[Hoig{\n~~g`n59YAWI>3jd#H|30?`8gkr(M{6;2#Jl;blw+@t;87$O=n5lnu-Fv969&M8i7n`{/Dp?4;(Vl2iex"K}<1<-V@A>3jd#H|31?`8gkr(M{6:2#Jl;blw+@t;97$O=n5lnu-Fv979&M8i7n`{/Dp?5;(Vl2iex"K}<0<-V@A6:2iex"GAIUQ\BVKXNOn:=95lnu-JJLRTWOYFSKHk1/F21>ei|&CEEY]PFRO\BCb6&M;:96mat.KMMQUXNZGTJKj>.E020>ei|&CEEY]PFRO\BCb6&X;=7n`{/HLJPVYA[DUMJi?!RDE17>ei|&CEEY]PFRO\BCb6W}yban|jaugg[}iuW880ocz IOKWWZ@TEVLMh??;;blw+LHN\ZUM_@QIFe0-@43R^[_13b?fhs'@DBX^QISL]EBa4)L;U[XR?>4:amp*OIA]YTJ^CPFGf1*T713jd#D@FTR]EWHYANm8%^HI=3:amp*OIA]YTJ^CPFGf1[qunejxnmykk_ymq[a=df}%GXdcjr=2=55=df}%GXdcjr=2=*FCa3jd#AZfmdp?4;(C991hby!CThofv969&M;:<6mat.NWmhcu494%H?h4cov,HQojm{6;2#_>1:amp*JSadoy0=0!RDE2a>ei|&F_e`k}<1<\pvojk{ojxhjPxnp\a>ei|&F_e`k}<02=54=df}%GXdcjr=33:+EB991hby!CThofv9776'N:=6mat.NWmhcu48:5"I?>1:amp*JSadoy0<>1.E024>ei|&F_e`k}<02=*T753jd#AZfmdp?558)ZLM:j6mat.NWmhcu48:5Sy}fmbpfeqccWqeySh5lnu-OPlkbz5;:21?,G54=df}%GXdcjr=32:+B6981hby!CThofv9766'N9==5lnu-OPlkbz5;:2#_>2:amp*JSadoy026;763jd#AZfmdp?578)KL;;7n`{/MVji`t;9;4%H3 K1038gkr(D]cfi2>2?,G64626;(V9;1hby!CThofv9756'XNK3Q{sho`v`gsmmUscQk;blw+IRnelx7=3??;blw+IRnelx7=3 LEg9`jq)K\`gn~1?1.E33?fhs'E^bah|31?,G5462:+B5n2iex"B[ilgq848)Y8;0ocz LUknaw:66'XNK4cov,HQojm{692#MJf:amp*JSadoy0?0!D028gkr(D]cfi2=>/F255=df}%GXdcjr=0=*A4a3jd#AZfmdp?6;(V981hby!CThofv949&[OL=h5lnu-OPlkbz585Sy}fmbpfeqccWqeySi5lnu-OPlkbz595==5lnu-OPlkbz595"NKi;blw+IRnelx7?3 K119`jq)K\`gn~1=1.E324>ei|&F_e`k}<2<-@7`0:+W692iex"B[ilgq868)ZLM:i6mat.NWmhcu4:4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu4=4:<6mat.NWmhcu4=4%OHh4cov,HQojm{6?2#J>0:amp*JSadoy090!D033?fhs'E^bah|34?,G6477:+C_X;80ocz LUknaw:36'OS\Rz|ilpfjdkblVdnxRh4cov,HQojm{6?2#_>1:amp*JSadoy090!RDE2a>ei|&F_e`k}<5<\pvojk{ojxhjPxnp\`>ei|&F_e`k}<4<24>ei|&F_e`k}<4<-G@`6:+B682iex"B[ilgq808)L8;;7n`{/MVji`t;=7$O>2#KWP048gkr(D]cfi2:>/G[TZVSW9;=7n`{/MVji`t;=7$NT]Q_T^316>ei|&F_e`k}<4<-A]VX|zcf~h`nmdf\j`rXn2iex"B[ilgq808)Y8;0ocz LUknaw:26'XNK2Rz|ilaqadrblVrd~Rj4cov,HQojm{6=2<>4cov,HQojm{6=2#MJf:amp*JSadoy0;0!D028gkr(D]cfi29>/F255=df}%GXdcjr=4=*A4a3jd#AZfmdp?2;(V981hby!CThofv909&[OL=h5lnu-OPlkbz5<5Sy}fmbpfeqccWqeySi5lnu-OPlkbz5=5==5lnu-OPlkbz5=5"NKi;blw+IRnelx7;3 K119`jq)K\`gn~191.E324>ei|&F_e`k}<6<-@7`4:+W692iex"B[ilgq828)ZLM:i6mat.NWmhcu4>4Tx~gbcsgbp`bXpfxTh6mat.NWmhcu414:<6mat.NWmhcu414%OHh4cov,HQojm{632#J>0:amp*JSadoy050!D033?fhs'E^bah|38?,G6c=df}%GXdcjr=:=*T763jd#AZfmdp?<;(UMN;n7n`{/MVji`t;07UdclrdcwaaYg{Uo7n`{/MVji`t;17;;7n`{/MVji`t;17$HIk5lnu-OPlkbz535"I??;blw+IRnelx753 K1028gkr(D]cfi26>/F1b>ei|&F_e`k}<8<-U47::+TBO8o0ocz LUknaw:>6V~xe`m}e`vf`Z~hzVi0ocz LUknawRDn2iex"B[ilgqPF(DMl1hby!CThofvQE)Lo1hby!CThofvQE)L8l0ocz LUknawRD&M8n7n`{/MVji`tSK'[:<6mat.NWmhcu\J$YIJm4cov,Plkbz5:5j6mat.Vji`t;87$HIh5lnu-Wmhcu494%Hk5lnu-Wmhcu494%H0:amp*Rnelx7<3 ]EF3g?fhs']cfi2?>^vpmheumh~nhRv`r^a8gkr(\`gn~1?1f:amp*Rnelx7=3 LEd9`jq)Sadoy0<0!Dg9`jq)Sadoy0<0!D0d8gkr(\`gn~1?1.E020>ei|&^bah|31?,G6ZVSW9;?7n`{/Uknaw:66'N9S]ZP1068gkr(\`gn~1?1.E0\TQY5m2iex"Zfmdp?5;(V991hby![ilgq848)ZLMh7n`{/Uknaw:56o1hby![ilgq878)KLo0ocz Thofv949&Ml0ocz Thofv949&M;m7n`{/Uknaw:56'N9=95lnu-Wmhcu4;4%H?Q_T^220>ei|&^bah|32?,G6ZVSW8;?7n`{/Uknaw:56'N9S]ZP2068gkr(\`gn~1<1.E0\TQY4m2iex"Zfmdp?6;(V991hby![ilgq878)ZLMi7n`{/UknawRDm2iex"ZfmdpWG+EBl2iex"ZfmdpWG+Bb3jd#YgbesV@*A7b3jd#YgbesV@*A4c3jd#YgbesV@*T`d:amp*hNXE8y"Ib:ap+JBD[{$O><>4cr-L@FUu&M8T\YQ?119`w*ICKZx%H?Q_T^3b?fu(GMIX~#_l;bq,KAETz'XNKo5ls.lLr`tkipn0o~!aOwgqhd)Ll1h"`@vdpoe|(C9l1h"`@vdpoe|(C:890o~!aOwgqhd)L;U[XR>>3:ap+kIqm{fju#J=_QV\5a=d{&dDzh|cax,Rb>et'gE}ibny/PFC4eccol20j~l ARpee>`tj&KX~k Kb:dpf*GTzo$O=o5isc-BWw`)L;k0j~l ARpe*Teohjd%H4@|m;hmai*E?E{$Oo6g`bl-@ohjd%Ocxz@Ee9jkgk(LfCH Ke:klfh)Cg|~DI#J>e:klfh)Cg|~DI#J=129jkgk(LfCH K2^RW[5743`eia"J`uuMF*A4XX]U:=>5foco,@jssGL$O>R^[_330?liee&NdyyAJ.E0\TQY4n2cdn`!KotvLA+C_X;:0eblb/EmvpJC)MQZTx~gbrdlbi`bXfl~Th6g`bl-GkprHM'[m7damm.FlqqIB&[OL=n5foco,@jssGLUdclrdcwaaYg{Uj7damm.GP858d3`eia"K\<1<-@a=ngkg$I^2?>/F2`>ohjd%N_1>1.E026>ohjd%N_1>1.E0\TQY79;1bcoc ER>3:+B5WY^T=<<4in`n+@U;87$O>R^[_3a8mjdj'LY7<3 ^e:klfh)B[5:5"_KH1c9jkgk(MZ6;2Rz|ilaqadrblVrd~Ro4in`n+@U;97i0eblb/DQ?5;(Cl2cdn`!JS=3=*A7c3`eia"K\<0<-@7753`eia"K\<0<-@7YW\V::>6g`bl-FW979&M8T\YQ>139jkgk(MZ6:2#J=_QV\644ohjd%N_1<1.Ef8mjdj'LY7>3 K1e9jkgk(MZ692#J=139jkgk(MZ692#J=_QV\444/F2`>ohjd%N_1=1.E026>ohjd%N_1=1.E0\TQY79;1bcoc ER>0:+B5WY^T=<<4in`n+@U;;7$O>R^[_331?liee&OX0>0!D3]SPZ5d3`eia"K\<2<-U`=ngkg$I^2<>/PFC4dk5foco,MJTCZL[y"\?>;hmai*OHZMXN] ]EF;8mjdj'@EX;hmai*OH[9$O>R^[_332?liee&CD_= K2^RW[6763`eia"G@S1,G6ZVSW=h0eblb/HMP4+Wc3`eia"G@S1,QAB763`eia"G@S^DPIZ@Al8;87damm.KLWZ@TEVLMh< K159jkgk(AFYTJ^CPFGf2*A76<2cdn`!FOR]EWHYANm;%H??<;hmai*OH[VLXARHId0,R50=ngkg$EB]PFRO\BCb6&[OL=<5foco,MJUXNZGTJKj=129jkgk(AFYTJ^CPFGf1*A733`eia"G@S^DPIZ@Al;$O=<:4in`n+LITWOYFSKHk2/F15<=ngkg$EB]PFRO\BCb5&M8T\YQ?189jkgk(AFYTJ^CPFGf1*A4XX]U:=>5foco,MJUXNZGTJKj=.P36?liee&CD_RH\M^DE`7(UMN;:7damm.KLWZ@TEVLMh>?<;hmai*OH[VLXARHId2,G51=ngkg$EB]PFRO\BCb4&M;:86g`bl-JKVYA[DUMJi=!D33:?liee&CD_RH\M^DE`6(C:VZ_S=?6;hmai*OH[VLXARHId2,G6ZVSW8;27damm.KLWZ@TEVLMh> K2^RW[7743`eia"G@S^DPIZ@Al:$Z=85foco,MJUXNZGTJKj<.SGD54=ngkg$EB]PFRO\BCb39:1bcoc INQ\BVKXNOn?"I?;;hmai*OH[VLXARHId5,G5425foco,MJUXNZGTJKj;.P36?liee&CD_RH\M^DE`1(UMN;:7damm.KLWZ@TEVLMh8?<;hmai*OH[VLXARHId4,G51=ngkg$EB]PFRO\BCb2&M;:86g`bl-JKVYA[DUMJi;!D330?liee&CD_RH\M^DE`0(V9<1bcoc INQ\BVKXNOn>"_KH109jkgk(AFYTJ^CPFGf556=ngkg$EB]PFRO\BCb1&M;?7damm.KLWZ@TEVLMh; K1068mjdj'@EXSK]B_GDg2+B5901bcoc INQ\BVKXNOn="I129jkgk(AFYTJ^CPFGf5*T723`eia"G@S^DPIZ@Al?$YIJ?>;hmai*OH[VLXARHId630?liee&CD_RH\M^DE`2(C9=1bcoc INQ\BVKXNOn<"I?>4:klfh)NGZUM_@QIFe5-@77>3`eia"G@S^DPIZ@Al>$O>R^[_13:?liee&CD_RH\M^DE`2(C:VZ_S1:klfh)NG^XYI\|!RDE:?liee&\[Ml4in`n+SVFz'Nh7damm.TSEw(C9j1bcoc VQCq*A4e3`eia"X_As,R`>ohjd%]\L|!RDEa?lie{&Igil|>d:klfv)Ddlky=#Jj;hmaw*Ekmhx:"I?j;hmaw*Ekmhx:"I=5focq,Gicfz8$NT]Q{shoqakgjmmUeiyQk;hmaw*Ekmhx:"\h4in`p+Fjbi{;%^HIm;hmaw*OHIJ^yh6g`br-JKDESz'Nn7dams.KLEFRu&M;n7dams.KLEFRu&M8o7dams.KLEFRu&Xl0ebl|/HMBGQt)ZLMh7dams.KL@JHBzl1bco} INFLJ@t)Lo1bco} INFLJ@t)L8l0ebl|/HMGKKCu&M8n7dams.KL@JHBz'[:<6g`br-JKAIIM{$YIJ74in`p+LIK9j1bco} INN2*FCe3`ei"G@L0,Gg>ohjz%BCA?!D0a8mjdt'@EG=#J=b:klfv)NGE;%]i5focq,MJJ6&[OLm6g`br-JKWQ6k2cdn~!FOSU2*Abohjz%BC_Y>.E0\TQY49;1bco} INPT5+B5WY^T8h5focq,MJTP9'OS\ohjz%BCX?!Db9jkgu(AF_:"I?l;hmaw*OH]8$O>o5focq,MJS6&Xn0ebl|/HMV5+TBOh1bco} Lncg5f=ngky$@bok1/Fg?lie{&Fdmi?!D0f8mjdt'Eejh< K2008mjdt'Eejh< K2^RW[5753`ei"B`ae3-@7YW\V;:>6g`br-Okdb6&M8T\YQ=139jkgu(Dfko=#J=_QV\744159jkgu(\ZUM_@QIFe3-A]V612cdn~![S^DPIZ@Al8$NT]Q_T^22=>ohjz%__RH\M^DE`4(BPYU[XR?>9:klfv)S[VLXARHId0,F\UYW\V8996g`br-WWZ@TEVLMh< JXQ]wwlkumgkfiiQaeu]26>ohjz%__RH\M^DE`4(V9=1bco} TR]EWHYANm;%^HI>0:klfv)S[VLXARHId331?lie{&^XSK]B_GDg6+B6;2cdn~![S^DPIZ@Al;$O=<=4in`p+QUXNZGTJKj=.E02<>ohjz%__RH\M^DE`7(C:VZ_S=?7;hmaw*RTWOYFSKHk2/F1[URX9820ebl|/UQ\BVKXNOn9"I8:klfv)S[VLXARHId3,G6ZVSW=;37dams.VP[CUJWOLo>#J=_QV\14>hFLf@H?k;oCGkprKM8$[MIk4n@FlqqJB9VEIYK??;oCGkprKM8UDNXH!D038jDBh}}FN=RAMUG,G547R^[_135?kGCg|~GIhF[VCDNb{{.E0\TQY5k2dJ_RG@Bnww*Tc<>4n@mvpJCXGK_M"HV_149mEjssGLUDNXH!EYR\TQY79<1eMb{{OD]LFP@)MQZT\YQ>149mEjssGLUDNXH!EYR\TQY59<1eMb{{OD]LFP@)MQZT\YQ<149mEjssGLUDNXH!EYR\TQY3:81eMb{{OD]LFP@)MQZTx~gbrdlbi`bXfl~Ti6`NotvLAZIE]O$Z==5aAnwwK@YHJ\L%^HI6;o@FVWYA[Kn0bOK]R^DPF+VFLl1eNH\]_GQA[JDRN8:0bOK]R^DPFZIE]O$O=<5aBDPQ[CUEWFH^J#J>109mF@TUWOYISBLZF/F153=iJLXYSK]M_N@VB+B5WY^T<<84nCGQVZ@TJVEIYK K2^RW[4753gHN^_QISC]LFP@)MQZ:;6`MESP\BVDXGK_M"HV__QV\4417:lAAWTXNZHTCO[I.DZS[URX:8=0bOK]R^DPFZIE]O$NT]Q_T^117>hEM[XTJ^LPOCWE*@^WW}ybakaalgg[kcsW8:0bOK]R^DPFZIE]O$Z=?5aBDPQ[CUEWFH^J#\JG008jGCUZVLXNRAMUG,VMH?hEZVCDNRAMUG&Ra>hEZVCDNRAMUG,Gb>hEZVCDNRAMUG,G5c=iJ[UBCOQ@BTD-@7733gHYSDAM_N@VB+B5WY^T<<:4nCP\MJDXGK_M"I>5:lAVZOHJVEIYK JXQ]SPZ76=2dI^RG@B^MAQC(BPYU[XR<=1:lAVZOHJVEIYK JXQ]wwlkumgkfiiQaeu]f?kDUW@EISBLZF/S24>hEZVCDNRAMUG,QAB7c3gHYSDAM_N@VBZrtadiyilzjd^zlvZ2hDIZUDNXH!EYR\TQY59l1eOL]POCWE*@^WW}ybakaalgg[kcsWk1eOL]POCWE*Tb6`KT@AH[CUEWFH^J#J=169m@QGDCVLXNRAMUG,G6ZVSW9;<7cJ[ABI\BVDXGK_M"I1eHYOLK^DPFZIE]O$O>R^[_534?kBSIJATJ^LPOCWE*A4XX]U>=:5aDUC@OZ@TJVEIYK K2^RW[3763gN_MNEPFR@\KGSA&X;87cJ[ABI\BVDXGK_M"_KHa:lGPDELW@EIi6`KT@AH[LIE&YKOj6`KT@AH[LIEW@H^J;5aDhlOAd=iL`dGI#^NDc9m@lhKMVEIYKj4nEkmH@YHJ\L%Hh5aDhlOAZIE]O$O=h5aDhlOAZIE]O$O>k5aDhlOAZIE]O$NT]?;;oFjjICXGK_M"HV__QV\442hCagFNSBLZF/G[TZrtadxnblcjd^lfpZb5aDhlLAZIE]O$O>R^[_030?kBnfFOTCO[I.E0\TQY5n2dOecAJ_N@VB+C_X;:0bIgaOD]LFP@)MQZTx~gbrdlbi`bXfl~Th6`KioMF[JDRN'[<7cJ`uuMFf>hCg|~DI#^NDb9m@jssGLUDNXHj;oFlqqIBWFH^J#Ji;oFlqqIBWFH^J#J>f:lGkprHMVEIYK K2068jAir|FOTCO[I.E0\TQY79=1eHb{{OD]LFP@)L;U[XR?>0:lGkprHMVEIYK JXQ36?kBh}}ENSBLZF/G[TZVSW9;>7cJ`uuMF[JDRN'OS\R^[_036?kBh}}ENSBLZF/G[TZVSW;;>7cJ`uuMF[JDRN'OS\R^[_202?kBh}}ENSBLZF/G[TZrtadxnblcjd^lfpZc45aIQN1*UGCi2dB\AhKLZUM_Om4nMFP[CUE&YKOh6`CDR]EWGYNJ\L37cBKS^KLFf=iDMYTEBL!P@Fa?kJC[VCDNb{{e:lO@VYNGKe~x)AJd:lO@VYNGKe~x)_>5:lO@VYNGKe~x#LZFNG-KPRc3gFO_RG@Bnww*Ac5aLEQ\MJDh}}$O>R^[_030?kJC[VCDNb{{.E0\TQY59:1e@I]PIN@lqq(C:VZ_S>?<;oNGWZOHJf"IhKLZUBCOazt/Se?kJC[VCDNb{{.SGD55=iDMYTEBL`uu,WVVR43gEN;6`@E/RB@==iGLUDNXHn;oMF[JDRN'Ni7cAJ_N@VB+B6j2dDIRAMUG,G6f=iGLUDNXH!EYR25>hHMVEIYK JXQ]SPZ6692dDIRAMUG,F\UYW\V;:=6`@E^MAQC(BPYU[XR<>d:lLAZIE]O$NT]Q{shoqakgjmmUeiyQn;oMF[JDRN'[<7c\NMMUFf>hUIDF\I#^NDb9mVDKK_LUDNXHj;oPBIIQBWFH^J#Ji;oPBIIQBWFH^J#J>f:lQEHJPMVEIYK K2028jWGJD^OTCO[I.DZS50=iZHGG[HQ@BTD-A]VXX]U;=85aR@OOS@YHJ\L%IU^PPU]264=iZHGG[HQ@BTD-A]VX|zcf~h`nmdf\j`rXm2dYM@BXE^MAQC(V?2dYM@[XEc9mVDKR_L$[MIm4nSCNQRCXGK_Mi6`]ALWTAZIE]O$Oj6`]ALWTAZIE]O$O=k5aR@OVS@YHJ\L%H???;oPBIPQBWFH^J#KWP078jWGJ]^OTCO[I.DZS[URX88?0b_OBUVG\KGSA&LR[S]ZP1078jWGJ]^OTCO[I.DZS[URX:8?0b_OBUVG\KGSA&LR[S]ZP3078jWGJ]^OTCO[I.DZS[URX<;;0b_OBUVG\KGSA&LR[Sy}fmsgmehccWgoSh5aR@OVS@YHJ\L%]95aR@Q;?kTF['ZJH45aR@Q\KGSAj2dYM^Q@BTD-@f=iZHYTCO[I.E3`?kTF[VEIYK K2038jWGTWFH^J#J=_QV\4470b_K\8:lQAV(WIM=0b_K\otv:?kTB[f"Io4nSGPkpr)L8k0b_K\otv-@7dhUMZe~x#KWP^RW[5773gXN_b{{.DZS[URX98i0b_K\otv-A]VX|zcf~h`nmdf\j`rX12dYI^azt/Sa?kTB[f"_KH6:lQKHETi2dYC@M\.QCGf>hUGDIXSBLZFe9mVJKD[VEIYK Ke:lQKHETWFH^J#J>e:lQKHETWFH^J#J=129mVJKD[VEIYK K2^RW[5743gXDAN]POCWE*A4XX]U:j6`]OLAP[JDRN'OS\<:4nSMNGVYHJ\L%IU^PPU]351=iZFGH_RAMUG,F\UYW\V;:86`]OLAP[JDRN'OS\R^[_303?kTHEJYTCO[I.DZS[qune{oem`kk_ogw[a=iZFGH_RAMUG,R2>hUGD]Nm6`]OLUF*UGCj2dYC@YJ_N@VBa=iZFG\IRAMUG,Ga>hUGD]NSBLZF/F2a>hUGD]NSBLZF/F1`>hUGD]NSBLZF/S;?kSC[VLXNn5aUEQ\BVD)XHNo7c[KS^DPFZOE]O20bXJ\_HMAg>hRLZUBCO _AE`8jPBTW@EIcxzj;oWGWZOHJf(BKk;oWGWZOHJf(\?:;oWGWZOHJf"O[IOD,LQQbhRLZUBCOazt/VQWQ>7cXBA^KLFjss&K_MCH @UUf8jSKFW@EIcxz!Dd9mRHGXAFHdyy K1d9mRHGXAFHdyy K2018jSKFW@EIcxz!D3]SPZ66;2d]ALQFOCmvp+B5WY^T=i5aVLC\MJDh}}$Zj6`YM@]JKGir|'XNK<>4nWOB[LIEg|~%X_][1b9mRHGXAFHdyyQ{sho`v`gsmmUscQ7;oTSEZ@TJj1eZ]OPFR@-TDBc3g\[MRH\B^MAQC`<;4nWRB[CUEWFH^J#J=_QV\443;oTSEZ@TJVEIYK ]EF31?kPWIVLXNRAMUG,PAQ@?3g\[MRG@Bb9mRUGXAFH%\LJk;oTSEZOHJVCIYK94nVG\BVDe3g]NSK]M.QCGg>hPMVLXNRGMUG68jdkb?2dnkhjhe69skvccol30~lc B@AWvg=uid%IMNZ}.Ea8vdk(JHI_~#J>c:pbi*DFK]x%H?l4r`o,FDESz'[o7ob/CC@Pw(UMN=0~lc C@Q:?wgj'JKX"Io4r`o,GDU)L8k0~lc C@Q-@7`m7ob/BCP*A4XX]U>j6|nm.ABW+B5WY^T:45}al-@EV(Vj2xja"MNS/PFC==uid%Dbnkn;scn+Jhdm'Ni7ob/Nl`a+B6j2xja"Aacd,G6463{kf#B`le^vpmheumh~nhRv`r^;8vdk(ZHGNBo5}al-QEHCI&Mi0~lc R@OFJ+B6k2xja"\NMDL-@7763{kf#_OBEO,G6ZVSW9;:7ob/SCNAK(C:VZ_S;scn+WGJMG$O>R^[_332?wgj'[KFIC K2^RW[6763{kf#_OBEO,G6ZVSW=;:7ob/SCNAK(C:VZ_S8?>;scn+WGJMG$O>R^[_7`8vdk(ZHGNB#_k;scn+WGJMG$YIJ74r`o,VDUBDk1ym`!]ARGO*Aetfe&XJ_HB!D332?wgj'[KXIA K2^RW[5763{kf#_O\EM,G6ZVSW8;:7ob/SCPAI(C:VZ_S?l4r`o,VDUBD'[o7ob/SCPAI(UMN30~lc R@Qqbg=uid%YM^|i.Ea8vdk(ZHYyj#J>c:pbi*TF[{l%H?l4r`o,VDUun'[o7ob/SCPvc(UMN30~lc R@Qqvg=uid%YM^|}.Ea8vdk(ZHYy~#J>c:pbi*TF[{x%H??>;scn+WGTz{$O>R^[_132?wgj'[KX~ K2^RW[4b2:pbi*TF[{x%IU^PPU]157=uid%YM^|}.DZS[URX;8o0~lc R@Qqv+C_XV~xe`|jn`of`Zhb|Vh0~lc R@Qqv+Wc3{kf#_O\rs,QAB`6|nm.PP[CUJWOLo=#J>139qeh)U[VLXARHId0,G647;scn+WUXNZGTJKj=.P30?wgj'[YTJ^CPFGf1*WC@n2xja"\\_GQN[C@c;8;0~lc RR]EWHYANm9%H<<4r`o,VVYA[DUMJi=!D031?wgj'[YTJ^CPFGf0*A4692xja"\\_GQN[C@c;'[:?6|nm.PP[CUJWOLo?#\JGg9qeh)U[VLXARHId532?wgj'[YTJ^CPFGf7*A753{kf#_]PFRO\BCb3&M;:>6|nm.PP[CUJWOLo8#J=169qeh)U[VLXARHId5,G6ZVSW9;<7ob/SQ\BVKXNOn?"I1:pbi*TTWOYFSKHk5/F26>tfe&XXSK]B_GDg1+B69;1ym`!]S^DPIZ@Al<$O>?8;scn+WUXNZGTJKj9.E0\TQY39>1ym`!]S^DPIZ@Al?$O>R^[_434?wgj'[YTJ^CPFGf5*A4XX]U==<5}al-QWZ@TEVLMh; ^129qeh)U[VLXARHId7,QAB`6|nm.PP[CUJWOLo;#J>139qeh)U[VLXARHId6,G641>7:pbi*TTWOYFSKHk7/F1[URX9890~lc RR]EWHYANm=%IU^>8:pbi*TTWOYFSKHk7/G[TZVSW9;37ob/SQ\BVKXNOn<"HV__QV\54>6|nm.PP[CUJWOLo4#J=169qeh)U[VLXARHId9,G6ZVSW9;<7ob/SQ\BVKXNOn3"I1ym`!]S^DPIZ@Al1$O>R^[_534?wgj'[YTJ^CPFGf;*A4XX]U>=:5}al-QWZ@TEVLMh5 K2^RW[3763{kf#_]PFRO\BCb?&X;87ob/SQ\BVKXNOn3"_KHb:pbi*TbnMeeii5}al-QacBhfl$Oi6|nm.PfbAiim'N:i6|nm.PfbAiim'N9=>5}al-QacBhfl$O>R^[_130?wgj'[omHb`j.E0\TQY6n2xja"\jfEmma+C_X8>0~lc RddGkkc)MQZT\YQ?159qeh)UmoNdbh JXQ]SPZ76<2xja"\jfEmma+C_XVZ_S?i==95f5;gk42l3;0b?;j:79'600=:<>0q^jj:370>5<6;=h:<:4i48f8`737290:68:g6:`>pS>m0;6<4>:5``Vba2;?86=4>35`242=92:m44?;|&10a<6i>1/=o>52408f72a290?;7:j0;6ag~N59l525d8^g0=:r8;6<:5}h:a>5<#9k914l5a1c094>=n010;6)?m3;:b?k7e:3;07d69:18'5g5=0h1e=o<52:9j<1<72-;i?76n;o3a6?5<3`2;6=4+1c19!7e;32j7c?m2;78?lb02900eo950;9j3f<72-;i?76n;o3a6?0<3`=j6=4+1c19650;9ja1<722c?on4?::k623<722c3>7>5$0`0>=g5<#9k914l5a1c09a>=n1>0;6)?m3;:b?k7e:3;;76g7d;29 4d421k0bf3g;i>7?;;:k44?6=,8h865o4n0`1>f=b28;e>h6j;0:?65f7383>!7e;32j7c?m2;`8?l?4290/=o=58`9m5g4=9;10e4;50;&2f61:9j=<<72-;i?76n;o3a6?`<3`=36=4+1c19>1<75`1b394?"6j:0:nl5a1c09<>=h9k<1<7*>b282fd=i9k81n65`1c:94?"6j:0:nl5a1c095>=h9kn1<7*>b282fd=i9k81m65`1cd94?"6j:0:nl5a1c09=>=h9j91<7*>b282fd=i9k81;65`1b794?"6j:0:nl5a1c092>=h9j=1<7*>b282fd=i9k81965`1b;94?"6j:0:nl5a1c090>=h9jh1<7*>b282fd=i9k81?65`1bf94?"6j:0:nl5a1c096>=h=h<:?1<75`44394?=h>ic83:17o6l:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<1><5+1c3964=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi444?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>3;o7)?m1;3g?>{eih0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{:183!4203;jj6F=4b9j5d`=83.9954>ag9'5d0=9k1/=o?51c98ygg>29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4n;|~y>{e0<0;6<4?:1y'60>=9hl0D?:l;h3bb?6=,;?36=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?g<,<6290:6=4?{%065<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g9e>"2>m0j7psr}:a3c<7280;6=u+24:95d`<@;>h7d?nf;29 73?28km7)?n6;3;?!7e93;376sma483>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}cf;>5<6290;w)<:8;3bb>N55<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;48 00c2?1vqps4}cfa>5<5290;w)<:8;`6?M43k2c:>:4?:%06894V37b>4}K:47?nf:&2e3<6m2.:n<4>e:9~fg?=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<13-?=h784}|~?xdei3:1>7>50z&11=:54o0cf>5<#:<21=lk4H374?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i784$44g>3=zutw0qo9k:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<1=:5+1c3952=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi;o4?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>3;=7)?m1;35?>{ei:0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{7>51;294~"5=10:mk5G25a8m4ga290/>8651`d8 4g12;90(:318?xd6::0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3<0(88k:79~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8L7303_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;48 00c2?1vqps4}c674?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}c75e?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}c54>5<6290;w)<:8;3bb>N5:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:4<729q/>8651`d8L72d3`;jj7>5$37;>4ga3-;j:7?=;%3a5?7532wim=4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo:82;295?6=8r.9954>ag9K61e13<3th?;>4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo?na;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<6290;w)<:8;3bb>N510qo:n3;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<6290;w)<:8;3bb>N510qo:k1;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<6290;w)<:8;3bb>N55<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:21vn887:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:4<729q/>8651`d8L72d3`;jj7>5$37;>4ga3-;j:7;9;%3a5?3132wim:4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo7l:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<18<5+1c3904=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi554?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>38n7)?m1;0f?>{ej80;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{:183!4203;jj6F=4b9j5d`=83.9954>ag9'5d0==>1/=o?55698yggd29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4n;|~y>{e>o0;6<4?:1y'60>=9hl0D?:l;h3bb?6=,;?363-;i=7:6;:a=a<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?g<,<5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g9e>"2>m0j7psr}:a34<7280;6=u+24:95d`<@;>h7d?nf;29 73?28km7)?n6;72?!7e93?:76sm9d83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;c8 00c2h1vqps4}c;1>5<6290;w)<:8;3bb>N55<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:4<729q/>8651`d8L72d3`;jj7>5$37;>4ga3-;j:7?>;%3a5?7632wi5k4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7o4$44g>d=zutw0qo7;:182>5<7s-8>47?nf:J10f=n9hl1<7*=5982ec=#9h<1=n5+1c395f=7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1m6*:6e8b?x{zu2wi5;4?:083>5}#:<21=lh4H36`?l7fn3:1(?;7:0ce?!7f>38=7)?m1;05?>{ej90;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3k0(88k:`9~yx{:183!4203;jj6F=4b9j5d`=83.9954>ag9'5d0=;j1/=o?53b98ygd529096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4n;|~y>{e?00;6<4?:1y'60>=9hl0D?:l;h3bb?6=,;?36=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?g<,<50z&11=<6io1C>9m4o0ce>5<#:<21=lh4$0c5>12<,8h:69:4;|`60f<72:0;6=u+24:961g<@;>h7d?=7;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?75?2\:>=4={%75a?><,<o7?tVd196~"6j<0n<6X>2181!31m3;0(88k:09~yx{5<6290;w)<:8;3bb>N5!4203o;7[<:a;3xH73d28q]i>4={%3a1?c73_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8i=50;394?6|,;?36i6io0;6)<:8;3bb>"6i?0?46*>b087<>=zj=n96=4<:183!42038?m6F=4b9j571=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?94V003>7}#=?o146*:6e8;?x{zu2cn<7>5$37;>`6<^;?j6ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2;j0;6<4?:1y'60>=9hl0D?:l;n3bb?6=,;?36t$37;>72f3A8?o6g>2683>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:>1]=?>52z&62`865e19U60g=9rF99n4>{Wg0>7}#9k?1i=5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c655?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c76g?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c73=?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c641?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`6?6=93:1=9hl0(c083>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3a3?6=93:1=9hl0(b783>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3a=?6=93:1=9hl0(b983>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3aa?6=93:1=9hl0(07pl>be83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`4?6=93:1=9hl0(bg83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`0?6=93:1=9hl0(c283>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`2?6=93:1=9hl0(c483>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`=9hl0(c683>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`e?6=93:1=9hl0(c883>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`g?6=93:1=9hl0(cc83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c3`a?6=93:1=9hl0(ce83>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6f0?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c706?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c61b?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6;2?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6:7?6=93:1=9hl0(07pl;9383>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c6b1?6=93:1=9hl0(7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;:8 00c211vqps4}c72=9hl0(6<729q/>86525c8L72d3`;9;7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;313>P6:909w);9e;:8 00c211vqps4id294?"5=10n<6X=5`82I42k3;pZh=52z&2f0=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<1?i5+1c397a=52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9=9:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<18n5+1c390f=897>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9;=:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<18k5+1c390c=>=7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9l=:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<19?5+1c3917=i=7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9lj:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<19=5+1c3915=ih7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9h?:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<1?k5+1c397c=nj7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9hm:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<18=5+1c3905=mm7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8<;:182>5<7s-8>47?nf:J10f=h9hl1<7*=5982ec=#9h<1>o5+1c396g=52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnom50;394?6|,;?36i6io0;6)<:8;3bb>"6i?08n6*>b080f>=zjkh1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l037);9d;:8yx{z3tho=7>51;294~"5=10:mk5G25a8k4ga290/>8651`d8 4g12:k0(:2c8?xdc83:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi99k50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c765?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f03f29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd5=9hi0D?:l;n3ba?6=,;?36t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f034290:6=4?{%063:1N51C>894V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94V37b>4}K:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06:i4l;|~y>o6;:0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4l;%75`?e4={%3a1?74<2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8;;:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;:9;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<71=#=?n186sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e<=<1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?894?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:;5;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a01`=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e<=21<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:26=4>:183!42038>j6F=4b9l5dc=83.9954>ad98yg23j3:1:7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9:k:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2c:?<4?:%06:i4l;|~y>o6;;0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9g>"2>m0h7psr}:k276<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?76<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?2<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;68 00c2=1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8i:50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6g3?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1c6290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj=n36=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi8il50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8im50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8ij50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8ik50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8ih50;694?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:i4>;|~y>{e47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:j2;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<71=#=?n186sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e=:l1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>?i4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a11g=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e==;1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;;2;295?6=8r.9954=5g9K61e894?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>884?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>8;4?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>8:4?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>854?:583>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2<:0;6;4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4>;%75`?74={%3a1?74:2\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8:m:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g90>"2>m0?7psr}:k26<<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5;j0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9e>"2>m0j7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th98;4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl=3d83>6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K::186>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th98?4?:483>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`4=zutw0qo<;3;291?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<2290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a616=83?1<7>t$37;>4gc3A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<74=#=?n1=6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`17a<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:i4>;|~y>{e<9<1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?=>4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7d=#=?n1m6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8<<50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:?b;297?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:?c;290?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{;h7>57;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1:6*:6e85?x{zu2c:>44?:%062.>:i49;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1o6*:6e8`?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{;i7>57;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1:6*:6e85?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:?<5Y13296~"2>l0h7);9d;a8yx{z3`;8>7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f16a29036=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7m4$44g>f=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8<>50;:94?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7482\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7m4$44g>f=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<7f=#=?n1o6sr}|9j562=83.9954>269K601<^;?j6:i4>;|~y>{e<931<7650;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<63-?=h7?4}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7?4$44g>4=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi95k50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`6h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg3>:3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<;3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<<3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<=3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd21?0;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6=2<72=0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;38 00c281vqps4i012>5<#:<21=?94V37b>4}K:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`<63-?=h7?4}|~?l74<3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<4:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f42229096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9=i1<7=50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:j6=49:183!4203;996F=4b9'56>=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo?;7;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f42e29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f42c29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9<>1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:944?:283>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=8950;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N544?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j62wvqp5`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9<81<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0=7);9d;48yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c367?6=>3:1N51C>894V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:9=4?:583>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`21=<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`210<72<0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4k;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8b?!31l3k0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo<8e;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>5>50;394?6|,;?36i6il0;6)<:8;3ba>=zj;=o6=4;:183!420347?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7`629096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e:o21<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;c8 00c2h1vqps4o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h645rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1b1<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm2g794?3=83:p(?;7:7a8L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:i4>;|~y>{e:o81<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?h6:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a9=>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd5nl0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5no0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?hk:187>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7`f29086=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>>k:185>5<7s-8>47?=5:J10f=#9:21j6g>2683>!4203;9;6X=5`82I42k3;pZ:i4>;|~y>ock3:1(?;7:ea8R73f28qG>8m51zT2e0<5s-;i97jl;W314?4|,<{zut1bhi4?:%06m74}Q9h?1>v*>b48g`>P6:909w);9e;18 00c2:1vqpB=5c87S43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?o0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?n0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4kc:&06f<2>l1v(;>l:89~R4d32;q]i<4={%75g?bc3-99o7;9e:'25e=m2wvq6g=4983>!42038?46X=5`81I42k3;pZ:i4k;|~H73e28q]>8?52zTf5?4|,<o5;=0;6)<:8;000>P5=h09wA<:c;3xR4g22;q/=o;52268R4472;q/9;k5d:&62a7533-99o7;9e:'25e=l2wvq6a>ad83>!4203;ji65rb226>5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd48?0;6<4?:1y'60>=:=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo=?9;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e;9k1<7:50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th8<:4?:483>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c431?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f36e290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj?:;6=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi:==50;194?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi:=<50;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn;>9:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{:i4>;|~y>{e>9=1<7950;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94V37b>4}K:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo8?8;293?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8g?!31l3n0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a66d=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=12w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e::=1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<<8;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8b?!31l3k0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo<76;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>5650;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0h7);9d;a8yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;a8 00c2j1vqps4i017>5<#:<21=?94V37b>4}K:3783>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;?1]=?>52z&62`f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744f3_;9<74=#=?n1=6sr}|9j57d=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?l4V003>7}#=?o1=6*:6e82?x{zu2c:>n4?:%06{M06g?7|^l91>v*>b4826f=Q9;:1>v*:6d82?!31l3;0qpsr;h31`?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;l0Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th94n4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<63;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4n;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`1<`<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6=`=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb3;3>5<693:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4i012>5<#:<21=?94V37b>4}K:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`f=zutw0e<=::18'60>=9;=0Z?;n:0yO60e=9r\n?74523_;9<7f=#=?n1o6sr}|9j560=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>84V003>7}#=?o1o6*:6e8`?x{zu2c:?:4?:%06{M06g?7|^l91>v*>b48272=Q9;:1>v*:6d8`?!31l3i0qpsr;h31e?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;i0Z<:i4>;|~y>o6:m0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2e9U576=:r.>:h4>;%75`?74={%3a1?75m2\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6=b=83>1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1e6<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6d4=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=12w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e:0o1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg4f83:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<l3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0b0?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7ge29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9m:4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e:h21<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e:h?1<7:50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6de=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5j:0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e:k81<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i156sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi>lk50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?oi:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?l;:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1fa<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6ge=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=12w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e:k<1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg4e03:197>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6gg=83;:6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1;6*:6e84?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d84?!31l3=0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74<2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1b=>850;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79560<^88;6?u+57g9`>"2>m0o7psr}:k272<72-8>47?=7:J112=Q:2`83>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1h6*:6e8g?x{zu2c:>o4?:%06{M06g?7|^l91>v*>b4826g=Q9;:1>v*:6d82?!31l3;0qpsr;h31g?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;o0Z<:i4>;|~y>o6:o0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2g9U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0aa?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7e429096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e:j31<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;c8 00c2h1vqps4o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h645rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;58 00c2>1vqps4i00:>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<03-?=h794}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i794$44g>2=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<72=#=?n1;6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>n;50;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?14={%3a1?7512\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g93>"2>m0<7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0<7);9d;58yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;58 00c2>1vqps4i017>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<4:T265<5s-?=i794$44g>2=zutw0e<=::18'60>=9;=0Z?;n:0yO60e=9r\n?74523_;9<72=#=?n1;6sr}|9j560=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>84V003>7}#=?o1h6*:6e8g?x{zu2c:?:4?:%06:i4k;|~y>o6:h0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957g<^88;6?u+57g9`>"2>m0o7psr}:k26g<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>o5Y13296~"2>l0:7);9d;38yx{z3`;9o7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31g>P6:909w);9e;38 00c281vqps4i00g>5<#:<21=?94V37b>4}K:2g83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:o1]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qoae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9ol4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4n;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`1gf<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a6fb=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb3af>5<0290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4l;%75`?e4={%3a1?74;2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?mm:187>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>i750;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?g<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?j7:185>5<7s-8>47?=5:J10f=#9:21j6g>2683>!4203;9;6X=5`82I42k3;pZ:i4>;|~y>ock3:1(?;7:ea8R73f28qG>8m51zT2e0<5s-;i97jl;W314?4|,<{zut1bhi4?:%06m74}Q9h?1>v*>b48g`>P6:909w);9e;18 00c2:1vqpB=5c87S43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?o0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?n0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4kc:&06f<2>l1v(;>l:89~R4d32;q]i<4={%75g?bc3-99o7;9e:'25e=m2wvq6g=4983>!42038?46X=5`81I42k3;pZ:i4k;|~H73e28q]>8?52zTf5?4|,<o5;=0;6)<:8;000>P5=h09wA<:c;3xR4g22;q/=o;52268R4472;q/9;k5d:&62a7533-99o7;9e:'25e=l2wvq6a>ad83>!4203;ji65rb3f7>5<4290;w)<:8;061>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0g1?6=93:1=9ho07pl=d783>=<729q/>8656b9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7492\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0g7?6=<3:1N51C>894V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo5<4290;w)<:8;061>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0j7);9d;c8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c0f4?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg4ck3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5lm0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0h7);9d;a8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`4=zutw0qo44?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?k6:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;;8yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c0f0?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1a0<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm2d494?76290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4l;%75`?e4={%3a1?74;2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=;;W314?4|,<{zut1b=>;50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79563<^88;6?u+57g9g>"2>m0h7psr}:k273<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?;5Y13296~"2>l0h7);9d;a8yx{z3`;8;7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;303>P6:909w);9e;38 00c281vqps4i00b>5<#:<21=?94V37b>4}K:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`<63-?=h7?4}|~?l75l3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=d:T265<5s-?=i7?4$44g>4=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<74=#=?n1=6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`1a6<72=0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c11f?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1m6*:6e8b?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`06d<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4:=0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;;?1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f640290>6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?0<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn><7:185>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`3=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi??850;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?7;:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3k0(88k:`9~yx{5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`><=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f7?129086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<?3:1=7>50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`1==<728;1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0h7);9d;a8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<6:T265<5s-?=i7m4$44g>f=zutw0e<=8:18'60>=9;=0Z?;n:0yO60e=9r\n?74503_;9<7f=#=?n1o6sr}|9j57g=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1o6*:6e8`?x{zu2c:>o4?:%06{M06g?7|^l91>v*>b4826g=Q9;:1>v*:6d8`?!31l3i0qpsr;h31g?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;o0Z<:i4>;|~y>o6:o0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2g9U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<=3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c13a?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f673290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d201vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:;;6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd49;0;684?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?76<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7`3=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4ml0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9e>"2>m0j7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th8j<4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:k6:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>kn:1825?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j62wvqp5f12294?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<2.>:i49;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h49;%75`?04={%3a1?74:2\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g92>"2>m0=7psr}:k270<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?85Y13296~"2>l0=7);9d;48yx{z3`;8:7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;?1]=?>52z&62`<13-?=h784}|~?l74?3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48272=Q9;:1>v*:6d85?!31l3<0qpsr;h31e?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?75j2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?j50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957b<^88;6?u+57g9g>"2>m0h7psr}:k26`<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>h5Y13296~"2>l0h7);9d;a8yx{z3`;9j7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31b>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:1;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j62wvqp5f13;94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g92>"2>m0=7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0=7);9d;48yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;48 00c2?1vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`<13-?=h784}|~?l74>3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48273=Q9;:1>v*:6d85?!31l3<0qpsr;h303?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h49;%75`?04={%3a1?75i2\:>=4={%75a?0<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;a8 00c2j1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6cd290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<13-?=h784}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i784$44g>3=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<73=#=?n1:6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1:6*:6e85?x{zu2c:?84?:%06{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d85?!31l3<0qpsr;h302?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>379U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?:5Y13296~"2>l0=7);9d;48yx{z3`;9m7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;48 00c2?1vqps4i00a>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=b:T265<5s-?=i7m4$44g>f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744d3_;9<7f=#=?n1o6sr}|9j57b=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?j4V003>7}#=?o1o6*:6e8`?x{zu2c:>h4?:%06{M06g?7|^l91>v*>b4826`=Q9;:1>v*:6d8`?!31l3i0qpsr;h31b?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<693:1N51C>894V37b>4}K:44>3_;9<73=#=?n1:6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1:6*:6e85?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d85?!31l3<0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3<0(88k:79~yx{2wvqp5f12694?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9:>0Z<2.>:i49;|~y>o6;<0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>349U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?;5Y13296~"2>l0=7);9d;48yx{z3`;8;7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;>1]=?>52z&62`<13-?=h784}|~?l75i3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=a:T265<5s-?=i784$44g>3=zutw0e<=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;n0Z<:i4l;|~y>o6:l0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2d9U576=:r.>:h4l;%75`?e4={%3a1?75n2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>k7:185>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<74=#=?n1=6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0ac<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7a=#=?n1h6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`22=<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:6=49:183!4203;996F=4b9'56>=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`22d<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm17a94?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:54?:%062.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g92>"2>m0=7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;48 00c2?1vqps4i011>5<#:<21=?94V37b>4}K:3583>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`<13-?=h784}|~?l74=3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<5:T265<5s-?=i784$44g>3=zutw0e<=9:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{2wvqp5f13c94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;k0Z<:i4l;|~y>o6:k0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957d<^88;6?u+57g9g>"2>m0h7psr}:k26f<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>n5Y13296~"2>l0:7);9d;38yx{z3`;9h7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31`>P6:909w);9e;38 00c281vqps4i00f>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6>k0;6>4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e9?l1<7:50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo?80;291?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1h6*:6e8g?x{zu2c:?=4?:%06:i4k;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77383>3<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7j4$44g>a=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7a=#=?n1h6sr}|9j564=83.9954>269K601<^;?j6:i4>;|~y>{e9>91<7;50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6??0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo?87;297?6=8r.9954=549K61e4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a52>=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb05b>5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=:750;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn?kn:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a9=>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f7cc290:6=4?{%06=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4l;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g9g>"2>m0h7psr}:k270<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?85Y13296~"2>l0h7);9d;a8yx{z3`;8:7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;302>P6:909w);9e;a8 00c2j1vqps4i014>5<#:<21=?94V37b>4}K:2c83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:k1]=?>52z&62`f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744c3_;9<74=#=?n1=6sr}|9j57c=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?k4V003>7}#=?o1=6*:6e82?x{zu2c:>k4?:%06{M06g?7|^l91>v*>b4826c=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{54;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h645rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%06k?:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=j1;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6ba29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6`429096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e;o31<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i156sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi?k;50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>h9:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j62wvqp5f13;94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7c2=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1=4=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2000;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9e>"2>m0j7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>4n4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl:8283>6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%062.>:i49;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?74:2\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;a8 00c2j1vqps4i017>5<#:<21=?94V37b>4}K:=83:p(?;7:7a8L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4l;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c7;1?6=<3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{57;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1h6*:6e8g?x{zu2c:>44?:%06:i4k;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?<5Y13296~"2>l0o7);9d;f8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;f8 00c2m1vqps4i010>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7j4$44g>a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f10529096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e<>:1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?:54?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:9f;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c657?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`721<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm47494?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f10229086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8;o50;794?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7482\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a03d=83<1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0<7);9d;58yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d84?!31l3=0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn98l:185>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1h6*:6e8g?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f03c29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=?>1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi98k50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c76b?6=93:1=9ho07pl:6083><<729q/>8656b9K61e2wvqp5f13;94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<2.>:i49;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h49;%75`?04={%3a1?7492\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g92>"2>m0=7psr}:k271<72-8>47?=7:J112=Q:3483>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;<1]=?>52z&62`4=zutw0qo;92;29=?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i784$44g>3=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<73=#=?n1:6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1:6*:6e85?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d85?!31l3<0qpsr;h300?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{6=4+24:9571<^;?j6:i4>;|~y>{e=?:1<7=50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:6=4<:183!420347?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9<>50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c73f?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e=9i1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f06b29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f06a29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f06c29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:i4>;|~y>{e=891<7950;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d84?!31l3=0qpsr;h306?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8?;:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8?::186>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8:950;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c64`?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1>329086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=<47>53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?;44?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e<>h1<7:50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3?l0;6;4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7482\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;f8 00c2m1vqps4i011>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?<5Y13296~"2>l0<7);9d;58yx{z3`;8>7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1>7290<6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1;6*:6e84?x{zu2c:>44?:%06:i48;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g93>"2>m0<7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1>6290?6=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d86?!31l3?0qpsr;|`2b3<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5c1=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb0d:>5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd21k0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo;6c;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi94h50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9l>50;594?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2i80;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4l;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4l;%75`?e4={%3a1?74;2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn87j:187>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f17329096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e<8k1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?>=4?:783>5}#:<21=?;4H36`?!7403l0e<<8:18'60>=9;=0Z?;n:0yO60e=9r\:m84={%3a1?75?2\:>=4={%75a?7<,<o7?tV0c6>7}#9k?1hn5Y13296~"2>l0:7);9d;38yx{z3`no6=4+24:9`a=Q:vB=5b82S7f=38p(ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<ab<,:8h688j;|Tf6?4|,<00b3t.==:=20Z?;n:3yO60e=9r\:m84={%3a1?4302\:>=4={%75a?b<,<=7j09855+33a913c8652268R73f2;qG>8m51zT2e0<5s-;i97<<4:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b8171=#;;i19;k4}%43g?b=9ho07pl;1483>6<729q/>8652478L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9?6:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9?8:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:>b;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<03-?=h794}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i794$44g>2=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<72=#=?n1;6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1;6*:6e84?x{zu2c:?;4?:%06:i48;|~y>o6;>0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>l5Y13296~"2>l0o7);9d;f8yx{z3`;9n7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;f8 00c2m1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f17d290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<03-?=h794}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i794$44g>2=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<72=#=?n1;6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1;6*:6e84?x{zu2c:?84?:%06{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d84?!31l3=0qpsr;h302?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>379U576=:r.>:h48;%75`?14={%3a1?74?2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;f8 00c2m1vqps4i00a>5<#:<21=?94V37b>4}K:2e83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:m1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744a3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi85<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<72=#=?n1;6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1;6*:6e84?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74>2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=8;W314?4|,<{zut1b=?o50;&11=<6:>1C>894V37b>4}K:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<7a=#=?n1h6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`75`<72=0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?><4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:=d;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c616?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e<;91<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f14229086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f14129086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f14029086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{947>53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{957>54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`4=zutw0qo:=b;292?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j62wvqp5f12294?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3:=0;654?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4>;%75`?74={%3a1?74:2\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th8n<4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=m9;292?6=8r.9954>249K61e<,8936<>4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`0f7<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg5e=3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>l8:186>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{54;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1:0?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6?d290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:3>6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4110;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4100;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd41h0;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:i4>;|~y>{e;0=1<7:50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`07=<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4;=0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;:?1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f65129086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0`529096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=oo1<7850;2x 73?288>7E<;c:&27=<682c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6b1<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm5g494?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{55;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:k274<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2nh0;6;4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2c:?<4?:%06:i4l;|~y>o6;;0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>jo4?:683>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4l;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>jn4?:683>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<7f=#=?n1o6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8`?!31l3i0qpsr;h307?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<74=#=?n1=6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1=6*:6e82?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>:i:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo=;e;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c173?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e;=21<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f62f290?6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<1290;w)<:8;4`?M43k2c:>54?:%06:i4l;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d85?!31l3<0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:4563_;9<7f=#=?n1o6sr}|9j564=83.9954>269K601<^;?j6:i4>;|~y>{e;=31<7:50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0e7<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd41l0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;0l1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f6g729086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f63f29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e;?91<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;c8 00c2h1vqps4o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%06;m:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=:c;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c16b?6=<3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo=90;290?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{h7>54;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1b7?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6g?290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:k?6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4i?0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4i00;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo=na;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi?lj50;794?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7dc=83?1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?lm50;194?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>;?:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a96>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{>7>51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4==0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4=<0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%062.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c167?6==3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a73c=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7o4$44g>d=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f60c290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:<>6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4>10;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<73=#=?n1:6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`02<<72<0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<2290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a73d=83?1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?e<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?;950;794?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9:n4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<82;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4n;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`12a<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a63c=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb34e>554?:%06:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:3583>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`4=zutw0qo<83;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<4290;w)<:8;061>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0j7);9d;c8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c04e?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg40<3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5?<0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f71?290<6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1C>894V37b>4}K:54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1`d=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2n80;6;4?:1y'60>=9;?0D?:l;%30P6:909w);9e;38 00c281vqps4iea94?"5=10oo6X=5`82I42k3;pZ52z&62`<63-?=h7?4}|~?lbc290/>865de9U60g=:rF99n4>{W3b1?4|,8h>6ij4V003>7}#=?o1?6*:6e80?x{zD;?i69uY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<b581Sc62;q/9;m5de9'77e==?o0q)8?c;g8yx{36=4+24:961><^;?j6?uC24a95~P6i<09w)?m5;07<>P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5<11/??m557g8y!07k3n0qps4i317>5<#:<21>>:4V37b>7}K:a481!7e=38886X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=359'77e==?o0q)8?c;f8yx{i;4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9h950;394?6|,;?36?;i;I07g>i6il0;6)<:8;3ba>=zj47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%062.>:i49;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1`g=83=1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7f=#=?n1o6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1o6*:6e8`?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>in4?:983>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g93>"2>m0<7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06:i4k;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9`>"2>m0o7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c7f`?6=03:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`a=zutw0e<==:18'60>=9;=0D?;8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=;;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1`c=8321<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;f8 00c2m1vqps4i013>5<#:<21=?94V37b>4}K:4563_;9<7a=#=?n1h6sr}|9j564=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4k;|~y>o6;=0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>ik4?:583>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`27<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:?o4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e9:n1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9:o1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9:l1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9=:1<7=50;2x 73?2?i0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e9=;1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{:i4>;|~y>{e9=81<7:50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a56e=83=1<7>t$37;>4gc3A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<74=#=?n1=6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1=6*:6e82?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d82?!31l3;0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7=d=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e;1=1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg5?13:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%066k:180>5<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=7e;295?6=8r.9954=5g9K61e5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4180;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a7a4=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4l>0;6;4?:1y'60>=9;?0D?:l;%30P6:909w);9e;38 00c281vqps4iea94?"5=10oo6X=5`82I42k3;pZ52z&62`<63-?=h7?4}|~?lbc290/>865de9U60g=:rF99n4>{W3b1?4|,8h>6ij4V003>7}#=?o1?6*:6e80?x{zD;?i69uY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<{#>9i156sY25;97~Pb938p(88l:ef8 64d2<b581Sc62;q/9;m5de9'77e==?o0q)8?c;g8yx{36=4+24:961><^;?j6?uC24a95~P6i<09w)?m5;07<>P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5<11/??m557g8y!07k3n0qps4i317>5<#:<21>>:4V37b>7}K:a481!7e=38886X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=359'77e==?o0q)8?c;f8yx{4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?i:50;394?6|,;?36?;i;I07g>i6il0;6)<:8;3ba>=zj:n>6=4<:183!420347?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8=;50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=if;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<13-?=h784}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i784$44g>3=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<73=#=?n1:6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1:6*:6e85?x{zu2c:?;4?:%062.>:i49;|~y>o6;>0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79561<^88;6?u+57g92>"2>m0=7psr}:k26d<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>l5Y13296~"2>l0=7);9d;48yx{z3`;9n7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:k1]=?>52z&62`f=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744c3_;9<7f=#=?n1o6sr}|9j57c=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?k4V003>7}#=?o1o6*:6e8`?x{zu2c:>k4?:%06{M06g?7|^l91>v*>b4826c=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{;<7>51083>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<73=#=?n1:6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1:6*:6e85?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d85?!31l3<0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{2wvqp5f12794?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9:?0Z<2.>:i49;|~y>o6;?0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79560<^88;6?u+57g92>"2>m0=7psr}:k272<72-8>47?=7:J112=Q:2`83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:h1]=?>52z&62`<13-?=h784}|~?l75j3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826g=Q9;:1>v*:6d8`?!31l3i0qpsr;h31g?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;o0Z<:i4l;|~y>o6:o0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2g9U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{2wvqp5f12394?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<2.>:i49;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h49;%75`?04={%3a1?74;2\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=;;W314?4|,<{zut1b=>;50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79563<^88;6?u+57g92>"2>m0=7psr}:k273<72-8>47?=7:J112=Q:3683>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>94V003>7}#=?o1:6*:6e85?x{zu2c:>l4?:%06{M06g?7|^l91>v*>b4826d=Q9;:1>v*:6d85?!31l3<0qpsr;h31f?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>2c9U576=:r.>:h4l;%75`?e4={%3a1?75k2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?k50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957c<^88;6?u+57g9g>"2>m0h7psr}:k26c<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>k5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c636?6=980;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7512\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g92>"2>m0=7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0=7);9d;48yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;48 00c2?1vqps4i017>5<#:<21=?94V37b>4}K:3783>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>84V003>7}#=?o1:6*:6e85?x{zu2c:?:4?:%062.>:i49;|~y>o6:h0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2`9U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>o5Y13296~"2>l0h7);9d;a8yx{z3`;9o7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31g>P6:909w);9e;a8 00c2j1vqps4i00g>5<#:<21=?94V37b>4}K:2g83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:o1]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:?3;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4i013>5<#:<21=?94V37b>4}K:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<13-?=h784}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i784$44g>3=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<73=#=?n1:6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1:6*:6e85?x{zu2c:?;4?:%062.>:i49;|~y>o6;>0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>l5Y13296~"2>l0h7);9d;a8yx{z3`;9n7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;a8 00c2j1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6`c290<6=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g95>"2>m0:7psr}:k276<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?>5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c36e?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f40029086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4n;%75`?g4={%3a1?7fm2\:>=4={%75a?7<,<3:1:7>50z&11=<6:<1C>9m4$01;>c=n9;=1<7*=598262=Q:!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=n7>53;294~"5=109985G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<;i:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<8?:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<8>:187>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;48 00c2?1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f404290?6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn<;k:18;>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7?4$44g>4=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<74=#=?n1=6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1=6*:6e82?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d82?!31l3;0qpsr;h300?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5<1=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7o4$44g>d=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4?1290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj82i6=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?78b83>4<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi=5k50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=5h50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=4>50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=4?50;694?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j62wvqp5`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e9081<7:50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5<5=83>1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94V37b>4}K:5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=5j50;:94?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;38 00c281vqps4i017>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`03d<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4??0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;>=1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f61?29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0e329096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=j31<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi9n;50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8m9:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8j>:185>5<7s-8>47?=5:J10f=#9:21j6g>2683>!4203;9;6X=5`82I42k3;pZ:i4>;|~y>ock3:1(?;7:ea8R73f28qG>8m51zT2e0<5s-;i97jl;W314?4|,<{zut1bhi4?:%06m74}Q9h?1>v*>b48g`>P6:909w);9e;18 00c2:1vqpB=5c87S43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?o0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4>269'77e==?n0q)8?c;;8yS43139pZh?52z&62fn4:6d9~R`4=:r.>:n4kc:&06f<2>l1v(;>l:39~R4d32;q]i<4={%75g?bc3-99o7;9e:'25e=m2wvq6g=4983>!42038?46X=5`81I42k3;pZ:i4k;|~H73e28q]>8?52zTf5?4|,<o5;=0;6)<:8;000>P5=h09wA<:c;3xR4g22;q/=o;52268R4472;q/9;k5d:&62a7533-99o7;9e:'25e=l2wvq6a>ad83>!4203;ji65rb4aa>5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2kj0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo;lf;290?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?76<729q/>8651`f8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6`2<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd2l:0;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e=m>1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f0b229086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0b?29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e=l>1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi9i750;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8jn:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`4=zutw0qo;j0;292?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?l7483:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8k>:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:>45Y13296~"2>l0=7);9d;48yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7m4$44g>f=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7f=#=?n1o6sr}|9j565=83.9954>269K601<^;?j6:i4>;|~y>{e=l81<7950;2x 73?2?i0D?:l;h312181!31m3<0(88k:79~yx{4={%3a1?7512\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6`g<7210;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;38 00c281vqps4i010>5<#:<21=?94V37b>4}K:1<7*=598262=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2i3:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi9o4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7482\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7m4$44g>f=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9k4?:683>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94V37b>4}K:2<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?e<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a24<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7512\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;a8 00c2j1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7m4$44g>f=zutw0e<==:18'60>=9;=0D?;8;W06e?7|D;?h66X>2181!31m3i0(88k:b9~yx{4={%3a1?74;2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn;<50;:94?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`f=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74<2\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8j50;494?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c34a?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4>>290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj8=m6=4<:183!42038>96F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?78183>4<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi=5<50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=5=50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd60>0;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2<4<72>0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;38 00c281vqps4i010>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2e1<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd6100;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e90k1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f4?d29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4?c29086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4?b290?6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7482\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1o6*:6e8`?x{zu2c:?<4?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7a083>=<729q/>8656b9K61e2wvqp5f13;94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:4563_;9<7f=#=?n1o6sr}|9j564=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79562<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:m?4?:983>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7f=#=?n1o6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2c:??4?:%06:i4l;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9g>"2>m0h7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c3:f?6=03:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4>;|~y>o6;=0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2`4<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm1e194?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2`3<72?0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2c:?=4?:%06:i4l;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6l>0;6;4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1C>894V37b>4}K:1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74533_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=i<50;594?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>;;50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=>5;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f67129086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`05=<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`07<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:>6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8b?!31l3k0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo=:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi?>j50;794?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a76c=83=1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7m4$44g>f=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?74:2\:>=4={%75a?e<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a76`=83=1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:??5Y13296~"2>l0h7);9d;a8yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:;6=48:183!420347?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06:i4l;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9g>"2>m0h7psr}:k277<72-8>47?=7:J112=Q:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo=ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>:=:186>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9`>"2>m0o7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`031<72?0;6=u+24:9573<@;>h7)?<8;d8m440290/>8651358R73f28qG>8m51zT2e0<5s-;i97?=7:T265<5s-?=i7?4$44g>4=zutw0eim50;&11={M06g?7|^8k>6?u+1c79`f=Q9;:1>v*:6d82?!31l3;0qpsr;hfg>5<#:<21hi5Y24c96~J5=j0:w[?n5;0x 4d22mn0Z<:i4<;|~H73e2=q]>9753zTf5?4|,<00b3t\n>74403-99o7;9e:'25e=12w]>9753zTf5?4|,<00b3t\n>74403-99o7;9d:'25e=12w]>9753zTf5?4|,<00b3t\n>7ae<,:8h688j;|&54f<53t\:n94={Wg2>7}#=?i1hi5+33a913c86525:8R73f2;qG>8m51zT2e0<5s-;i97<;8:T265<5s-?=i7j4$44g>a=zutF99o4>{W065?4|^l;1>v*:6b810==#;;i19;k4}%43g?b=::>0Z?;n:3yO60e=9r\:m84={%3a1?44<2\:>=4={%75a?b<,<=7j09?95+33a913c8651`g8?xd4?90;6>4?:1y'60>=:2181!31m3;0(88k:09~yx{:i4>;|~y>{e;>;1<7?50;2x 73?2;?m7E<;c:m2e`<72-8>47?ne:9~f61529086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i784$44g>3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f61e29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e;1?1<7850;2x 73?288>7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi?:m50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn>9k:182>5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d8`?!31l3i0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{7>54;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th84>4?:983>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{4={%3a1?7492\:>=4={%75a?e<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;a8 00c2j1vqps4i010>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i7m4$44g>f=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?:k50;494?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1ae?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f6da290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj:hi6=4<:183!42038>96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd4jm0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4k90;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo=l1;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi?n=50;194?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?04={%3a1?7fm2\:>=4={%75a?7<,<3:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?i?50;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N544?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0gg<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{e;ji1<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c1``?6=;3:1N51C>894V37b>4}K:44>3_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?nk50;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<72=#=?n1;6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1;6*:6e84?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74>2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=8;W314?4|,<{zut1b=?o50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957g<^88;6?u+57g9`>"2>m0o7psr}:k26g<72-8>47?=7:J112=Q:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`<63-?=h7?4}|~?l75l3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=d:T265<5s-?=i7?4$44g>4=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<74=#=?n1=6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`0gc<72<0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c7aa?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0e4290=6=4?{%06o6:>0;6)<:8;313>P5=h0:wA<:c;3xR4g22;q/=o;51358R4472;q/9;k51:&62a<63twvq6gkc;29 73?2mi0Z?;n:0yO60e=9r\:m84={%3a1?bd3_;9<74=#=?n1=6sr}|9j`a<72-8>47jk;W06e?4|D;?h62181!31m390(88k:29~yxJ5=k0?w[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557g8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62f<6:>1/??m557f8y!07k330q[<;9;1xR`7=:r.>:n4kd:&06f<2>l1vZh<52z&62fn4:6d9~ 36d2;1vZo5<10;6)<:8;07<>P5=h09wA<:c;3xR4g22;q/=o;525:8R4472;q/9;k5d:&62a72?3-99o7;9e:'25e=l2wvq6g=3583>!42038886X=5`81I42k3;pZ0Z<:i4k;|~H73e28q]>8?52zTf5?4|,<i6il0;6)<:8;3ba>=zj96F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{51;294~"5=1099k5G25a8k4gb290/>8651`g8?xd2k80;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd1=3:1>7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:&27=5$37;>4403_8>m7?tL37`>4}Q9h?1>v*>b48262=Q9;:1>v*:6d82?!31l3;0qpsr;hf`>5<#:<21hn5Y24c95~J5=j0:w[?n5;0x 4d22mi0Z<:i4>;|~y>ocl3:1(?;7:ef8R73f2;qG>8m51zT2e0<5s-;i97jk;W314?4|,<5+57f97>{zuE8>n7:tV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862`=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3;9;6*<2b862a=z,?:h645rV36:>6}Qm809w);9c;fg?!55k3?=i6sYe381!31k3nh7)==c;75a>{#>9i1>6sY1c696~Pb938p(88l:ef8 64d2<5$37;>72?3_8>m74}Q9h?1>v*>b4810==Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=:=20(>l0o7);9d;f8yx{K:5$37;>4gb32wi:;4?:283>5}#:<21>8;4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi::4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e>10;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd5>?0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo<97;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>;750;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7m4$44g>f=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi?i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?73<729q/>8651378L72d3-;847h4i004>5<#:<21=?94V37b>4}K:a481!7e=3;9;6X>2181!31m3;0(88k:09~yx{P5=h0:wA<:c;3xR4g22;q/=o;5db9U576=:r.>:h4>;%75`?7=lm1]>8o52zN11f<6s_;j97ab<^88;6?u+57g97>"2>m087psrL37a>1}Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>{Q:=31?vXj1;0x 00d2mn0(>:3y'13e=lm1/??m557g8y!07k3o0qps4i36;>5<#:<21>964V37b>7}K:a481!7e=38?46X>2181!31m3n0(88k:e9~yxJ5=k0:w[<:1;0xR`7=:r.>:n4=499'77e==?o0q)8?c;f8yx{P6:909w);9e;f8 00c2m1vqpB=5c82S42938pZh?52z&62f<5;=1/??m557g8y!07k3n0qps4o0cf>5<#:<21=lk4;|`05f<72:0;6=u+24:9603<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a74b=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rb23e>5<1290;w)<:8;4`?M43k2c:>54?:%062.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0=7);9d;48yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<13-?=h784}|~?l74:3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d85?!31l3<0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j62wvqp5f13;94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<2.>:i49;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g92>"2>m0=7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`4=zutw0qo=>e;297?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c106?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg55m3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd4:o0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo=k8;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f6b>29086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`0`g<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`65<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:=n2c:>:4?:%06{M06g?7|^8k>6?u+1c79571<^88;6?u+57g95>"2>m0:7psr}:kgg?6=,;?36im4V37b>4}K:a481!7e=3nh7[?=0;0x 00b281/9;j51:~yx=nlm0;6)<:8;fg?S42i38p@?;l:0yU5d3=:r.:n84kd:T265<5s-?=i7=4$44g>6=zutF99o4;{W07=?5|^l;1>v*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913cv*:6b8g`>"4:j0>:h5rVd096~"2>j0:>:5+33a913bv*:6b8g`>"4:j0>:h5rVd096~"2>j0oo6*<2b862`=z,?:h6?5rV0`7>7}Qm809w);9c;fg?!55k3?=i6s+61a9a>{zu2c9854?:%066?u+1c7961><^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;>37)==c;75a>{#>9i1h6sr}:k171<72-8>47<<4:T11d<5sE8>o7?tV0c6>7}#9k?1>>:4V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2e:mh4?:%065<7s-8>47<:5:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;>b;295?6=8r.9954=5g9K61e=n4?:283>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`a=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{5<3290;w)<:8;4`?M43k2c:>54?:%06:i4k;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4k;%75`?b4={%3a1?7482\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn85<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;9f;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<1290;w)<:8;311>N565f:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f01729086=4?{%06:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>;<4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e=>81<7=50;2x 73?2?i0D?:l;h312181!31m3<0(88k:79~yx{4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn89::181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a96>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f010290:6=4?{%06N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74e?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74f?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74g?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c74`?6=<3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo;8e;291?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1o6*:6e8`?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8`?!31l3i0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;a8 00c2j1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7m4$44g>f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f01?29036=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g95>"2>m0:7psr}:k276<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?>5Y13296~"2>l0:7);9d;38yx{z3`;887>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;300>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi9l750;494?6|,;?36<<:;I07g>"6;10m7d?=7;29 73?288<7[<:a;3xH73d28q]=l;52z&2f0<6:>1]=?>52z&62`<63-?=h7?4}|~?lbd290/>865db9U60g=9rF99n4>{W3b1?4|,8h>6im4V003>7}#=?o1=6*:6e82?x{zu2coh7>5$37;>ab<^;?j6?uC24a95~P6i<09w)?m5;fg?S75838p(88j:29'13b=;2wvqA<:b;6xR72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>l1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7?=7:&06f<2>m1v(;>l:89~R72>2:q]i<4={%75g?bc3-99o7;9e:Ua7<5s-?=o7jl;%11g?31m2w/:=m52:U5g2=:r\n=7ab<,:8h688j;|&54f37[<:a;0xH73d28q]=l;52z&2f0<5<11]=?>52z&62`7}#=?i1>964$20`>00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a9662<,:8h688j;|&54f54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo;na;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a1g1=83<1<7>t$37;>4423A8?o6*>398e?l75?3:1(?;7:004?S42i3;p@?;l:0yU5d3=:r.:n84>269U576=:r.>:h4>;%75`?7=lj1]>8o51zN11f<6s_;j97ae<^88;6?u+57g95>"2>m0:7psr}:kg`?6=,;?36ij4V37b>7}K:a481!7e=3no7[?=0;0x 00b2:1/9;j53:~yI42j3>pZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6d9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?75?2.8>n4:6e9~ 36d201vZ?:6:2yUa4<5s-?=o7jk;%11g?31m2w]i?4={%75g?bd3-99o7;9e:'25e=:2w]=o:52zTf5?4|,<00b3t.=:h4k;%75`?b8l51zT114<5s_o:6?u+57a961><,:8h688j;|&54f52z&62`7}#=?i1>>:4$20`>00b3t.={e=hh1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg3fm3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2j80;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8l=:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?14={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6f6<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`6f1<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<7a=#=?n1h6sr}|9j57?=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4k;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo;m5;291?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8l7:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q::5Y13296~"2>l0:7);9d;38yx{z3`nh6=4+24:9`f=Q:6=#=?n1?6sr}M06f?2|^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:h5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;313>"4:j0>:i5r$72`><=z^;>26>uYe081!31k3no7)==c;75a>{Qm;09w);9c;f`?!55k3?=i6s+61a96>{Q9k>1>vXj1;0x 00d2mn0(>l0o7);9d;f8yx{K:5$37;>7533_8>m74}Q9h?1>v*>b48171=Q9;:1>v*:6d8g?!31l3n0qpsC24`95~P5=809w[k>:3y'13e=::>0(>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f0df290:6=4?{%06N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c3gf?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4c629096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4:;|~y>{e9mi1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg7cn3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4l;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?04={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g92>"2>m0=7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:hh4?:283>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi=h<50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7e983>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c3f7?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2a1<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm1d494?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;48 00c2?1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7m4$44g>f=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4c029086=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f4c229086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o196*:6e86?x{zu2wi=kj50;194?6|,;?36?;:;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47<:f:J10f=h9ho1<7*=5982e`=53;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{53;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<2.>:i49;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7fg83>6<729q/>8651`f8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`14<<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?3<,<50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd58<0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn???:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<6290;w)<:8;06b>N52883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo44?3_;9<7f=#=?n1o6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1:6*:6e85?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`14a<72:0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a647=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd59>0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3?0(88k:49~yx{7>53;294~"5=109985G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9=>4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e:8?1<7=50;2x 73?2?i0D?:l;h312181!31m3i0(88k:b9~yx{2wvqp5`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4>;|~y>{e:8<1<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?0<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn??;:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo<>8;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g91>"2>m0>7psr}:a64?=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f77f290:6=4?{%06N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g92>"2>m0=7psr}:k26<<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd59m0;6>4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd59k0;6>4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e:8l1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9>84?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7;4$44g>0=zutw0qo<=0;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi>?=50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8`?!31l3i0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<4290;w)<:8;3b`>N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g95>"2>m0:7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c012?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f74d29096=4?{%062683>!4203;9;65`1`g94?"5=10:mh5Y24c95~J5=j0:w[k<:3y'5g3=9ho0Z<:i4:;|~y>{e:;=1<7=50;2x 73?2;?>7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K::183!42038>j6F=4b9l5dc=83.9954>ad98yg45i3:1?7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9g>"2>m0h7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th9>44?:283>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi>?j50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c01a?6=;3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`16c<7280;6=u+24:960`<@;>h7b?ne;29 73?28kn76sm22394?5=83:p(?;7:7a8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;a8 00c2j1vqps4i00:>5<#:<21=?94V37b>4}K:5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`3=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f75729086=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<7>50z&11=:54o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8hk50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4:;%75`?36<729q/>8652478L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1c0290:6=4?{%06N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3mh0;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9km:184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?14={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`7af<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`7aa<72=0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>?>4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;<7;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2;<0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<13-?=h784}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:<0;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g91>"2>m0>7psr}:a067=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f155290:6=4?{%06N51C>894V37b>4}K:44>3_;9<7f=#=?n1o6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi85950;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6:5?6=>3:1269U60g=9rF99n4>{W3b1?4|,8h>6<<8;W314?4|,<{zut1bhn4?:%06m7?tL37`>4}Q9h?1>v*>b48gg>P6:909w);9e;38 00c281vqps4ief94?"5=10oh6X=5`81I42k3;pZ52z&62`<43-?=h7=4}|O60d=7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913c3t\9844<{Wg2>7}#=?i1hi5+33a913cj0oh6*<2b862`=z,?:h6h5r}|9j61>=83.9954=499U60g=:rF99n4>{W3b1?4|,8h>6?:7;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;07<>"4:j0>:h5r$72`>a=zut1b>>:50;&11=<5;=1]>8o52zN11f<6s_;j977533_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38886*<2b862`=z,?:h6i5r}|9l5dc=83.9954>ad98yg2?03:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3000;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0h7);9d;a8yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo:7c;297?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo:7d;293?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8g?!31l3n0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8651`f8L72d3`;947>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd31=0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{j<7>56;294~"5=10:>85G25a8 45?2o1b=?950;&11=<6:>1]>8o51zN11f<6s_;j974403_;9<74=#=?n1=6sr}|9j`f<72-8>47jl;W06e?7|D;?h62181!31m3;0(88k:09~yx{P5=h09wA<:c;3xR4g22;q/=o;5de9U576=:r.>:h4<;%75`?58l54zT10<<4s_o:6?u+57a9`a=#;;i19;k4}Wg1>7}#=?i1=?94$20`>00b3t.=7}#=?i1=?94$20`>00c3t.=7}#=?i1hn5+33a913cv*:6b8g`>"4:j0>:h5r$72`>`=zut1b>9650;&11=<5<11]>8o52zN11f<6s_;j9772?3_;9<7a=#=?n1h6sr}M06f?7|^;?:6?uYe081!31k38?46*<2b862`=z,?:h6i5r}|9j662=83.9954=359U60g=:rF99n4>{W3b1?4|,8h>6?=;;W314?4|,<{zuE8>n7?tV372>7}Qm809w);9c;000>"4:j0>:h5r$72`>a=zut1d=lk50;&11=<6il10qo:65;297?6=8r.9954=549K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?74<729q/>86524d8L72d3f;ji7>5$37;>4gb32wi84650;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi84750;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi84o50;194?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i784$44g>3=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3i0(88k:b9~yx{5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4l;%75`?e4={%3a1?7fm2\:>=4={%75a?7<,<k3:187>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<2.>:i49;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h49;%75`?0o7?tVd196~"6j<0:?=5Y13296~"2>l0h7);9d;a8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6:`?6=<3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g92>"2>m0=7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0=7);9d;48yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`4=zutw0qo:6e;290?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<73=#=?n1:6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a0<1=8321<7>t$37;>4gc3A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<74=#=?n1=6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1=6*:6e82?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d82?!31l3;0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?ml4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:m0;292?6=8r.9954>249K61e<,8936k5f13594?"5=10:>:5Y24c95~J5=j0:w[?n5;0x 4d2288<7[?=0;0x 00b281/9;j51:~yx=nlj0;6)<:8;f`?S42i3;p@?;l:0yU5d3=:r.:n84kc:T265<5s-?=i7?4$44g>4=zutw0eij50;&11=6?u+1c79`a=Q9;:1>v*:6d80?!31l390qpsC24`90~P5<008w[k>:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m51358 64d2<:3y'13e=lm1/??m557g8ySc52;q/9;m5db9'77e==?o0q)8?c;08yS7e<38pZh?52z&62fn4:6d9~ 36d2l1vqp5f25:94?"5=109855Y24c96~J5=j0:w[?n5;0x 4d22;>37[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<;8:&06f<2>l1v(;>l:e9~yx=n::>1<7*=598171=Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5`1`g94?"5=10:mh54}c6b3?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:9~f1g>29086=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1o6*:6e8`?x{zu2c:>44?:%06:i4l;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?b<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?mn4?:583>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:4573_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8lj50;494?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7j4$44g>a=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7482\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;f8 00c2m1vqps4i011>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i7j4$44g>a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1gb290?6=4?{%06:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7482\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnn=50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?75$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|``0?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~ffc=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<23-?=h7;4}|~?xdd=3:1?7>50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xdd>3:1=7>50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|``3?6=93:1=9ho07pllf;297?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<71=#=?n186sr}|9j57?=83.9954>269K601<^;?j6:i4>;|~y>{ek00;694?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7j4$44g>a=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7482\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnno50;794?6|,;?36;m4H36`?l7503:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d84?!31l3=0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?=5Y13296~"2>l0o7);9d;f8yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:2181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94V37b>4}K:2<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:90Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2b1<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?3<,<50z&11=<5=<1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd6mk0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a5`c=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;a8 00c2j1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74563_;9<7a=#=?n1h6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`2b4<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;58 00c2>1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0D?;8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{:i4>;|~y>{e9o81<7950;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{:i4>;|~y>{e9o91<7:50;2x 73?28ko7E<;c:k26=<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0:7);9d;38yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;38 00c281vqps4i013>5<#:<21=?94V37b>4}K:5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`5f?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f15b290=6=4?{%06!4203nh7[<:a;3xH73d28q]=l;52z&2f0=4={%75a?7<,<o7?tV0c6>7}#9k?1hi5Y13296~"2>l087);9d;18yx{K::n4kd:&06f<2>l1v(;>l:d9~yx=n:=21<7*=59810==Q:vB=5b82S7f=38p(n4:6d9~ 36d2m1vqp5f22694?"5=109?95Y24c96~J5=j0:w[?n5;0x 4d22;9?7[?=0;0x 00b2m1/9;j5d:~yI42j3;pZ?;>:3yUa4<5s-?=o7<<4:&06f<2>l1v(;>l:e9~yx=h9ho1<7*=5982e`=847>53;294~"5=109985G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;38 00c281vqps4i00:>5<#:<21=?94V37b>4}K:5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9=l:180>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9g>"2>m0h7psr}:k26<<72-8>47?=7:J112=Q:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3;h0;6>4?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e<<91<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thi87>52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9;8:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:0:k262<72-8>47?=7:T11d<6sE8>o7?tV0c6>7}#9k?1=?94V003>7}#=?o1=6*:6e82?x{zu2coo7>5$37;>ae<^;?j6!4203no7[<:a;0xH73d28q]=l;52z&2f0=4={%75a?5<,<5r}|N11g<3s_8?57=tVd396~"2>j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;k4}%43g??j0oh6*<2b862`=z^l81>v*:6b8262=#;;i19;j4}%43g??j0oh6*<2b862`=z^l81>v*:6b8gg>"4:j0>:h5r$72`>7=z^8h?6?uYe081!31k3no7)==c;75a>{#>9i1i6sr}:k10=<72-8>47<;8:T11d<5sE8>o7?tV0c6>7}#9k?1>964V003>7}#=?o1h6*:6e8g?x{zD;?i6{zu2c9?94?:%066?u+1c79662<^88;6?u+57g9`>"2>m0o7psrL37a>4}Q:<;1>vXj1;0x 00d2;9?7)==c;75a>{#>9i1h6sr}:m2e`<72-8>47?ne:9~f13329086=4?{%06:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?984?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e<<<1<7=50;2x 73?2?i0D?:l;h312181!31m3;0(88k:09~yx{:i4>;|~y>{e<<21<7950;2x 73?2?i0D?:l;h312181!31m3=0(88k:69~yx{4={%3a1?7512\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7j4$44g>a=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7a=#=?n1h6sr}|9j565=83.9954>269K601<^;?j6:i4>;|~y>{e<<31<7950;2x 73?2?i0D?:l;h312181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`71d<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`71g<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1h6*:6e8g?x{zu2c:?=4?:%06:i4k;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo::c;293?6=8r.99549c:J10f=n9;21<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1C>894V37b>4}K:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`71a<72?0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g95>"2>m0:7psr}:k274<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?<5Y13296~"2>l0:7);9d;38yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8oo50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c6a0?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:9~f1d0290?6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<13-?=h784}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d85?!31l3<0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<2290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d85?!31l3<0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3<0(88k:79~yx{4={%3a1?7482\:>=4={%75a?0<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a0g?=83?1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?0<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3j?0;694?:1y'60>=9hn0D?:l;h312181!31m3;0(88k:09~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?70<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?b<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;f8 00c2m1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i7j4$44g>a=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8oh50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6`f?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<70=#=?n196sr}|9~f1e729086=4?{%06:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th?o<4?:083>5}#:<21>8h4H36`?j7fm3:1(?;7:0cf?>{e2181!31m3;0(88k:09~yx{:i4>;|~y>{e1<7=50;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4l;%75`?e4={%3a1?7512\:>=4={%75a?e<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn9m=:180>5<7s-8>47?nd:J10f=n9;21<7*=598262=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<63-?=h7?4}|~?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo:l6;2954<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`<03-?=h794}|~?l74;3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<3:T265<5s-?=i794$44g>2=zutw0e<=;:18'60>=9;=0Z?;n:0yO60e=9r\n?74533_;9<72=#=?n1;6sr}|9j563=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>;4V003>7}#=?o1;6*:6e84?x{zu2c:?;4?:%06:i48;|~y>o6;>0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>369U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>l5Y13296~"2>l0o7);9d;f8yx{z3`;9n7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31f>P6:909w);9e;f8 00c2m1vqps4i00`>5<#:<21=?94V37b>4}K:2d83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:l1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f1e0290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`<03-?=h794}|~?l74:3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<2:T265<5s-?=i794$44g>2=zutw0e<=<:18'60>=9;=0Z?;n:0yO60e=9r\n?74543_;9<72=#=?n1;6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1;6*:6e84?x{zu2c:?84?:%06{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d84?!31l3=0qpsr;h302?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>379U576=:r.>:h48;%75`?14={%3a1?74?2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;f8 00c2m1vqps4i00a>5<#:<21=?94V37b>4}K:2e83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:m1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744a3_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8n650;32>5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i794$44g>2=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<72=#=?n1;6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1;6*:6e84?x{zu2c:?94?:%06{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74>2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=8;W314?4|,<{zut1b=?o50;&11=<6:>1C>894V37b>4}K:2b83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:j1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744b3_;9<7a=#=?n1h6sr}|9j57`=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?h4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`7g<<728;1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0<7);9d;58yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<72=#=?n1;6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1;6*:6e84?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d84?!31l3=0qpsr;h300?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{6=4+24:9571<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=9;W314?4|,<{zut1b=>950;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79561<^88;6?u+57g93>"2>m0<7psr}:k26d<72-8>47?=7:J112=Q:2c83>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:k1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744c3_;9<7a=#=?n1h6sr}|9j57c=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?k4V003>7}#=?o1h6*:6e8g?x{zu2c:>k4?:%06{M06g?7|^l91>v*>b4826c=Q9;:1>v*:6d8g?!31l3n0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{hm7>55;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;38 00c281vqps4o0cf>5<#:<21=lk4V37b>4}K:7E<;c:k262<72-8>47?=7:9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wi8k;50;094?6|,;?36o;4H36`?l75?3:1(?;7:004?>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?77<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;78 00c2<1vqps4}c6e6?6=;3:1=9;=0D?;8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e47?ne:9~f1`3290>6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1:6*:6e85?x{zu2c:>44?:%062.>:i49;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4l;%75`?eo7?tVd196~"6j<0:?<5Y13296~"2>l0=7);9d;48yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6e2?6=;3:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9`>"2>m0o7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c6e3?6=>3:1N51C>894V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:;0Z<:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd3nj0;6?4?:1y'60>=j<1C>9m4i004>5<#:<21=?94;n3ba?6=,;?362181!31m3;0(88k:09~yx{52;294~"5=10i96F=4b9j571=83.9954>2698k4gb290/>8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn8>8:181>5<7s-8>47l:;I07g>o6:>0;6)<:8;313>=h9ho1<7*=5982e`=Q:54?:%06:i4>;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4>;%75`?74={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<5=o1C>9m4o0cf>5<#:<21=lk4;|`7bc<72:0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`644<7200;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06:i48;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g93>"2>m0<7psr}:k277<72-8>47?=7:J112=Q:3283>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1h6*:6e8g?x{zu2c:?94?:%060Z<:i4k;|~y>o6;<0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>349U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i48;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?=5Y13296~"2>l0<7);9d;58yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`a=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?74<2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a155=8331<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?1<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9:;1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74563_;9<72=#=?n1;6sr}|9j564=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=<;W314?4|,<{zut1b=>:50;&11=<6:>1C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd28=0;644?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1C>894V37b>4}K:4543_;9<7a=#=?n1h6sr}|9j562=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>:4V003>7}#=?o1h6*:6e8g?x{zu2c:?84?:%06:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?7<<729q/>8656b9K61e:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:3383>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1h6*:6e8g?x{zu2c:?>4?:%06:i4k;|~y>o6;=0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?85Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c732?6=>3:1=9;=0Z?;n:0yO60e=9r\n?744?3_;9<74=#=?n1=6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1=6*:6e82?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d82?!31l3;0qpsr;h305?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{:i4>;|~y>{e=;?1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th>>o4?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qo;<0;296?6=8r.9954m5:J10f=n9;=1<7*=598262=5<4290;w)<:8;061>N51C>894V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd2:>0;6<4?:1y'60>=:t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0=7);9d;48yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;48 00c2?1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i784$44g>3=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3<0(88k:79~yx{5<2290;w)<:8;4`?M43k2c:>54?:%062.>:i49;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g92>"2>m0=7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1o6*:6e8`?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`66=<72:0;6=u+24:95db<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?7<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a17e=83<1<7>t$37;>3e<@;>h7d?=8;29 73?288<7[<:a;3xH73d28q]i>4={%3a1?7502\:>=4={%75a?b<,<290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1C>894V37b>4}K:3383>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1h6*:6e8g?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`66a<72>0;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9::0Z<:i4k;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`4=zutw0qo;=e;293?6=8r.99549c:J10f=n9;21<7*=598262=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d8g?!31l3n0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;307>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1=6*:6e82?x{zu2c:>44?:%06{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d82?!31l3;0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5$37;>44032e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|``5?6=:3:1N510c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<70=#=?n196sr}|9~fgc=8391<7>t$37;>7323A8?o6g>2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<63-?=h7?4}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7?4$44g>4=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~fg`=83;1<7>t$37;>73a3A8?o6a>ad83>!4203;ji65rbb294?7=83:p(?;7:37e?M43k2e:mh4?:%06i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?754?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d82?!31l3;0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{i6il0;6)<:8;3ba>=zjl<1<7<50;2x 73?2k?0D?:l;h313?6=,;?36<<8;:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thn;7>58;294~"5=10=o6F=4b9j57>=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1;6*:6e84?x{zu2c:>44?:%06:i48;|~y>o6;90;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g9`>"2>m0o7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d8g?!31l3n0qpsr;h300?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<2983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7j4$44g>a=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?7492\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1C>894V37b>4}K:1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74533_;9<7a=#=?n1h6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wii44?:983>5}#:<21:n5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9`>"2>m0o7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;h307?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?95Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cgb>554?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9`>"2>m0o7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;h307?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?95Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cga>554?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8g?!31l3n0qpsr;h31=?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?=5Y13296~"2>l0o7);9d;f8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`a=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{4={%3a1?74<2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnhm50;494?6|,;?36o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h4>;%75`?74={%3a1?7512\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=?;W314?4|,<{zut1b=>?50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g95>"2>m0:7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0:7);9d;38yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cgg>5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:aa`<7210;6=u+24:92f=O:=i0e<<7:18'60>=9;=0Z?;n:0yO60e=9r\n?744?3_;9<72=#=?n1;6sr}|9j57?=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06:i4k;|~y>o6;80;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3`;8?7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f``=83;:6=4?{%062983>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?64V003>7}#=?o1;6*:6e84?x{zu2c:>44?:%06:i48;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?14={%3a1?7492\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1b=>=50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g93>"2>m0<7psr}:k271<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?95Y13296~"2>l0<7);9d;58yx{z3`;897>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;301>P6:909w);9e;58 00c2>1vqps4i015>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<6:T265<5s-?=i794$44g>2=zutw0e<=8:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?m50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957e<^88;6?u+57g9`>"2>m0o7psr}:k26a<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>i5Y13296~"2>l0o7);9d;f8yx{z3`;9i7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31a>P6:909w);9e;38 00c281vqps4i00e>5<#:<21=?94V37b>4}K:47=83:p(?;7:7a8L72d3`;947>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i48;|~y>o6;:0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>329U576=:r.>:h48;%75`?14={%3a1?74<2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1b=>850;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9:=1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74503_;9<72=#=?n1;6sr}|9j57g=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1;6*:6e84?x{zu2c:>o4?:%06:i4k;|~y>o6:j0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2b9U576=:r.>:h4k;%75`?b4={%3a1?75l2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?h50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957`<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thm=7>51083>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;58 00c2>1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1;6*:6e84?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d84?!31l3=0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9:?0Z<:i48;|~y>o6;?0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79560<^88;6?u+57g93>"2>m0<7psr}:k272<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?:5Y13296~"2>l0<7);9d;58yx{z3`;9m7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:h1]=?>52z&62`a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744d3_;9<7a=#=?n1h6sr}|9j57b=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?j4V003>7}#=?o1h6*:6e8g?x{zu2c:>h4?:%06{M06g?7|^l91>v*>b4826`=Q9;:1>v*:6d82?!31l3;0qpsr;h31b?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{54?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g93>"2>m0<7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0<7);9d;58yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;58 00c2>1vqps4i011>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:91<7*=598262=Q:3583>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`<03-?=h794}|~?l74=3:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<5:T265<5s-?=i794$44g>2=zutw0e<=9:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?l50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957d<^88;6?u+57g9`>"2>m0o7psr}:k26f<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>n5Y13296~"2>l0o7);9d;f8yx{z3`;9h7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31`>P6:909w);9e;f8 00c2m1vqps4i00f>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xda;3:1:7>50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<74=#=?n1=6sr}|9l5dc=83.9954>ad9U60g=9rF99n4>{Wg0>7}#9k?1=lk4V003>7}#=?o1=6*:6e82?x{zu2wij94?:383>5}#:<21n85G25a8m440290/>8651358?j7fm3:1(?;7:0cf?S42i3;p@?;l:0yUa6<5s-;i97?ne:T265<5s-?=i7?4$44g>4=zutw0qoh::184>5<7s-8>478l;I07g>o6:10;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;f8 00c2m1vqps4i012>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7j4$44g>a=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<7a=#=?n1h6sr}|9j565=83.9954>269K601<^;?j6:i4>;|~y>{en?0;6:4?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{4={%3a1?74;2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vnk950;594?6|,;?36;m4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<7a=#=?n1h6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1h6*:6e8g?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?>5Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cd;>5<0290;w)<:8;4`?M43k2c:>54?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g9`>"2>m0o7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0o7);9d;f8yx{z3`;8=7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;81]=?>52z&62`a=zutw0e<=<:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;f8 00c2m1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i7j4$44g>a=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<7a=#=?n1h6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>o6;:0;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79565<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3thmm7>56;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;38 00c281vqps4i011>5<#:<21=?94V37b>4}K:7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}cd`>5<4290;w)<:8;4`?M43k2c:>54?:%06{M06g?7|^l91>v*>b4826==Q9;:1>v*:6d8a?!31l3h0qpsr;h31=?6=,;?36<<8;W06e?7|D;?h62181!31m3h0(88k:c9~yx{5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?<5Y13296~"2>l0o7);9d;f8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b4826<=Q9;:1>v*:6d84?!31l3=0qpsr;h304?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:?<5Y13296~"2>l0o7);9d;f8yx{z3`;8>7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;306>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:47?=7:J112=Q:2883>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?74V003>7}#=?o1;6*:6e84?x{zu2c:?=4?:%06:i48;|~y>o6;80;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c79567<^88;6?u+57g9`>"2>m0o7psr}:k277<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:??5Y13296~"2>l0o7);9d;f8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c335?6=>3:1N51C>894V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<6im1C>9m4i00;>5<#:<21=?94V37b>4}K:3183>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<63-?=h7?4}|~?l7493:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<1:T265<5s-?=i7?4$44g>4=zutw0e<==:18'60>=9;=0Z?;n:0yO60e=9r\n?74553_;9<74=#=?n1=6sr}|9j565=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>=4V003>7}#=?o1=6*:6e82?x{zu2e:mh4?:%06{M06g?7|^l91>v*>b482e`=Q9;:1>v*:6d82?!31l3;0qpsr;|`246<72;0;6=u+24:9f0=O:=i0e<<8:18'60>=9;=07b?ne;29 73?28kn7[<:a;3xH73d28q]i>4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=O:<=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9:80Z<:i4k;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?70483>3<729q/>8656b9K61e4={%3a1?7502\:>=4={%75a?1<,<290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;58 00c2>1vqps4i013>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:i4>;|~y>{e99<1<7850;2x 73?2?i0D?:l;h31P5=h0:wA<:c;3xR`5=:r.:n84>299U576=:r.>:h48;%75`?1o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;91]=?>52z&62`<03-?=h794}|~?l7493:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d8g?!31l3n0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3n0(88k:e9~yx{5<1290;w)<:8;4`?M43k2c:>54?:%06:i48;|~y>o6:00;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g93>"2>m0<7psr}:k275<72-8>47?=7:J112=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{56;294~"5=10=o6F=4b9j57>=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<<6;W314?4|,<{zut1b=>>50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79566<^88;6?u+57g93>"2>m0<7psr}:k274<72-8>47?=7:J112=Q:3383>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;;1]=?>52z&62`4=zutw0qo??9;292?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>o6;90;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>319U576=:r.>:h4>;%75`?74={%3a1?7492\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a55g=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd68k0;684?:1y'60>=>j1C>9m4i00;>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i794$44g>2=zutw0e<<6:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a55e=8381<7>t$37;>g3<@;>h7d?=7;29 73?288<76a>ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xd68m0;644?:1y'60>=>j1C>9m4i00;>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?bo7?tVd196~"6j<0:?>5Y13296~"2>l0o7);9d;f8yx{z3`;887>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`a=zutw0c=9ho0Z?;n:0yO60e=9r\n?74gb3_;9<74=#=?n1=6sr}|9~f46b290:=7>50z&11=<1k2B98n5f13:94?"5=10:>:5Y24c95~J5=j0:w[k<:3y'5g3=9;20Z<:i48;|~y>o6:00;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>289U576=:r.>:h48;%75`?14={%3a1?7482\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g93>"2>m0<7psr}:k276<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?>5Y13296~"2>l0<7);9d;58yx{z3`;887>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6;=1]=?>52z&62`<03-?=h794}|~?l74=3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48270=Q9;:1>v*:6d84?!31l3=0qpsr;h302?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74?2\:>=4={%75a?b<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31e>P6:909w);9e;f8 00c2m1vqps4i00a>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=b:T265<5s-?=i7j4$44g>a=zutw0e<=9;=0Z?;n:0yO60e=9r\n?744d3_;9<7a=#=?n1h6sr}|9j57b=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=?j4V003>7}#=?o1h6*:6e8g?x{zu2c:>h4?:%06{M06g?7|^l91>v*>b4826`=Q9;:1>v*:6d82?!31l3;0qpsr;h31b?6=,;?36<<8;W06e?7|D;?h62181!31m3;0(88k:09~yx{5<693:1N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0<7);9d;58yx{z3`;8<7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;304>P6:909w);9e;58 00c2>1vqps4i012>5<#:<21=?94V37b>4}K:1/9;j57:~yx=n9:81<7*=598262=Q:3283>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6;:1]=?>52z&62`<03-?=h794}|~?l74<3:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48271=Q9;:1>v*:6d84?!31l3=0qpsr;h301?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>349U576=:r.>:h48;%75`?14={%3a1?74>2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;303>P6:909w);9e;f8 00c2m1vqps4i00b>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=a:T265<5s-?=i7j4$44g>a=zutw0e<=9;=0D?;8;W06e?7|D;?h62181!31m3n0(88k:e9~yx{:5Y24c95~J5=j0:w[k<:3y'5g3=9;n0Z<:i4k;|~y>o6:l0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2d9U576=:r.>:h4>;%75`?74={%3a1?75n2\:>=4={%75a?7<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?<0:T265<5s-?=i794$44g>2=zutw0e<=>:18'60>=9;=0Z?;n:0yO60e=9r\n?74563_;9<72=#=?n1;6sr}|9j564=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=><4V003>7}#=?o1;6*:6e84?x{zu2c:?>4?:%06{M06g?7|^l91>v*>b48276=Q9;:1>v*:6d84?!31l3=0qpsr;h300?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>359U576=:r.>:h48;%75`?14={%3a1?74=2\:>=4={%75a?1<,<8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;302>P6:909w);9e;f8 00c2m1vqps4i014>5<#:<21=?94V37b>4}K:44f3_;9<7a=#=?n1h6sr}|9j57d=83.9954>269K601<^;?j6:5Y24c95~J5=j0:w[k<:3y'5g3=9;i0Z<:i4k;|~y>o6:m0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2e9U576=:r.>:h4k;%75`?b4={%3a1?75m2\:>=4={%75a?7<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a547=83;:6=4?{%062983>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:11]=?>52z&62`<03-?=h794}|~?l7513:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0Z?;n:0yO60e=9r\n?74573_;9<72=#=?n1;6sr}|9j567=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1;6*:6e84?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d84?!31l3=0qpsr;h307?6=,;?36<<8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?74<2\:>=4={%75a?1<,<8651358R73f28qG>8m51zTf7?4|,8h>6<=:;W314?4|,<{zut1b=>850;&11=<6:>1C>894V37b>4}K:2`83>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=?o4V003>7}#=?o1h6*:6e8g?x{zu2c:>o4?:%06:i4k;|~y>o6:j0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>2b9U576=:r.>:h4k;%75`?b4={%3a1?75l2\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<{zut1b=?h50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957`<^88;6?u+57g95>"2>m0:7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:=?4?:783>5}#:<21=lj4H36`?l7503:1(?;7:004?S42i3;p@?;l:0yUa6<5s-;i97?=8:T265<5s-?=i7?4$44g>4=zutw0e<<6:18'60>=9;=0Z?;n:0yO60e=9r\n?744>3_;9<74=#=?n1=6sr}|9j566=83.9954>269U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1=6*:6e82?x{zu2c:?<4?:%06{M06g?7|^l91>v*>b48274=Q9;:1>v*:6d82?!31l3;0qpsr;h306?6=,;?36<<8;W06e?7|D;?h66X>2181!31m3;0(88k:09~yx{5<5290;w)<:8;`6?M43k2c:>:4?:%068o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a542=8391<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0o7);9d;f8yx{z3`;957>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;31=>P6:909w);9e;f8 00c2m1vqps4o0cf>5<#:<21=lk4V37b>4}K:6=4<:183!420347?=7:J112=Q:2883>!4203;9;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`4=zutw0qo?>6;297?6=8r.9954>ae9K61e:5Y24c95~J5=j0:w[k<:3y'5g3=9;30Z<:i4>;|~y>i6il0;6)<:8;3ba>P5=h0:wA<:c;3xR`5=:r.:n84>ad9U576=:r.>:h4>;%75`?71683>7<729q/>865b49K61e5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c32N51]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g9f>"2>m0i7psr}:k26<<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:>45Y13296~"2>l0i7);9d;`8yx{z3f;ji7>5$37;>4gb3_8>m7?tL37`>4}Qm:09w)?m5;3ba>P6:909w);9e;38 00c281vqps4}c32=?6=>3:1N51C>894V37b>4}K:1/9;j57:~yx=n9;31<7*=598262=O:<=0Z?;n:0yO60e=9r\n?744>3_;9<72=#=?n1;6sr}|9j566=83.9954>269K601<^;?j6:5G2458R73f28qG>8m51zTf7?4|,8h>6<=>;W314?4|,<{zut1b=><50;&11=<6:>1]>8o51zN11f<6s_o86?u+1c79564<^88;6?u+57g9`>"2>m0o7psr}:m2e`<72-8>47?ne:T11d<6sE8>o7?tVd196~"6j<0:mh5Y13296~"2>l0:7);9d;38yx{z3th:=l4?:783>5}#:<21:n5G25a8m44?290/>8651358L7303_8>m7?tL37`>4}Qm:09w)?m5;31<>P6:909w);9e;58 00c2>1vqps4i00:>5<#:<21=?94H374?S42i3;p@?;l:0yUa6<5s-;i97?=9:T265<5s-?=i794$44g>2=zutw0e<=?:18'60>=9;=0D?;8;W06e?7|D;?h62181!31m3=0(88k:69~yx{4={%3a1?7492\:>=4={%75a?b<,<8651358R73f28qG>8m51zTf7?4|,8h>6<==;W314?4|,<{zut1d=lk50;&11=<6il1]>8o51zN11f<6s_o86?u+1c795dc<^88;6?u+57g95>"2>m0:7psr}:a54d=83<1<7>t$37;>3e<@;>h7d?=8;29 73?288<7E<:7:T11d<6sE8>o7?tVd196~"6j<0:>55Y13296~"2>l0<7);9d;58yx{z3`;957>5$37;>4403A8>;6X=5`82I42k3;pZh=52z&2f0<6:01]=?>52z&62`<03-?=h794}|~?l7483:1(?;7:004?M42?2\99l4>{M06g?7|^l91>v*>b48275=Q9;:1>v*:6d84?!31l3=0qpsr;h305?6=,;?36<<8;I063>P5=h0:wA<:c;3xR`5=:r.:n84>309U576=:r.>:h4k;%75`?b4={%3a1?74:2\:>=4={%75a?b<,<8651`g8R73f28qG>8m51zTf7?4|,8h>6{zut1vn5<7s-8>478l;I07g>o6:10;6)<:8;313>N5=>1]>8o51zN11f<6s_o86?u+1c7957><^88;6?u+57g93>"2>m0<7psr}:k26<<72-8>47?=7:J112=Q:3183>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>>4V003>7}#=?o1;6*:6e84?x{zu2c:?<4?:%06:i4k;|~y>o6;;0;6)<:8;313>P5=h0:wA<:c;3xR`5=:r.:n84>339U576=:r.>:h4k;%75`?b4={%3a1?7fm2\:>=4={%75a?7<,<50z&11=<1k2B98n5f13:94?"5=10:>:5G2458R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1C>894V37b>4}K:1/9;j57:~yx=n9::1<7*=598262=Q:3083>!4203;9;6F=569U60g=9rF99n4>{Wg0>7}#9k?1=>?4V003>7}#=?o1h6*:6e8g?x{zu2c:??4?:%06{M06g?7|^l91>v*>b48277=Q9;:1>v*:6d8g?!31l3n0qpsr;n3ba?6=,;?362181!31m3;0(88k:09~yx{57;294~"5=10:mi5G25a8m44?290/>8651358R73f28qG>8m51zTf7?4|,8h>6<<7;W314?4|,<{zut1b=?750;&11=<6:>1]>8o51zN11f<6s_o86?u+1c7957?<^88;6?u+57g95>"2>m0:7psr}:k275<72-8>47?=7:T11d<6sE8>o7?tVd196~"6j<0:?=5Y13296~"2>l0:7);9d;38yx{z3`;8=7>5$37;>4403_8>m7?tL37`>4}Qm:09w)?m5;305>P6:909w);9e;38 00c281vqps4i011>5<#:<21=?94V37b>4}K:ad83>!4203;ji6X=5`82I42k3;pZh=52z&2f0<6il1]=?>52z&62`<63-?=h7?4}|~?xu?j3:1?v37c;3bb>X?j27jn7?=7:peg<72;18?0:>552615957><5;i?6<<7;<1fe?750278io4>299>7`e=9;201>kk:00;?871l3;9463>70826==:9>81=?64=4:4>44?34?347?=8:?72g<6:1168;m513:89006288370;92;31<>;2980:>552500957><5<;86<<7;<720?75027?;h4>299>02`=9;20196?:00;?83f83;9463:a0826==:<8h1=?64=53`>44?34>:h7?=8:?76g<6:1169kl513:890`d288370;j9;31<>;2mh0:>5523gd957><5=:;6<<7;<635?75027?299>055=9;2018k>:00;?87f93;9463>a3826==:;jo1=?64=23e>44?3499<7?=8:?6f4<6:1168ho513:891>c288370:7e;31<>;31h0:>552c`826==:kj0:>5521g2957><58l:6<<7;<3e6?75027?954>299>00?=9;2019l8:00;?82d>3;9463;c6826==:44?34>m;7?=8:?66<<6:1169?o513:8904c288370k8:00;?8c?288370ki:00;?8`7288370h>:00;?8`5288370h::00;?8`1288370hk:00;?8`b288370hi:00;?87783;9463>00826==:99>1=?64=026>44?34;;:7?=8:?242<6:116==6513:8947>288370?>a;31<>;69k0:>55210a957><58;o6<<7;|q;;fi3;9;6s|a`83>37|5hk1=lk4=52e>44?34>:<7?=8:?543<6:016:=9513;8936?288370;4mh0:>4523d`957?<5:oh6<<6;<1f`?75127::i4>289>527=9;301<9=:00:?83??3;9563:89826<=:44>34?==7?=9:?627<6:01693;31=>;3?l0:>45246d957?<5289>04e=9;3019?k:00:?825j3;9563:fc826<=:=oi1=?74=4g:>44>34?nm7?=9:?6af<6:1169hj513:890cb288370=if;31=>;3890:>452413957?<5=:96<<6;<637?75127>i?4>299>5a>=9;201>mj:00:?856n3;9563<21826<=:=k81=?64=5ga>44?34>3h7?=9:?7<`<6:01684m513:891?c288370:6e;31<>;di3;9563>f1826<=:9o;1=?74=57b>44?34>>n7?=8:?7f=<6:1168o7513:891e1288270:l7;31=>;3k10:>4524b;957?<5=l<6<<6;<735?75027>299>155=9;2018>;:00;?837=3;9463:28826<=:=;o1=?64=d;957><5lo1=?64=dd957?<5o:1=?74=g3957?<5o81=?74=g5957><5on1=?74=gg957?<5ol1=?74=023>44>34;;=7?=9:?241<6:016==;513;89461288270??7;31=>;6810:>45211f957><58;26<<6;<32e?75127:=o4>289>54e=9;3013:1?v377;3bb>X?>27j57?=7:pe<<72:8p1l751`g897df288370;5k<0:>5523dc9566<5:oi6<=?;<1fg?748278ii4>319>53b=9::01<9>:013?821j3;8<63:608275=:=?81=>>4=437>44>34>3<7?=9:?75g<6;9168;4no0:?=524129566<5=::6<=?;<636?74827?<>4>319>7fc=9::01>?i:013?85583;8<63=2`826==:<0i1=?74=ba957?<58l96<<6;<6a319>0f1=9::019m7:013?82d13;8<63:00826<=:=981=?74=40:>45734?9m7?=9:?fa?75127nj7?<0:?e4?74827m=7?<0:?e6?74827:<94>319>55c=9;201<>i:00;?87683;9463>10826==z{1>1<7=t=9795d`01l651358yvg?2908?v3n8;3ba>;38o0:>4522cc957?<5;i?6<=>;<0`1?751278il4>309>7`d=9:;01>kl:012?85bl3;8=63>6e8274=:=?;1=>?4=441>45634?:=7?<0:?651<6;9168:k5122891>7289;70?i9;31<>;39k0:?<5240a9567<5=;o6<=>;<7f`?751278jk4>309>056=9:;019>>:012?827:3;8=63;028274=:;jo1=>?4=23e>4563499<7?<1:?7=a<6:016on4>319>5`b=9;201?4=5a4>45634>h47?<1:?7g<<6;8169==513;8904>289:70;=a;304>;bn3;8=63i0;305>;a93;8=63i2;305>;68m0:>45211g957?<58:m6<<6;<324?75127:=<4>289~w=6=839p15?51`d8Z=6<5h<1=?94}rc5>5<5=r7j:7?ne:?1fd<6;916>n:5120897e2289;70=ja;306>;4mk0:??523da9564<5:oo6<==;<35`?74:27>:<4>339>134=9:80163;1b8277=:<8n1=><4=2de>45534>;<7?<2:?744<6;;168=<512089164289970=le;306>;6ml0:>5524b49564<5=i<6<==;<6`339>ac<6;;16j=4>339>b4<6;;16j?4>339>ba<6;916==;51228946b289;70??f;304>;6990:?=521039566<58;26<=?;|q4a?6=;r7;f=3;9;6s|a483>72|5h?1=lk4=3`b>456348h87?<3:?1g0<6;816?ho5121896ce289870=jc;307>;4mm0:?>5217f9565<5<<:6<=<;<756?74;27?=o4>329>04e=9:9019?k:010?85an3;8?63;018276=:<9;1=>=4=521>45434>;?7?<3:?0g`<6;:16>?l513:891e1289870:l7;307>;3k10:?>524b;9565<5ll1=>=4=g29565<5o;1=>=4=g09565<5oo1=>>4=025>45734;;i7?<1:?24c<6;816=<>512389476289:70?>a;304>{tl>0;68u2d982ec=Yl>16h44>269>`d<6:>16ho4>269~wa?=839p1i751`g89651288370=<6;31=>{tlh0;6?u2d`82e`=:=<21hi5rse`94??|5mh1=lk4=06b>ab<58?<6ij4=26f>ab<5:<96ij4=27:>ab<5:ab<5:i>6ij4}r`4>5<3s4h36288<70ln:004?xue13:1jv3m9;3ba>;4j00oh63<9b8g`>;4;10oh63;4i10oh63;6<=0oh63<8c8g`>;41:0oh63<7`8g`>;1<3no70=;4;fg?85?=3no70=mf;fg?xuei3:19v3ma;3ba>;3;2{t?j0;6>u27e82ec=Y?j16m94>269~wd2=838:w0o;:0cf?84ei3;8>63=c48277=:;lk1=>:4=2ga>453349no7?<4:?0aa<6;=16=;j512689075289;70:>b;300>;39j0:?95240f9562<5:lm6<=;;<634?74<27?<<4>359>054=9:>019><:017?85dm3;8863>e7826==::4=5a4>45334>h47?<4:?7g<<6;=16ik4>359>b5<6;=16j<4>359>b7<6;=16jk4>319>55c=9:801<>i:011?87683;8>63>108277=:98h1=>>4}r5b>5<4s4=i66<=<;<1fe?74=278io4>349>7`e=9:?01>kk:016?871l3;8963;1c8270=:<8i1=>;4=53g>452349mj7?<5:?745<6;<168=?512789165289>70:?3;301>;4kl0:?852215957><5=i=6<=:;<6`3?74=27?o54>349>0f?=9:?01hh512789c6=9:?01k?512789c4=9:?01<>?:013?877?3;8<63>0d8276=:99l1=>=4=033>45434;:=7?<3:?25f<6;91v<<>:187875:3;jj6P>209>575=9;=01<<;:004?xu6::0;69:t=000>4gb348?:7jk;<626?bc34?2m7jk;<0;6?bc348m;7jk;<136?bc349;h7jk;<43f?bc3488n7jk;<0;e?bc3482>7jk;<0b6?bc348jm7jk;<0a6?bc348io7jk;<0`=j7jk;<750?bc34>3?7jk;<7b7?bc34>9<7jk;<61`?bc34?mi7jk;<045?bc348ab<5;ab<5:no6ij4=401>ab<5<=?6ij4=4:2>ab<5ab<5=k;6ij4=51f>ab<5=?m6ij4}r310?6=94>ad9>764=lm169l75de9>0<7=lm1v9=i:18082383;jj6P;3g9>017=9;=0q~:;1;290f}:<=;1=lk4=52`>44?34>;h7?=9:?74c<6;9168<>513;890?22883708?6;304>;1810:>452335957><5:836<<7;<1fe?74>278io4>379>7`e=9:<01>kk:015?87083;9463>7`826==:=1<1=?64=4:4>45734>=;7?=8:?72d<6:1169;?512689002288370;95;31=>;2i90:?=5243c957><5i44>319>1`e=9::01>hi:015?82783;8:63;008273=:<981=>84=4g3>44>34?n=7?=9:?6a7<6:016=l?513;894b2288370?k6;31=>;6l10:>4523b`957><5:;m6<==;<104?750278?=4>289>124=9;20189i:00:?83e;3;9463;e9826==:44?34>3h7?<0:?7=g<6:116oo4>299>00>=9;3019;n:00:?822k3;9463;b6826<=:>4=5`:>45734>m87?=8:?7b2<6;9169=?512289064289;70;?4;31=>;2:j0:>45253f957?<5<8n6<<6;44>34oj6<<7;45134l;6<=9;44>34l36<<7;<33f?75027:

359>55`=9:>0q~;99;297~;2>h0:mk5Q57;8900e288<7p}:6c83>66|5<359>7`g=9:=01>km:014?85bk3;8;6384=53a>45134>:o7?<6:?75a<6;?16?kh512589167289<70:?1;303>;38;0:?:524119560<582=6<<7;<3b5?74827:m?4>289>7fc=9:<019k7:00:?834>3;9563;b68275=:?4=5`:>45634>h:7?<6:?7g2<6;?168n65124891e>289=70:i4;31=>;2880:?<525109566<5<:86<=>;<730?74827><84>289>ac<6;>16j=4>369>b4<6;?16j?4>379>557=9::01<>7:013?877j3;9563>0e8275=:99o1=>;4=02e>45234;:<7?<4:?254<6;=16=ad9>6f3=9:?01>kn:00b?85bj3;9m63>6e8272=:=891=>>4=55e>45734>:n7?<7:?0bc<6:h168=>513c89164289<70<=3;31<>;6n80:?=524b49561<5=i<6<=8;44f34l:6<=8;<33a?74>27:==4>349~w22=839p1:;51`d8Z22<5h:1=?94}rc3>5<6;r7j<7?ne:?1fd<6;<16?hm513c896cc288j70;>3;305>;3?o0:?<5240a9561<5=;o6<=8;<635?75i27?2`9>7fc=9:=01??l:00;?87a93;8=63;c98272=:94=g2957g<5o81=>94=02e>45134;:=7?<5:p027=839p199=:0ce?[20927?;>4>269~w1142908w0:83;3ba>;6<10:>55215:957?54z?2ed<6:>169<651`d8Z07034?:;7?ne:p5dg=83?p150826<=:;;=1=>?4=20;>4563ty?m<4?:2y>0d4=9hl0R9o>;<6b7?75?2wx8l=50;1x91g428kn70?:2;31<>;6=;0:>45rs5ae>5<4s4>o<7?nf:\7gc=:r7?h<4>ad9>7`4=9;201>k=:00:?87193;8<63>628275=:1=>?4}r70?44>ag9]16><5<9j6<<8;|q67d<72?q69>o51`g896`0288370=i7;31=>;6180:?=521819566<58:i6<=>;|qf0?6=;r7n97?nf:\f0>;69o0:>:5rs03e>5;4=?0:>55237:957?<5:<26<=>;<057?750279:>4>289>724=9;201>9=:00:?xu3kj0;6>u24bf95d`{t4gb349:47?=8:?05=<6:01v889:180831?3;jj6P:679>13>=9;=0q~;98;297~;2>10:mh523b1957><5:i86<<6;|q;6?6=;r73?7?nf:\;6>;f?3;9;6s|a683>7}:i>0:mh521ed957?4ga3W3i70l<:004?xue;3:1>v3m3;3ba>;6m90:>45rs8594?5|5021=lh4^8589g7=9;=0q~l>:1808d628kn70?j7;31=>;5810:>45rs9f94?5|51o1=lh4^9f89de=9;=0q~ol:1818gd28kn70{t>l0;6>u26g82ec=Y>l165i4>269~w83;jj6P7f:?b`?75?2wxmi4?:3y>ea<6il16>=k513;8yv172908w09>:0ce?[17343n6<<8;|q:a?6=:r72i7?ne:?14c<6:01v4?50;1x9<4=9hl0R4?4=`g95714gb348:97?=9:p37<72:q6;>4>ag9]37=:1o0:>:5rs8d94?4|50l1=lk4=335>44>3ty2?7>53z?:0?7fn2T2?63nf;313>{tio0;6?u2ag82e`=::8n1=?74}r;6>5<4s43=66}:?00:mk5Q799>e7<6:>1vl<50;0x9d4=9ho01?==:00:?xu2u255f95d`h70;;c;3ba>{t=<:1<744034?><7?ne:p11`=838p18:l:d28902a28kn7p};4383>6}:<=91=lh4^561?823:3;ji6s|45494?4|5=>96<<8;<672?7fm2wx89;50;0x91252l:019:::0cf?xu3l;0;6>u24e195d`{t44034>o:7?ne:p0a3=838p19j=:d2891b228kn7p}:3c83>6}:=:i1=lh4^41a?834j3;ji6s|52d94?4|5<9i6<<8;<70b?7fm2wx9>k50;0x905e2l:018=j:0cf?xu3>90;6>u247395d`{t44034>=>7?ne:p10d=839p18;l:0ce?[32j27>9o4>ad9~w03c2909w0;:b;313>;2=m0:mh5rs42;>5<4s4?;57?nf:\64==:=921=lk4}r73e?6=:r7><54>269>15g=9ho0q~:84;297~;3?<0:mk5Q4668911328kn7p};7683>7}:<>>1=?94=554>4gb3ty:o<4?:2y>5f4=9hl0R;<3`5?7fm2wx=k;50;0x94e6288<70?i5;3ba>{t9k<1<7=t=0`4>4ga3W;i:63>b782e`=z{8ni6=4={<3a2?75?27:ho4>ad9~w4d?2908w0?m9;3bb>X6j116=o651`g8yv7b:3:1>v3>b98262=:9l81=lk4}r3a`?6=;r7:nh4>ag9]5gb<58ho6bg83>6}:9j:1=lh4^0`e?87en3;ji6s|21194?4|58hm6<<8;<037?7fm2wx=n=50;1x94e328km7S?l3:?2g6<6il1v?>n:18187d;3;9;63=0`82e`=z{8i>6=4<{<3`2?7fn2T:o8521b795dc52z?2g0<6:>16>c982ec=Y9j=0134;h57?ne:p64`=838p1;6kk0:mh5rs305>5<5s4;hn7?=7:?163<6il1vce9>5fb=9ho0q~<=d;296~;6km0:>:5223f95dcn?7>53z?7a1<6io1U8h=4=5g0>4gb3ty?i84?:3y>0`5=9;=019k::0cf?xu2;80;6>u252095d`{t=:91<744034?8?7?ne:p07c=839p19h4>ad9~w1572909w0:=e;313>;3;90:mh5rs5:6>5<4s4>3:7?nf:\7<0=:<1?1=lk4}r6;3?6=:r7?484>269>0=1=9ho0q~:62;297~;31:0:mk5Q480891?528kn7p};9583>7}:<081=?94=5;7>4gb3ty?m94?:2y>0d3=9hl0R9o;;<6b0?7fm2wx8l850;0x91g3288<70:n6;3ba>{tk:0;6?u25059571<5j91=lk4}ra1>5<5s4?:;7k?;4gb3ty:no4?:2y>5ge=9hl0R{t<:?1<7=t=515>4ga3W>8963;3482e`=z{=9<6=4={<601?75?27??:4>ad9~w1362908w0::2;3bb>X3=81688?51`g8yv22;3:1>v3;508262=:<<91=lk4}r6a5?6=;r7?n?4>ag9]0g7<5=h:66}::18182bn3;9;63;f082e`=z{=lj6=4<{<6ef?7fn2T?jl524gc95dcmo7>52z?7bd<6:>168km51`g8yv35;3:1?v3:2582ec=Y=;9018<<:0cf?xu2:<0;6?u25319571<5<8>6;ej3;ji6s|be83>7}:jk0:>:52be82e`=z{m:1<7=t=e395d`51`g8yvb52909w0j?:004?8b528kn7p}:5683>1}:=<:1=?94=46f>44034?>m7?=9:?61=<6il1v8:j:187833m3;ji63:54826==:=<<1=?64=070>44?3ty>9<4?:3y>11`=9;=018;>:0cf?xu2=00;6?u25439571<57}:=4403ty:>;4?:b0x944128kn70;:8;07<>;2=109?95245d961><5=>m6?=;;<6f5?43027?i<4=359>11g=:=2018:n:317?843>38?463=478171=:<881>964=531>75334?2m7<;8:?6=d<5;=16=9m513;8942f2;>370?:9;31=>;6=>098552290961><5;296?=;;<0e3?430279j:4=359>754=:=201>>=:317?857l38?463<0e8171=:>9h1>964=72a>7533488n7<;8:?17g<5;=16>5o525:897>f2;9?70<62;07<>;51;09?9522`0961><5;k96?=;;<0be?430279ml4=359>6g4=:=201?l=:317?84ek38?463=bb8171=::j21>964=3a;>753348o<7<;8:?1`5<5;=16>i6525:897b?2;9?70;5m909?9522d:961><5;o36?=;;<11e?430278>l4=359>6964=2d2>75334;<97<;8:?230<5;=16=:j525:8941c2;9?70;5n909?9523d6961><5:o?6?=;;<1e=?430278j44=359>1=e=:=20186l:317?821n38?463;6g8171=:=?>1>>:4=5:0>72?34>3?7<<4:?6e6<5<1169l=5226891472;>370:=0;000>;3:m09855243f9662<5:h26?:7;<1a=?44<2785n4=499>701>=7:36;?8540388863:fd810==:=oo1>>:4=26f>72?349?i7<<4:?0e7<5<116?l<5226896052;>370=92;000>;4i10985523`:9662<5:h;6?:7;<1a4?44<278944=499>70?=::>01>8k:36;?851l388863=70810==::>;1>>:4=35b>72?348370?;4;000>;40k09855239`9662<5:386?:7;<1:7?44<278h:4=499>7a1=::>019>::36;?827=388863>67810==:9?<1>>:4=0;5>72?34;2:7<<4:?03d<5<116?:o5226890e>2;>370;l9;000>;2l80985525e39662<5i94=499>1`2=::>01;:525:8932=::>01<66:36;?87?1388863>a5810==:9h>1>>:4=0fb>72?34;om7<<4:?120<5<116>;;52268967f2;>370=>a;000>;4<=0985523569662<5:=?6?:7;<140?44<278484=499>7=3=::>01>li:36;?85en388863>:4=2f2>72?349o=7<<4:?6g6<5<1169n=5226893g=:=201;o52268970e2;>370<9b;000>;4:;0985523309662<5:996?:7;<106?44<278hi4=499>7ab=::>018<=:36;?835:388863:75810==:=>>1>>:4=4:2>72?34?3=7<<4:?6e<<5<1169l75226890d02;>370;m7;000>;2jm0985525cf9662<5=3:6?:7;<6:5?44<27?m=4=499>0d6=::>019l?:36;?82e8388863;3d810==:<:o1>>:4=57e>72?34>>j7<<4:p61c=832mw0<;e;3ba>;2=10oo63;4g8gg>;3m80oo63:4`8gg>;39;0oo63:9`8gg>;6568gg>;18k0oo63=89826d=::121=?l4=3:;>44d348347?=d:?1<=<6:l16>56513d897?7288i70<60;31g>;5190:>i52282957c<5;3;6<2b9>6gg=9;n01?ln:00f?84ei3;9j63=c4826g=::j?1=?m4=3a6>44c348h97?=e:?1g0<6:o16>h85125897c1288j70;5m?0:>n522d4957b<5;o=6<l4kc:?1==<6:j16>46513f897??288n70<68;31b>;4n80oo63>748gg>;6>m0:>n5217f957b<58hk513g897cb288m70;7c;f`?821n3nh70;94;f`?82?;3nh70;n3;f`?82583nh70:>b;31b>;39j0:>k5240f957`<5=8o6im4=2`:>ae<5:3h6im4=21;>ae<5ae<5:k96im4=241>ae<5:k36im4=2`3>ae<5:?26im4=24g>ae<5;=:6im4=35b>ae<5ae<5:2i6im4=2;0>ae<5:n<6im4=526>ae<5:lm6<2g9>054=9;l019><:00e?871>3nh70?66;f`?850i3nh70;l9;f`?83c93nh70;k7;f`?83b<3nh708;:ea894>>2mi01:;:ea896132mi01>6::ea896da2mi01>m::ea896b62mi01>mj:00`?85dm3;9h63ae<5?k1hn5227`9`f=:;;81hn523209`f=:;mn1hn525309`f=:=>>1hn525939`f=:=h31hn525c59`f=:=kn1hn524839`f=:h52eg826c=:n90:>h52f1826c=:n80:>h52f0826c=:n;0:>h52f3826c=:99o1=?k4=02f>44a34;;j7?=e:?24c<6:o16=<>513g89477288m70?>1;31a>;6980:>k5rs470>5<5s4?>>7?=8:?616<6il1v8;;:181832:3;9563:5582e`=z{:>=6=4i{<761?75127>9;4>289>505=9;301>:9:0cf?853i3;9463<4c826==:;=i1=?64=27f>44?349>j7?=8:?011<6:116?8;513:89630288370=99;31<>;4>h0:>55237`957>m7>5bz?610<6;916988512289434289;70=;b;31=>;445234c95dc<5:<;6<<7;<163?751278:44>289>73g=9;301>8m:00:?xu4=90;6iu25479567<5;<367?7492788o4>319>71e=9::01>;j:00:?852n3;9563<5182e`=:;<>1=?74=276>44>349=57?<0:?02d<6;916?;l51228yv51<3:15v3:548277=:=<<1=><4=070>455349?n7?<1:?00f<6;816?8951228960328kn70=98;31<>;4>k0:?<5rs476>5<5s4?>97?ne:?611<6:11v<=6:186832>3;8?63>3882e`=:;m?1=?64=2f6>44>34>jh7?=8:p7f6=838p18;9:017?85d83;ji6s|54494?4|5288370;:9;31=>;3=90:>552442957?<5=o96<<7;<6f6?75127>8o4>299>11d=9;301>l9:00;?85e?3;946344?34?n6<<7;<1;4?75027>=h4>299>0dd=9;201o:51`g891de288370:l4;31<>{t<=o1<7=t=565>44034>?87?=7:?70c<6il1v9:;:186823<3;ji63>4c826==:9=h1=?74=07;>44?34;>47?=9:p011=838p19:::004?823?3;ji6s|44294?4|5=><6<<8;<664?7fm2wx89650;0x912a288<70:;8;3ba>{t<=31<744?34>?57?ne:p01g=838p19:7:00:?823i3;ji6s|38694?70s4>?n7?=8:?70f<6:11689j513:891bd288370;;5;31<>;41=0:mh5238;957><5:3j6<<7;<375?7502785=4>299>7<7=9;2018k513;890`=9;201;>513:8937=9;201;<513:8965b288370=;4<90:>552391957><5=k26<<7;<6bg?75027?mi4>289~w654290mw0:;b;31=>;6=<0:>5523c4957?<5:h<6<<6;<1:=?751278?>4>ad9>7db=9;301>oj:00:?87393;9563<91826<=:;0;1=?74=21g>44?3498i7?=9:?007<6:116?5?513:8yv5>l3:1=9u245`9566<5=>h6<<6;<67`?75127?hi4>299>110=9;201>77:00;?85>03;9563<9e82e`=:9:n1=?64=01g>44>34?n6<=?;<7e>44>34<;6<<6;<42>44>34<96<<6;<10a?748278?k4>289>716=9;301>6<:00:?82f13;956s|3`194?74s4>?n7?<1:?70f<6;91689j5122891bb288370;;7;31<>;4i90:>5523`2957?<5:k86289>1`<6;8169k4>319>25<6;916:<4>319>27<6;916?>k51238965a289;70=;0;304>;40:0:?=5rs2c:>5<6;r7?8o4>339>01e=9:;019:k:012?82cn3;9463:49826==:;h<1=?64=2c5>44>349j57?ne:?27c<6:116=>h513;890c=9:8018h51238936=9:;01;?51238934=9:;01>=j:011?854n3;8=63<418274=:;191=>?4}r67f?6=:r7?8o4>ad9>01g=9;20q~=m1;2950}:<=i1=><4=076>44>349i=7?ne:?0f0<6:116?lj5122896gb289;70?;2;31=>;2m3;8?63:f;306>;183;8>6391;306>;1:3;8>63<3e826<=:;:l1=><4=261>44>3493<7?=9:?0<4<6:016?5<513:896>4289970:nb;31=>;3im0:?=5rs56`>5<5s4>?o7?ne:?70d<6:01v>9::18b823l3;8>63>548275=:;k<1=>>4=2cg>45634;?>7?<0:?030<6il16:?4>329>714=9::01>6=:00:?82ej3;956s|3cc94?g|5=>o6<=<;<1a3?748278mh4>309>27<6;=16?>j512289627289970=72;304>;4jh0:mh524``9566<5=hi6<=?;|q70a<72;q689j51`g8912f289;7p};e183>6}:44034>n=7?ne:p0a2=838p19j;:0cf?82cn3;8<6s|4e594?4|5=n>6<<8;<6g3?7fm2wx8h<50;0x91b0288<70:j2;3ba>{t44034>o47?ne:p0a?=838p19j7:00;?82c13;ji6s|4ec94?4|5=n36<<6;<6ge?7fm2wx?9?50;1x91be288370:kb;31=>;4<80:mh5rs5fa>5<5s4>on7?ne:?7`d<6:11v<;n:18b82ck3;9563;de826<=:44>34;=j7?=8:?21d<6il16=;?513:89405288370?93;31<>;4<;0:?<5rs5f`>5<5s4>oo7?ne:?7`d<6:01v9jk:18182cl3;ji63;d`8275=z{=nn6=4={<6ga?7fm27?hl4>309~w1ba2909w0:kf;3ba>;3lh0:??5rs46:>5<4s4?8j7?=7:?67a<6:>1699o51`g8yv34l3:1>v3:3e82e`=:==21=>>4}r774?6=:r7>?h4>269>116=9ho0q~;;b;296~;2<90:>:5255`95dc52z?60d<6:>1699?51`g8yv33:3:1>v3:40826==:==81=lk4}r777?6=:r7>8<4>289>115=9ho0q~?:4;297~;2<=0:>552556957?<58??68`83>d}:==?1=?74=465>44>34??;7?=9:?60=<6:016=8;51238910>288370?7a;3ba>;6180:>552180957><58386<<7;|q600<72;q699;51`g8902428827p}:4783>7}:==<1=lk4=460>4573ty>8:4?:3y>111=9ho018:<:012?xu2<10;6?u255:95dc<5<>86<==;|q100<72:q6>>m513589720288270<;6;3ba>{t::i1<7?={<00g?7fm279894>319>1<>=9;201;><:00;?84413;8<63<138274=:=o31=?64=4db>44?34?hj7?=8:?6``<6:1169ih513:890c7288370?k6;31<>;6l>0:>55256f957><5<=n6<<7;<74b?75027>>n4>299~w75b2909w0<;7;31<>;5;l0:mh5rs364>5<5s48?;7?ne:?103<6:>1v?=k:181843>3nh70<{t::l1<744?3488j7?ne:p616=838p1?=j:00:?84383;ji6s|2g394?g|5;>:6<<7;<076?7502798>4>299>6c7=9ho01?h::00;?84am3;9463=fg826==:;921=?64=22b>44?349:>7?=8:p6c?=83kp1?:>:00:?843:3;9563=42826<=::o31=lk4=3df>44>348mj7?=9:?04<<6:116?=o513;8975>288370=>2;31=>{t;991<77t=362>457348?>7?<0:?106<6;916?==51`g8966?288270=?9;31=>;48h0:?=5222;957?<5:;96<=?;|q125<728lp1?:>:012?843<3;9463=3e826==::>n1=?64=3d6>44>348m>7?=8:?045<6:116>ko513:8966e288370=?4;31<>;5;?0:>552297957><5;2o6<<7;<0:`?750279m84>299>6db=9;201?l::00;?84en3;9463=cc826==::m91=?64=3fa>44?348n?7?=8:?1=0<6:116?=h513:897ce288370<9f;31<>;5?>0:>55226:957><5;<;6289~w7262909w0<;1;3ba>;5<90:>55rs345>5<6lr798?4>309>612=9;301?=k:00:?840l3;9563=f48275=::o81=?74=223>44>348mm7?=9:?04g<6:016?=:513;89751288270<75;31=>;50m0:>45228f957?<5;k>6<<6;<0b`?751279n84>289>6g`=9;301?mm:00:?84c;3;9563=dc826<=::l91=?74=3;6>44>349;j7?=9:?1ag<6:016>;h513;89710288270<88;31=>;5>?0:mh5rs361>5<5s48?>7?ne:?105<6:01v>>j:1823~;5<:0:?<522569567<5;=o6<=?;<0e1?749278<=4>319>75d=9::01?6::013?84?l3;8<63=9e8275=::h?1=>>4=3cg>457348i97?<0:?1fc<6;916>nl5122897b4289;70;5m:0:?=522879566<5::n6319>621=9::01?97:013?xu5<:0;6?u225195dc<5;>;6<=?;|q101<72;q6>9:51`g89727289:7p};1083>6}:<9<1=?94=530>44>34>:>7?ne:p050=839;w0:?6;3ba>;38j0:>45241f9566<5=:n6<<6;<63b?74927?==4>319>7`g=9;h01>km:00a?85bk3;9n6344f34>:h7?=a:?76<<6:1169hm5123890cc289;70;je;31=>;4no0:>o52412957d<5=::6<4>2`9>532=9;201<7;:00;?87??3;9463>a08274=:9h81=>>4=2a`>44?34>h:7?=a:?7g2<6:h168n6513c891e>288j70;?1;306>;28;0:?<525119564<5<:?6<=>;<731?74827ni7?<0:?fb?75j27m<7?=b:?e5?75i27m>7?=a:?24a<6;816==k51258946a289<70?>0;302>;6980:?;52106957>;;7>52z?756<6:1168=951`g8yv26;3:1>v3;1282e`=:<881=?94}r63299>05>=9ho0q~:?9;296~;38>0:>45241;95dc53z?74d<6:1168=o513;890ce28kn7p};0`83>7}:<9k1=lk4=52:>44?3tynh7>53z?74g<6:1168=l513;89`b=9ho0q~:?b;296~;38k0:mh5241;957?541y>05e=9::019>j:013?83>>3;94639068275=:;;=1=?74=20;>44>349nj7?=8:?235<6:016=:o513;890>1288270;78;304>;20h0:>552475957?<5=m<4>319>04d=9;h019?l:00a?826l3;9n63;2`826<=:=ok1=>>4=4d`>45734?nm7?<0:?6aa<6;8169hk512289164288i70;j0;304>;6i;0:?<521e7957?<58n=6<=?;<1`f?751278>=4>339>77b=9ho0189=:00:?830n3;8<63:b0826<=:=k81=?74=4`7>44?34>nm7?=9:?7ag<6:01685k5122891?e288270mm:00:?82213;9563;5c826<=:44e34>h47?=b:?7g<<6:k169=<512089062289:70;=c;304>;b03;9563j9;31=>;bj3;9463je;305>;a93;9n63i2;31f>;a>3;9563i7;31=>;a13;9463>0e8277=:98:1=>94=032>4503ty?05e=9ho019>6:013?xu39=0;6?7t=52g>45634>;i7?<1:?74c<6;;168<>5123896cf288h70=jc;31g>;39=0:mh5240;957><5=;i6<:4>299>07>=9;2018kl:011?83bl3;8>63:ed8274=:;ol1=?m4=522>44d34;>i7?=8:?21`<6:016=5k513:894>b288270?73;31<>;60=0:>55218g957><58k;6<<7;<3b5?74:27:m?4>339>7f?=9;2019m9:00`?82d03;9o63:008276=:=981=>=4=420>45434?;87?<2:?640<6;;16ih4>339>55b=9:901<>j:00b?877n3;9m63>11826d=:98;1=?o4}r615?6==;q68=j51208916b289970:?f;307>;3990:??523d`957e<5:oo6<309>524=9::0198n:013?821j3;8=63;6b8275=:=8;1=>?4=431>45634?:?7?<2:?651<6;8168:k51238911a289970:70;305>;3910:>55240:957?<5=;o6<:4>289>07?=9;3019=4=4gf>45534>;<7?=c:?747<6:j168==513a89403288270?64;31=>;60:0:>452197957><582=6<<6;<3;3?75127:5h4>289>5<`=9;201a38276=:;j31=?74=7:957><5?21=?74=b;957><5jk1=>>4=b`9566<5ji1=>?4=0d3>45634;m=7?<2:?2b7<6;8168n9513a891e>288h70;?1;300>;28;0:?9525119562<5<:?6<=<;<731?74;27ni7?<3:?e`?74927mi7?<1:?eb?74927:<=4>309>557=9:;01<>;:012?877=3;8=63>078274=:99=1=>?4=02;>45634;;h7?<4:?24`<6:k16==h513`89477288i70?>1;31f>;6900:?<5210c9567<58;i6<=>;<32g?74927:=i4>309~w3d=83?nw0:?d;307>;38l0:?>5241d9562<5=;;6<=<;<1fe?75l278io4>2e9>7`e=9;n01>kk:00g?871n3;9563>718274=:9>;1=><4=051>45634;;2980:??525009564<5<;86<=<;<720?74:27?;h4>339>02`=9:90196?:011?826j3;9h63;1b826a=:<8n1=?j4=50:>45734>9m7?<1:?76g<6;8169hm5126890cc289?70;je;307>;4no0:>i52412957b<5=::6<4>2e9>537=9;301<8=:00:?871;3;9563>658275=:90;1=?74=0;1>44>34;2?7?=9:?2=1<6;916=585122894>0289;70?n0;304>;6i80:?9521`09562<5j31=?74=bc9567<5jh1=>?4=ba9564<58l;6<==;<3e5?74;27:j?4>339>2g<6il168>m513:891e1288o70:l7;31`>;3k10:>i524b;957b<5<::6<=:;<736?74=27><>4>349>152=9:>018>::017?8cb289?70ki:00`?8`7288h70h>:00`?8`5288h70hk:011?8`b289970hi:011?87783;8>63>008277=:99>1=><4=026>45534;;:7?<2:?242<6;;16==651208946c289>70??e;31g>;68o0:>n52102957e<58;:6<339>54d=9:8016s|41f94?4|5=:o6{t<9l1<74gb34>;57?<3:p775=83>9w0:>0;300>;18?0:?<526159567<5;hj6<=9;<0`1?74>278>>4>ad9>771=9::01><7:013?85bi3;9i6344b349nj7?=9:?22a<6:h16=:<5120890>0289:70;78;305>;20h0:>45247a9564<5<;?6<=<;<6;4?74;27>m=4>309>1d7=9:;019?m:00f?826k3;9i63;1e826`=:<;h1=><4=4da>45634?mo7?<1:?6a<<6;8169ho5123890cb289?70=if;31a>;3890:>h52413957c<5=:96<2`9>1g5=9;3018l;:00:?82bk3;9563;8e8274=:<1o1=>?4=ba9565<58l96<=<;<66g?75127?o;4>2d9>0f1=9;o019m7:00f?82d13;9i63;f68274=:=9>1=>;4=426>45234?9m7?<1:?fe?75127nn7?=9:?fb?75l27m<7?=d:?e5?75l27m>7?=d:?e1;31`>{t<8:1<74gb34>;57?<4:p1i3;ji6s|59g94?7?s4?3i7?ne:?6=0<6:016948513;890?0288370;68;31=>;18?0:??526159564<5<2<6<==;<7;m=4>339>1d7=9:8018h6:00:?83aj3;8>63:fb8277=:=l31=><4=4gb>45534?oj7?=9:?2`2<6:0169:k513;891>c289970:7e;306>;3n=0:?=524g59564<58:i6<=?;|q6a28kn7p}:9183>7}:=1l1=?64=4;3>4gb3ty>5<4?:3y>1=`=9;30187>:0cf?xu2m<0;6ou2580957><5<396<<6;<7:b?75027>5k4>289>1`3=9ho018j::00;?83c=3;9563:78826==:=>31=?74=4c4>44?34?j;7?=9:p1<4=838p187=:0cf?83>93;946s|53`94?5|5<386<<7;<7:7?75127>>o4>ad9~w0?42909w0;63;3ba>;2180:>45rs4`3>5<4s4?287?=8:?6=1<6:0169o>51`g8yv3><3:1>v3:9582e`=:=0;1=>>4}r7;6?6=>r7>584>319>1<0=9::0186=:0cf?83?>3;8<63:868276=:=121=>=4}r7:1?6=:r7>584>ad9>1<7=9:;0q~;66;296~;21?0:mh5258395647>526y>1<1=9;301877:013?807>3;8?639068276=:>921=>>4=4:4>45334?347?<4:?65121890g6289870;i2;3ba>;2n10:>5525d;9565<5i=4>309>1`7=9::018k=:013?87c<3;9463>d48275=:=>o1=>>4=45e>45634?i=7?<0:?6f7<6;9169o=5122890d3289;70:ja;304>;3mk0:?=524da9566<5=2o6<=<;<6;a?74;27>>n4>309>17b=9::018289;7p}:c`83>41|5<3<6<=?;<1fb?74827>j54>289>1c?=9::018hn:012?83aj3;8?63:fb8276=:=jk1=lk4=0f7>44>34;o97?<1:?2`3<6;816=i95122894b?289;70::8;304>;3=00:?=5244c9566<5=?i6<=?;<66g?74827n;7?<0:?f93;8?6s|5gd94?4cs4?247?<1:?6bc<6il16:=:513:8936?289:70=jf;305>;20h0:?<525g;9567<5hh4>289>1a`=9:;018k?:011?83b93;8=63:e38274=:9m<1=><4=0f4>45634;o47?<1:?63a<6:0169:k51238901a289970;m1;305>;2j;0:?<525c19567<5;<6fe?74927?io4>309>0`e=9:;019;7:012?82213;8=63;5`8274=:<?4=57`>45634?9o7?<2:?66a<6;8169?k512389`1=9:;01h6512389`?=9:;01ho512389`d=9:;01k;512389c0=9:;01k9512389c>=9:;01k751238yv3>03:1>v3:9982e`=:=0;1=>:4}r37=?6=:r7:884>269>51g=9ho0q~?;5;291~;6<<0:mh5238c957?<5:3;6<=?;<6bg?75127:=84>299~w42e2909w0?;c;31<>;65<5s4;?o7?ne:?20d<5;=1v<:9:181873i3;9;63>4782e`=z{8><6=4={<372?75027:8:4>ad9~w42?2909w0?;6;31=>;6<10:mh5rs075>5<5s4;?h7?=7:?212<6il1v<:k:185873l3;ji63<9`8275=:;0;1=>>4=2fa>44?349on7?=9:?7ef<6;91v<;::181872<3;9;63>5482e`=z{8?36=4={<36=?75027:954>ad9~w43>2909w0?:9;3ba>;6=>09?95rs06f>5<5s4;>;7?=7:?20`<6il1v<:i:181873m3;9463>4g82e`=z{8?;6=4={<37a?75127:9=4>ad9~w4362909w0?:1;3ba>;6=90:>55rs071>5<5s4;>>7?ne:?215<6:01v<;<:181872;3;ji63>518275=z{;2:6=4<{<04g?75?2794>4>289>6=4=9ho0q~<8c;29b~;5?j0:mh5229:957><5;3;6<<7;<0b4?750279m=4>289>6d>=9;201?l?:00;?84e03;9463=c3826==::jo1=?64=3f5>44?348oi7?=8:?1a3<6:116>46513:897cb28837p}=7d83>7}::191=?64=35f>4gb3ty94>4?:3y>6=5=9ho01?6=:004?xu5?m0;6?u22909`f=::>n1=lk4}r04b?6=:r79;h4>299>62`=9ho0q~<70;296~;5?l0:>45229295dc53z?1b4<6:>16>k6513;897`028kn7p}=f283>7}::o21=?64=3d0>4gb3ty9j54?:3y>6c>=9ho01?h8:004?xu5n;0;6?u22g59`f=::o81=lk4}r0e0?6=:r79j>4>299>6c2=9ho0q~4522g795dc52z?1b<<6:>16?=<51`g8yv4aj3:1>v3<038262=::oh1=lk4}r0ee?6=:r78ad9~w7`b2909w0;5nm0:>55rs3de>5<5s48mj7?ne:?1ba<6:01v>>?:18185783;ji63=fe8275=z{::h6=4={<137?75?278ad9~w6622909w0=?d;313>;48<0:mh5rs227>5<5s49;h7jl;<130?7fm2wx?=850;0x9662288370=?6;3ba>{t;9=1<744>349;;7?ne:p75>=838p1>>7:0cf?857?3;946s|31;94?4|5::26{t;9h1<74gb349;;7?<1:p25g=838p18hi:004?807j3;ji6s|61;94?4|5?:>6<<8;<43=?7fm2wx:=;50;1x936228kn70;8a;31<>;2?h0:>45rs723>5<5s4<;n7?=7:?545<6il1v;>>:18180783;946390082e`=z{?:96=4={<434?75127=ad9~w7522909921=><4=316>4gb349nj7?<2:?6;2j80:??525c09564<5339>0`d=9:8019kl:011?82203;8>63;588277=:<<4=57a>45534>>o7?<2:?66a<6;;169?k512089`1=9:801h6512089`?=9:801ho512089`d=9:801k;512089c0=9:801k9512089c>=9:801k751208yv07;3:1>v390282e`=:>981=?64}r7`0?6=>r7=<94>289>1f2=9ho018m>:00;?83d93;9563:ad826==:=ho1=?74}r7aa?6=319>1gc=9ho018lm:00;?83ej3;956s|61694?4|5?:?6{t>9=1<74gb34<;57?=9:p13`=83;mw08?8;307>;4mo0:?>5259c9565<5329>13`=9ho018l>:010?83e:3;8?63:b28276=:=k>1=>=4=5gb>45434>nn7?<3:?7af<6;:1688651218913>289870::a;307>;3=k0:?>5244a9565<5<8o6<=<;<71a?74;27n;7?<3:?f{t::k1<74403488n7?ne:p661=838p1?=m:004?844?3;ji6s|22494?4|5;9i6im4=315>4gb3ty9?54?:3y>661=9;201?=7:0cf?xu5;00;6?u2225957?<5;9265:5135897>e288270<7a;3ba>{t:1>1<7:t=3:7>4gb3482<7?=a:?1==<6:h16>hk513c8yv4?>3:1>v3=8c826==::1<1=lk4}r0;f?6=:r794o4>ad9>6=g=9;=0q~<75;296~;50h0oo63=8482e`=z{;2<6=4={<0;2?7502794:4>ad9~w7>?2909w0<76;31=>;5010:mh5rs3;`>54m51`g897g?288270;5j10:>4522b0957?<5;in6<<6;<0g2?751279hh4>289>6`0=9;301?77:00:?84bm3;956s|2`694?e|5;236<=?;<0:4?748279m94>ad9>6g6=9::01?l7:013?84d:3;8<63=cd8275=::m<1=>>4=3ff>457348n:7?<0:?1==<6;916>hk51228yv4fk3:1nv3=898274=::0:1=>?4=3c`>4gb348i47?<1:?1g7<6;816>nk5123897b1289:70;5m?0:?<5228:9567<5;on6<=>;|q1f1<72hq6>565120897?7289970;5k;0:??522bg9564<5;n=6<==;<0ga?74:279i;4>339>6<>=9:801?kj:011?xu5jl0;64u229:9565<5;3;6<=<;<0aa?7fm279oh4>329>6a0=9:901?jj:010?84b>3;8?63=998276=::lo1=>=4}r0`e?6=0r79454>359>6<6=9:>01?mn:0cf?84c>3;8863=dd8271=::l<1=>:4=3;;>453348ni7?<4:p6a4=83=p1?67:016?84>83;8963=d382e`=::mo1=>;4=3g5>452348247?<5:?1a`<6;<1v?jn:18584?03;8:63=918273=::mk1=lk4=3g5>451348247?<6:?1a`<6;?1v?k=:18684?03;8;63=918272=::l81=lk4=3;;>450348ni7?<7:p6<7=839p1?6l:004?84>;3;9563=9382e`=z{;2h6=4<{<0;g?7fm279554>2c9>6`c=9;h0q~<7e;296~;51:0:>55229g95dc52z?1=6<6il16>4<51358yv4?l3:1>v3=938gg>;50m0:mh5rs3:e>5<5s483i7?=8:?14>289>6d4=9ho0q~<6e;296~;5i:0:>55228g95dc52z?1e6<6il16>l<51358yv4>l3:1>v3=a38gg>;51m0:mh5rs3;e>5<5s482i7?=8:?1=c<6il1v?o?:18184>m3;9563=a182e`=z{;k26=4<{<0b0?75?279mo4>289>6dg=9ho0q~5522`495dc52z?1eg<6il16>lo51358yv4f=3:1>v3=a`8gg>;5i<0:mh5rs3c4>5<5s48j:7?=8:?1e2<6il1v?o7:18184f>3;9563=a982e`=z{;h:6=4<{<0bg?75?279n>4>289>6g4=9ho0q~5522`g95dc52z?1f6<6il16>o<51358yv4fl3:1>v3=b38gg>;5im0:mh5rs3ce>5<5s48ji7?=8:?1ec<6il1v?l?:18184fm3;9563=b182e`=z{;hi6=4<{<0a0?75?279ni4>289>6ge=9ho0q~:522cc95dc53z?1f<<6il16846513:891??28827p}=b783>7}::kn1=?64=3`5>4gb3ty9ni4?:3y>6gb=9ho01?ll:004?xu5j<0;6?u22ca9`f=::k?1=lk4}r0a3?6=:r79n;4>299>6g1=9ho0q~4522c:95dc5dz?1fd<6;>16>n;51258940?28kn70?9d;31f>;2>80:?8525709563<5:ih6<<6;<1``?750278oh4>2c9>0l3;8<63;9d826<=z{8==6=4;{<0ae?75i279o84>2`9>520=9ho01<9m:00:?xu5k>0;6>u22cg9571<5;i26<<6;<0`n850;0x97e4288<70{t:j91<7=t=3a0>4gb34>257?=8:?7=<<6:01v?m?:18184d13;9463=c182e`=z{;i26=4={<0`=?7fm279o54>269~w7da2909w0n<50;0x97e7288270{t:j>1<74gb348h:7?=8:p6f3=838p1?m::0cf?84d>3;956s|2bd94?5|5;ij6<<8;<0g5?751279h=4>ad9~w7ed2909w0;5kj0:mh5rs3f2>5<5s48o=7?ne:?1`5<6:>1v?mm:18184c83nh70{t:jn1<744?348hh7?ne:p6fc=838p1?ml:00:?84dm3;ji6s|2e594?5|5;n96<<8;<0g=?751279h54>ad9~w7b32909w0;5l=0:mh5rs3f:>5<5s48o57?ne:?1`=<6:>1v?j<:18184c03nh70{t:m?1<744?348o97?ne:p6a0=838p1?j;:00:?84c>3;ji6s|2ed94?5|5;nj6<<8;<0f5?751279i=4>ad9~w7bd2909w0;5lj0:mh5rs3g2>5<5s48n=7?ne:?1a5<6:>1v?jm:18184b83nh70{t:mn1<744?348oh7?ne:p6ac=838p1?jl:00:?84cm3;ji6s|2d594?5|5;o96<<8;<0f=?751279i54>ad9~w7c32909w0;5m=0:mh5rs3g:>5<5s48n57?ne:?1a=<6:>1v?k<:18184b03nh70{t:l?1<744?348n97?ne:p6`0=838p1?k;:00:?84b>3;ji6s|33;94?5|5:886<<8;<11f?751278>l4>ad9~w6432909w0==b;31<>;4:=0:mh5rs20a>5<5s499n7?ne:?06d<6:>1v><::181855<3;9463<2482e`=z{:8=6=4={<110?751278>;4>ad9~w6402909w0==7;3ba>;4:?0:>55rs23a>5<5s49947?<2:?05g<6il1v><7:18185503;ji63<27826<=z{;326=4<{<0:0?75?2795o4>289>652z?1=g<6:116>4851`g8yv4>j3:1>v3=9c82e`=::0k1=?94}r0:1?6=:r795l4kc:?1=0<6il1v?78:18184>>3;9463=9682e`=z{;336=4={<0:2?751279554>ad9~w6742909w0=?e;313>;49=0:mh5rs233>5<5s49:87?=7:?055<6il1v>>i:181856<3nh70=?f;3ba>{t;8;1<744?349:=7?ne:p744=838p1>??:00:?856:3;ji6s|3g294?5|5:o>6<<8;<1e6?751278j<4>ad9~w6c2290iw0=j5;3ba>;4mh0:>k523d`957`<5:oh6<309>g<<6;9168n8513d891e0288m70:l8;31b>;3k00:>k5rs2ge>5<5s49ni7?=7:?0ac<6il1v>kj:18085bm3;ji63:f7826==:=o<1=?74}r1f2?6=:r78j?4>299>7`0=9ho0q~=i2;296~;4n;0:mh523g3957152z?0a3<6:116?h951`g8yv5b03:1>v3299>7`?=9;3019m::0cf?xu4m00;6?u23d;95dc<5:o36<<7;|q0ad<72;q6?ho51`g896c?28827p}7}:;lh1=lk4=2g;>4573ty8in4?:3y>7`e=9ho01>k7:012?xu4mm0;6?u23df95dc<5:o36<==;|q231<72;q6=;651358941228kn7p}>7283>7}:9?o1=?94=050>4gb3ty::h4?:2y>53c=9ho01<;i:00;?872n3;956s|17;94?4|58=>6<<8;<35=?7fm2wx=;o50;0x940>288370?9a;3ba>{t9?h1<744>34;=n7?ne:p7fg=839p1<8l:00;?871k3;9563299~w40c2909w0?9d;3ba>;6>k0:>45rs2ff>5<4s4;=j7?<0:?0``<6il16=;<51228yv71n3:1>v3>6g82e`=:9>91=?64}r344?6=:r7:;=4>ad9>525=9;30q~?81;296~;6?80:mh5216195667>52z?237<6il16=:=51238yv70k3:1>v3>778262=:9>n1=lk4}r343?6=:r7:;i4>269>521=9ho0q~?88;296~;6?>0:>55216:95dc52z?232<6:016=:751`g8yv70i3:1>v3>7`82e`=:9>31=?64}r1`2?6=;r7:;o4>319>7f0=9ho019=l:00:?xu6?k0;6?u216`95dc<58=26<<6;|q1ac<72;q6>ho5135897`728kn7p}=e`83>1}::lk1=lk4=34e>456348<;7?<1:?13=<6;81v?kl:18184a83;9;63=eb82e`=z{;oi6=4={<0e4?bd348nn7?ne:p6`b=838p1?kl:00;?84bl3;ji6s|2dg94?4|5;oh6<<6;<0fa?7fm2wx?h=50;0x96bb288<70=j4;3ba>{t;l:1<7440349n<7?ne:p7a`=838p1>k;:ea896ba28kn7p}7}:;l:1=?64=2g2>4gb3ty8i?4?:3y>7`6=9;301>k=:0cf?xu4nh0;6:u23ed957><5:nm6<<6;<1e0?750278j94>289>7cg=9ho019=m:00;?824j3;956s|3g:94?4|5:l86<<8;<1e=?7fm2wx?k=50;1x96`428kn70:99;304>;61;0:?=5rs2d6>5<5s49m57?=7:?0b0<6il1v>h;:18185a13nh70=i4;3ba>{t;o<1<744?349m:7?ne:p7c1=838p1>h::00:?85a?3;ji6s|59`94?5|5<296<<8;<7;`?75127>4n4>ad9~w0>f2909w0;79;313>;20h0:mh5rs4::>5<4s4?357?ne:?6`f<6:1169im513;8yv3?;3:1>v3:8e826==:=191=lk4}r7;`?6=:r7>4i4>ad9>1=e=9;=0q~;74;296~;20:0:>55259695dc52z?6<6<6:01695;51`g8yv3?>3:1>v3:8782e`=:=1?1=?64}r7;3?6=:r7>4:4>ad9>1=3=9;30q~;78;296~;2010:mh525979566=i7>53z?727<6:>168:>51358910a28kn7p};7183>6}:<>:1=lk4=037>44>34;:97?=9:p03b=838p1987:004?821l3;ji6s|47:94?5|5=<36289~w1042909w0:9f;313>;3>:0:mh5rs547>5<5s4>=?7?=8:?721<6il1v98::181821;3;9563;6482e`=z{8;86=4<{<652?75027?:;4>289>545=9ho0q~:96;296~;3>?0:mh52477957>=;7>52z?722<6il168;;513;8yv2113:1>v3;6882e`=:ad9>03b=9;30q~:9b;296~;3>k0:mh5247f9566=o7>52z?72f<6il168;j51238yv31;3:1>v3:5e8262=:=?>1=lk4}r76a?6=:r7>:94>269>10c=9ho0q~;95;296~;2>=09855257795dcj7>52z?61`<6:11698h51`g8yv3183:1>v3:5d826<=:=?:1=lk4}r755?6=:r7>:<4>ad9>136=9;20q~;92;296~;2>;0:mh52572957?52z?64d<6:>169<851`g8yv36=3:1>v3:118262=:=8?1=lk4}r724?6=;r7>==4>ad9>073=9;2019<::00:?xu28k0;6?u25049571<5<:i67}:=9h1=?74=42g>4gb3ty>m94?:2y>15c=9;2018>j:00:?83f<3;ji6s|51g94?4|5<:n6;3n<0:mh5rs42e>5<5s4?;j7?ne:?64a<6:01v8?>:18183693;ji63:14826==z{<;96=4={<726?7fm27>=84>289~w0742909w0;>3;3ba>;29<0:?=5rs437>5<5s4?:87?ne:?650<6;81v96=:187820?3;9;63;778262=:<1>1=?74=5:0>4gb3ty?;;4?:5y>020=9ho0199m:00;?820k3;9463:1g826==z{=2:6=4={<64`?75?27?4<4>ad9~w11c2908w0:8d;3ba>;6910:>55210:957?<47>52z?7<1<6:1168:651`g8yv2?<3:1>v3;8582e`=:<191=?94}r64=?6=:r7?;54>299>02?=9ho0q~:8a;296~;3?10:>45246c95dc59z?73g<6:0168:m513;8970d28kn70<9f;306>;5?>0:??5226:9564<5<;h6<<7;<72a?75127>=k4>289~w7142902w0:8b;304>;3?j0:?=5227d9565<5;=86329>14e=9;3018?j:013?836n3;8<6s|46`94?4|5==i6;49<0:mh5rs55`>5<5s4>289~w1>72909w0:70;3ba>;3080:?=5rs0da>5<5s4;m97?=7:?2bg<6il1vf782e`=z{8l<6=4={<3e2?75027:j:4>ad9~w4`?2909w0?i6;31=>;6n10:mh5rs4;a>5<61r7:j44>289>5cg=9;30187m:0cf?87cn3;9463>e1826==:9l<1=?74=0g4>44?348;<7?=8:?144<6:116>=9513;8976?288370;58o0:>552207957><5;;=6<<7;<02g?751279=i4>299>675=9;301?<;:00;?845i3;9563=2c826<=:::;1=?64=311>44?34;nh7?=9:?2a`<6:01vf9826==z{8lj6=4={<3ee?7fm27:j54>289~w0g52909w0;6b;313>;2i:0:mh5rs4;`>5<5s4?j?7?=7:?6=f<6il1v87k:18183>k3;9463:9e82e`=z{<3n6=4={<7:g?75127>5h4>ad9~w0?a2909w0;6f;3ba>;21l0:>55rs4c3>5<5s4?j<7?ne:?6=`<6:01v8o>:18183f93;ji63:9d8275=z{=;m6=4={<620?75?27?>=4>ad9~w17b2909w0:>a;313>;39l0:mh5rs53b>5<4s4>:m7?ne:?0b`<6:116?kk513;8yv26=3:1>v3;218262=:<8?1=lk4}r622?6=:r7?=84>299>040=9ho0q~:>7;296~;39<0:>45240595dc:47>52z?75=<6il168<9513:8yv5c:3:19v3;18826<=:<;21=?74=2f1>4gb34;387?=9:?2=c<6:01v9?6:18182613;ji63;16826<=z{=;i6=4={<62f?7fm27?=h4>299~w17d2909w0:>c;3ba>;39l0:>45rs53g>5<5s4>:h7?ne:?75`<6;91v9?4>ad9~w1442909w0:=2;31<>;3::0:mh5rs507>5<5s4>9>7?=9:?761<6il1v9<::181825=3;ji63;25826==z{8:86=4<{<612?75027?>;4>289>555=9ho0q~:=6;296~;3:?0:mh52436957?9;7>52z?762<6il168?:51228yv2503:1>v3;2982e`=:<;>1=>?4}r61=?6=:r7?>44>ad9>072=9:80q~:=a;296~;3:h0:mh5243695659n7>52z?76g<6il168?:51268yv5e03:1>v3269>7g4=9ho0q~=m3;296~;4j;0:>5523c195dc52z?0f7<6:016?o:51`g8yv5?>3:14v3?4=2`4>4563493:7?ne:?6b?74;278;k4>299>72`=9;3019ok:012?xu4j<0;6?u23c795dc<5:h?6<<7;|q0f3<72;q6?o851`g896d328827p}7}:;k=1=lk4=2`7>4573ty85o4?:3y>7<2=9;=01>7l:0cf?xu41<0;6?u238a9571<5:3>67}:;0?1=?74=2;4>4gb3ty8554?:3y>7<>=9ho01>78:00;?xu4100;6?u238;95dc<5:3<6<<6;|q0=d<72;q6?4o51`g896?0289;7p}<3683>7}:;:91=?94=21;>4gb3ty8?94?:3y>76>=9;=01>=;:0cf?xu4;<0;6?u2326957><5:9>6:513;8965128kn7p}:fe83>7}:=o81=?94=4df>4gb3ty>j>4?:3y>1cc=9;=018h<:0cf?xu2n=0;6?u25g1957><57}:=o<1=lk4=4d6>44?3ty?9:4?:2y>1c1=9;2018h8:00:?822?3;ji6s|5g594?4|5{t=o31<74gb34?m97?<1:p1cg=838p18hn:0cf?83a=3;8>6s|5g`94?4|5{t;=n1<7=t=265>440349?j7?=9:?00`<6il1v>:8:181853n3;9463<4682e`=z{:>m6=4={<17b?7fm2788h4>269~w62?2909w0=;7;31<>;4<10:mh5rs26:>5<5s49?;7?=9:?00<<6il1v>8i:18;853i3;8<63<4b8277=:;>4=243>457349>:7?=9:?02=<6;916?;o51238960a28kn7p}<4`83>7}:;=k1=lk4=26:>44?3ty88o4?:3y>71d=9ho01>:6:00:?xu426<=?;|q0e4<72;q6?4j5135896g528kn7p}<9d83>7}:;h81=?94=2;f>4gb3ty85k4?:3y>77i:0cf?xu4i90;6?u238g957?<5:k;6{t;44?349>n7?ne:p735=838p1>8<:0cf?851:3;9;6s|34a94?4|5:?i6<<7;<16g?7fm2wx?8j50;0x963e288270=:d;3ba>{t;4gb349>h7?=8:p70`=838p1>;i:0cf?852l3;956s|37294?4|5:<;6{t;h>1<7440349j87?ne:p7d3=838p1>o;:00;?85f=3;ji6s|3`494?4|5:k?6<<6;<1b2?7fm2wx?lh50;0x96g>288<70=m0;3ba>{t;hk1<7440349jm7?ne:p7dd=838p1>on:00;?85fj3;ji6s|3`a94?4|5:kj6<<6;<1bg?7fm2wx?lj50;0x96gc28kn70=nc;31<>{t;ho1<74gb349jo7?=9:p70>=838p1>;?:004?85213;ji6s|34394?4|5:?26<<8;<165?7fm2wx?8<50;0x9636288370=:2;3ba>{t;<91<744>349>?7?ne:p702=838p1>;;:0cf?852;3;946s|34794?4|5:?>6{t;<=1<74gb349>?7?<1:p73e=839p1>8;:004?851m3;9563<6e82e`=z{:<>6=4={<15a?750278:84>ad9~w60b2909w0=9e;3ba>;4>m0:>:5rs245>5<5s49=97?=8:?023<6il1v>88:181851=3;9563<6682e`=z{:<36=4={<15299~w60>2909w0=99;3ba>;4>>0:>45rs24b>5<5s49=m7?ne:?022<6;91v>8m:181851j3;ji63<668274=z{;=;6=4<{<05g?75?279;?4>289>627=9ho0q~<9d;296~;5?;0:>55227f95dc7>52z?137<6il16>:?51358yv41m3:1>v3=6e826==::?o1=lk4}r05b?6=:r79:i4>289>63`=9ho0q~<89;297~;5?:0:>:5226`957?<5;=j6:l513:8971328kn7p}=7c83>7}::>h1=lk4=35b>4403ty9;84?:3y>622=9;201?9::0cf?xu5??0;6?u2266957?<5;==6:951`g8971128837p}=7983>7}::>21=lk4=355>44>3ty>j=4?:3y>1`3=9;=018h>:0cf?xu2mo0;6?u25d`9571<57}:=l<1=?64=4g4>4gb3ty>i54?:3y>1`0=9;3018k7:0cf?xu2m00;6?u25d;95dc<57}:=li1=lk4=4ge>44?3ty>ii4?:3y>1`b=9ho018ki:00:?xu2ml0;6?u25dg95dc<5751358942328kn7p}>3`83>7}:9=>1=?94=01b>4gb3ty:?o4?:3y>56g=9;201<=m:0cf?xu6;j0;6?u212c957?<589h6j51`g8945d28837p}>3d83>7}:9:o1=lk4=01`>44>3ty:?k4?:3y>56`=9ho01<=l:013?xu3ih0;6>u2152957><58>;6<<6;<6be?7fm2wx=9>50;0x942728kn70?{t9=;1<74gb34;8o7?<2:p514=838p1<:=:0cf?874k3;8?6s|39c94?4|5:2=6<<8;<1;f?7fm2wx?5950;0x96>e288<70=77;3ba>{t;121<744?349347?ne:p7=?=838p1>68:00:?85?13;ji6s|39a94?0|5:226<<7;<1;=?7512784n4>ad9>25<6;:16?5=5121891gc28997p}<9383>7}:;1i1=?94=2;0>4gb3ty84i4?:3y>7<5=9;=01>6k:0cf?xu40l0;6?u239f957><5:2n6a28kn7p}<9183>7}:;0:1=lk4=2:e>44?3ty85<4?:3y>7<7=9ho01>6i:00:?xu4l?0;6?u23e09571<5:n<67}:;m91=?64=2f7>4gb3ty8h84?:3y>7a5=9;301>j::0cf?xu38=0;6?u23gc9571<5=:>67}:;oh1=?64=2d`>4gb3ty8ji4?:3y>7cd=9;301>hk:0cf?xu4nl0;6?u23gg95dc<5:lo6<<7;|q0bc<72;q6?kh51`g896`c28827p};0183>7}:<9:1=lk4=2dg>4573ty?<<4?:3y>057=9ho01>hk:012?xu38;0;6?u241095dc<5:lo6<==;|q746<72;q68==51`g896`c28987p}>6483>6}:944>34;=:7?ne:p50d=838p1<88:00;?872j3;ji6s|17594?4|58<<6{t944>34;>h7?ne:p50c=838p1<;j:0cf?872l3;946s|14d94?4|58?m6536=9;201<8?:00:?8`e28kn7p}>6183>7}:9?:1=lk4=07g>4573ty::<4?:3y>537=9ho01<;k:012?xu6>;0;6?u217095dc<58?o6<==;|q226<72;q6=;=51`g8943c28987p}>6583>7}:9?>1=lk4=07g>4533ty:584?:2y>5=g=9;=01<78:00:?87>>3;ji6s|19`94?4|583<6<<7;<3;f?7fm2wx=4950;0x94?028kn70?66;313>{t91i1<744?34;3o7?ne:p5=b=838p1<6m:00:?87?l3;ji6s|19g94?4|582n6a28kn70?7d;31=>{t98=1<7=t=0;3>44?34;2<7?=9:?252<6il1v<7?:18187>83;ji63>8e8275=z{83:6=4={<3:5?7fm27:4i4>309~w4?52909w0?62;3ba>;60m0:??5rs0;0>5<5s4;2?7?ne:?2<3;ji63>8e8271=z{:=26=4={<141?75?278;l4>ad9~w6112909w0=8a;313>;4??0:mh5rs254>5<5s49<:7?=8:?032<6il1v>97:181850>3;9563<7982e`=z{8=n6=4;{<14289>52c=9ho01<6::00:?xu2k10;6?u25b69571<57}:=j?1=?64=4a5>4gb3ty>o:4?:3y>1f3=9;3018m8:0cf?xu2l10;6:u25b5957><5;o4>299>12d=9;30196l:00;?82?k3;956s|5e294?4|5{t=ji1<744?34?ho7?ne:p1fb=838p18mm:00:?83dl3;ji6s|5e094?2|5hh4>319>12b=9::0q~;m8;297~;2kl0:>4525bd9566<57}:=jl1=lk4=4ag>44>3ty>h;4?:3y>1a4=9;=018j8:0cf?xu2l:0;6?u25e59571<57}:=m91=?74=4f6>4gb3ty>i>4?:3y>1a>=9;=018k;:0cf?xu2l00;6?u25d69571<57}:=m31=?74=4fa>4gb3ty>hn4?:3y>1ae=9ho018jm:00;?xu3m00;6>u25ef957><5{t=mo1<74gb34?on7?<0:p1a`=838p18ji:0cf?83cj3;8=6s|5d294?4|5{t=l81<74gb34?on7?<4:p26<72;q69l4>269>21<6il1v8o50;1x90g=9ho01;?51218915428837p}:b;296~;1<3;9;63:b;3ba>{t=j0;6?u25c826==:=j0:mh5rs4f94?4|54gb34?o6<<7;|q6b?6=:r7>j7?ne:?6`?7512wx:=4?:3y>25<6il169i4>319~w37=838p1;?51`g890b=9:;0q~8=:18180528kn70;k:011?xu6010;6?u216g9571<582268183>7}:9>l1=?64=0:3>4gb3ty:4<4?:3y>52`=9;301<6>:0cf?xud03:1?v3>83826==:9181=?74=b:95dc7>52z?2<7<6il16=5?513:8yv7?;3:1>v3>8282e`=:91;1=?74}r3;0?6=:r7:494>ad9>5=7=9::0q~?75;296~;60<0:mh52193956752z?2<3<6il16=5?51208yv7??3:1>v3>8682e`=:91;1=>=4}r3b7?6=:r7:554>269>5d2=9ho0q~?68;291~;6110:mh5218g9566<583m6<=?;<1a`?750278ni4>289~w4?>2909w0?n4;313>;6100:mh5rs0;b>5<5s4;257?=8:?2=d<6il1v<7m:18187>13;9563>9c82e`=z{<:;6=4<{<3:g?75027:5n4>289>156=9ho0q~?6c;296~;61j0:mh5218`957>53z?2=a<6:116=4j513;8946d28kn7p}>9e83>7}:90n1=lk4=0;a>44>3ty:5h4?:3y>5;|q2e5<72;q6=l>51`g894?e28997p}>a083>7}:9h;1=lk4=0;a>4543ty:m?4?:3y>5d4=9ho01<7m:017?xu6l00;6?u21bd9571<58nj6;6l10:?95248g9566<5l=1=>:4=d:9562<5l31=>:4=dc9562<5lh1=>:4}r3g4?6=:r7:hl4>269>5a6=9ho0q~?k1;296~;6l90:>5521e395dc7>52z?2`5<6:016=i<51`g8yvc12908w0?k3;31<>;6l:0:>452e782e`=z{8n86=4={<3g7?7fm27:h?4>299~w4b32909w0?k4;3ba>;6l;0:>45rs0f6>5<5s4;o97?ne:?2`7<6;91v3;ji63>d38274=z{8n<6=4={<3g3?7fm27:h?4>339~w4b?2909w0?k8;3ba>;6l;0:?>5rs347>5<5s48=<7?=7:?120<6il1v?8>:181841=3;9;63=6082e`=z{;<96=4={<055?750279:?4>ad9~w7042909w0<91;31=>;5>:0:mh5rs23:>5<5s49:97?=7:?05d<6il1v>?9:181856i3;9;63<1782e`=z{:;<6=4={<122?750278=:4>ad9~w67?2909w0=>6;31=>;4910:mh5rs260>5<4s49857?=7:?000<6:016?9:51`g8yv5413:1:v3<3882e`=:;:n1=>?4=21f>4543498j7?<3:?005<6;:168n:513;8yv53:3:1>v3<408262=:;=81=lk4}r10e?6=:r78884>299>76g=9ho0q~=;5;296~;4<<0:mh52356957152z?07d<6:116?>l51`g8yv54k3:1>v3<3`826<=:;:i1=lk4}r10`?6=:r78?i4>ad9>76e=9;20q~=52z?07c<6il16?>m51228yv5383:1>v3<4182e`=:;:i1=>?4}r147?6=:r78:k4>269>722=9ho0q~=80;296~;4?=0:>:5236295dc52z?035<6:116?:?51`g8yv50:3:1>v3<71826<=:;>81=lk4}r1;0?6=:r78;o4>269>7=3=9ho0q~=8b;297~;4?k0:mh523919562<5=hi6<=>;|q03f<72;q6?5;51358961d28kn7p}<7e83>7}:;>i1=?64=25g>4gb3ty8;h4?:3y>72e=9;301>9j:0cf?xu4?o0;6?u236d95dc<5:=n6<<7;|q0<5<72;q6?5>51`g8961b28827p}<8083>7}:;1;1=lk4=25f>4573ty84?4?:3y>7=4=9ho01>9j:012?xu40:0;6?u239195dc<5:=n6<==;|q0f`<72;q6?oo5135896da28kn7p}7}:;kl1=?94=2`a>4gb3ty8nn4?:3y>7gd=9;201>ll:0cf?xu4jm0;6?u23c`957?<5:ho65135896e228kn7p}7}:;j?1=?94=2a2>4gb3ty8o?4?:3y>7f7=9;201>m=:0cf?xu4k:0;6?u23b3957?<5:i867}:;jk1=?94=2ae>4gb3ty8o:4?:3y>7a7=9;=01>m8:0cf?xu4k10;6?u23b5957><5:i3628kn7p}7}:;jh1=lk4=2ae>44?3ty8on4?:3y>7fe=9ho01>mi:00:?xu4l10;6?u23bf957?<5:n367}:;jo1=lk4=2ae>4563ty>o?4?:3y>1gc=9;=018m<:0cf?xu2jo0;6?u25b19571<57}:=kl1=?74=4a2>4gb3ty=57>52z?51?75?27=m7?ne:p20<72;q6:84>ad9>065=9;30q~89:18180f288<7089:0cf?xu1?3:1>v396;31<>;1?3;ji6s|6983>7}:>?0:>4526982e`=z{;ad9~w7002909w0<9b;313>;5>>0:mh5rs34;>5<5s48=;7?=8:?12=<6il1v?86:181841?3;9563=6882e`=z{:8:6=4={<12f?75?278>?4>ad9~w67d2909w0==2;313>;49j0:mh5rs23g>5<5s49:o7?=8:?05a<6il1v>?j:181856k3;9563<1d82e`=z{:;m6=4={<12b?7fm278=h4>299~w6472909w0==0;3ba>;49l0:>45rs212>5<5s499h7?=7:?077<6il1v>k4>ad9~w6572909w0==e;31=>;4;90:mh5rs2f`>5<5s49o47?=7:?0`a<6il1v>j6:18185cl3;9;63ad9~w6be2909w0=k9;31=>;4lk0:mh5rs402>5<5s4?:57?=7:?667<6il1v8?6:18083613;ji63lf;31<>;dn3;956s|53294?4|5<;o6<<8;<714?7fm2wx9;3=?0:>45rs43b>5<5s4?9>7?=7:?65d<6il1v8?m:181836i3;9463:1c82e`=z{<;h6=4={<72e?75127>=n4>ad9~w07b2909w0;>e;3ba>;2:90:>55rs43e>5<5s4?:j7?ne:?665<6:01v89<:181831n3;9;63:7582e`=z{<=;6=4={<740?75?27>;=4>ad9~w0162909w0;80;31<>;2?80:mh5rs451>5<5s4?<<7?=9:?637<6il1v86?:181830=3;9;63:8082e`=z{<=>6=4<{<741?7fm27?4l4>299>0=g=9;30q~;86;296~;2080:>:5256495dc52z?633<6:1169:951`g8yv3003:1>v3:77826<=:=>21=lk4}r74=?6=:r7>;44>ad9>12>=9;20q~;8a;296~;2?h0:mh5256:957?52z?63g<6il169:651228yv`32908w0;8c;31<>;2?j0:>452f582e`=z{<=h6=4={<74g?7fm27>;54>309~w01c2909w0;8d;3ba>;2?10:??5rs45f>5<5s4?m44>ad9~w0g22909w0;n9;313>;2i<0:mh5rs4c5>5<5s4?j97?=8:?6e3<6il1v8o8:18183f=3;9563:a682e`=z{n:4>ad9~w0gf2908w0;na;3ba>;3n?0:>5524g4957?52z?6f5<6:>169o;51`g8yv3fj3:1>v3:b68262=:=hh1=lk4}r7bg?6=:r7>mo4>299>1de=9ho0q~;nd;296~;2ik0:>4525`f95dc52z?6e`<6il169lj513:8yv2?j3:1?v3:ag826==:=hl1=?74=5:a>4gb3ty>mk4?:3y>1d`=9ho018ok:00:?xu2j80;6?u25c395dc<56<<7;|q6f7<72;q69o<51`g890d228827p}:b283>7}:=k91=lk4=4`6>4573ty>n94?:3y>1g2=9ho018l::012?xu2jj0;6?u25c:9571<528kn7p}:b`83>7}:=k31=?64=4`b>4gb3ty>no4?:3y>1g?=9;3018lm:0cf?xu6m80;6?u21e`9571<58o:6de83>7}:9mi1=?64=0fg>4gb3ty:hh4?:3y>5ae=9;30151`g894bb28827p}>e983>7}:9l81=?94=0g;>4gb3ty:i>4?:3y>5`>=9;=01<58o?6e783>7}:9l<1=lk4=0g6>44?3ty:i:4?:3y>5`1=9ho01=<5135894`c28kn7p}>fd83>7}:9on1=?64=0df>4gb3ty:jk4?:3y>5cb=9;301=?51`g894`a28827p}=0883>7}::991=?94=32:>4gb3ty9<94?:3y>65?=9;=01?>;:0cf?xu58<0;6?u2216957><5;:>6=:513;8976128kn7p}=0683>7}::9=1=lk4=325>44?3ty9<54?:3y>65>=9ho01?>9:00:?xu5990;6?u221c9571<5;;;6<>51358976e28kn7p}=0b83>7}::9h1=?64=32`>4gb3ty965d=9;301?>k:0cf?xu58l0;6?u221g95dc<5;:o6<<7;|q14c<72;q6>=h51`g8976c28827p}=1683>7}::8;1=?94=334>4gb3ty9=?4?:3y>641=9;=01??=:0cf?xu59:0;6?u2200957><5;;86<<513;8977328kn7p}=1483>7}::8?1=lk4=337>44?3ty9=;4?:3y>640=9ho01??;:00:?xu59l0;6?u220:9571<5;;n628kn7p}=1`83>7}::831=?64=33b>4gb3ty9=o4?:3y>64?=9;301??m:0cf?xu59j0;6?u220a95dc<5;;i6<<7;|q15a<72;q6>7}::8l1=?94=306>4gb3ty9>=4?:3y>673=9;=01?<5;8:6?>513;8974528kn7p}=2283>7}::;91=lk4=301>44?3ty9>94?:3y>672=9ho01?<=:00:?xu5:j0;6?u22349571<5;8h6?m51358974028kn7p}=2983>7}::;=1=?64=30;>4gb3ty9>44?:3y>671=9;301?<6:0cf?xu5:h0;6?u223c95dc<5;826<<7;|q16g<72;q6>?l51`g8974>28827p}=3283>7}::;n1=?94=310>4gb3ty9>h4?:3y>665=9;=01?<5;8m6?k513;8975728kn7p}=3083>7}:::;1=lk4=313>44?3ty9??4?:3y>664=9ho01?=?:00:?xu3ml0;6?u24d79571<5=on67}:4gb3ty?i:4?:3y>0`0=9;2019k8:0cf?xu3m10;6?u24d4957?<5=o367}:44>3ty?in4?:3y>0`e=9ho019kk:013?xu2;>0;6?u25219571<5<9<6951358905328kn7p}:3483>7}:=:>1=?64=416>4gb3ty>?;4?:3y>162=9;3018=9:0cf?xu3;=0;6?u24229571<5=9?6:51358915628kn7p};3383>7}:<:;1=?64=511>4gb3ty??>4?:3y>067=9;3019=<:0cf?xu3190;6?u24959571<5=3:6a28kn7p};8983>7}:<0;1=?94=5:;>4gb3ty?444?:3y>0=>=9;201966:0cf?xu30h0;6?u249:957?<5=2j6a28837p};8e83>7}:<1n1=lk4=5:e>44>3ty?4h4?:3y>0=c=9ho0196i:013?xu31o0;6?u24869571<5=k;65135891?228kn7p};9783>7}:<0?1=?64=5;5>4gb3ty?5:4?:3y>0<3=9;301978:0cf?xu3110;6?u248:95dc<5=3<6<<7;|q7=<<72;q684751`g891?028827p};9`83>7}:<0k1=lk4=5;4>4573ty?5o4?:3y>07}:<0o1=lk4=5;4>4533ty?mk4?:3y>0d0=9;=019l?:0cf?xu3il0;6?u24`c9571<5=kn65135891g028kn7p};a983>7}:4gb3ty?m44?:3y>0d1=9;3019o6:0cf?xu3ik0;6?u24``95dc<5=kn6<<7;|q7ef<72;q68lm51`g891gb28827p};ae83>7}:4573tyhi7>52z?`7?75?27hi7?ne:pga<72;q6o54>269>ga<6il1vn:50;0x9f4=9;=01n:51`g8yvea2909w0m;:004?8ea28kn7p}l5;296~;dm3;9;63l5;3ba>{tk?0;6?u2c4826==:k?0:mh5rsb594?4|5j?1=?74=b595dc4gb34io6<<7;|q`e?6=:r7hm7?ne:?``?7512wxoo4?:3y>gg<6il16oi4>319~wfe=838p1nm51`g89fb=9:;0q~?i4;296~;6m00:>:521g695dc52z?2ac<6:>16=k=51`g8yv7bn3:1?v3>eg82e`=:nj0:>552fb826<=z{8oj6=4={<3e0?75?27:il4>ad9~w4ce2909w0?ja;31<>;6mk0:mh5rs0g`>5<5s4;nm7?=9:?2af<6il1veb826==z{8on6=4={<3fa?7fm27:in4>289~w4`72909w0?i0;3ba>;6n:0:>55rs0d2>5<5s4;m=7?ne:?2b6<6:01vf28275=z{=9o6=4<{<603?75?27=n7?=7:?77`<6il1v9=7:181824m3;9;63;3982e`=z{=926=4={<60ad9~w15f2909w0:<8;31=>;3;h0:mh5rs51a>5<5s4>8n7?ne:?77d<6:11v9=l:181824k3;ji63;3`826<=z{=?n6=4<{<667?75?27i87?=7:?71c<6il1v9;k:181822?3;9;63;5e82e`=z{=??6=4={<66b?75?27?994>ad9~w1322909w0::4;31<>;3=<0:mh5rs575>5<5s4>>87?=9:?713<6il1v9;7:18182203;ji63;5e826==z{=?26=4={<66=?7fm27?9i4>289~w13f2909w0::a;3ba>;3=m0:?=5rs57a>5<5s4>>n7?ne:?71a<6;81v9;l:181822k3;ji63;5e8277=z{=hh6=4={<6a7?75?27?nn4>ad9~w1de2909w0:ma;313>;3jk0:mh5rs5`b>5<4s4>im7?ne:?7g6<6:1168n=513;8yv2e<3:1>v3;bb8262=:1=lk4}r6a1?6=:r7?n94>299>0g3=9ho0q~:m6;296~;3j=0:>4524c495dci;7>52z?7f2<6il168o8513:8yv2e03:1>v3;b982e`=:ad9>0g0=9::0q~:lb;296~;3jo0:>:524b`95dchm7>52z?7g0<6:>168no51`g8yv2d83:1>v3;cc8262=:299>0f7=9ho0q~:l2;296~;3k90:>4524b095dch?7>52z?7g6<6il168n<513:8yv2d<3:1>v3;c582e`=:ad9>0fg=9;20q~:l7;296~;3k>0:mh524bc957?h47>52z?7g=<6il168no51228yv2d13:1>v3;c882e`=:?4}r6e=?6=:r7?j<4>269>0c?=9ho0q~:i8;296~;3n<0:>:524g:95dcm>7>52z?7b<<6:>168k<51`g8yv2a;3:1>v3;f3826==:289>0c2=9ho0q~:i6;296~;3n?0:mh524g:957>m;7>52z?7b2<6il168k6513;8yv37?3:1>v3;fb8262=:=9=1=lk4}r732?6=:r7><=4>269>150=9ho0q~:id;296~;28>0:>:524gf95dcmi7>52z?7ba<6:1168kk51`g8yv2an3:1>v3;fe826<=:299>0c`=9;301<>n:0cf?xu2880;6?u251395dc<5<:=6<<7;|q647<72;q69=<51`g8906128827p}:0283>7}:=991=lk4=425>4573ty><94?:3y>152=9ho018>9:012?xu28<0;6?u251795dc<5<:=6<==;|q675<72;q69?;51358905728kn7p}:2g83>7}:=;h1=?94=40e>4gb3ty>>;4?:3y>166=9;=018<9:0cf?xu2:>0;6?u2534957><5<8<67}:=;31=lk4=40;>44?3ty>>l4?:3y>17g=9ho018<7:00:?xu2:j0;6?u253a95dc<5<8m6<<7;|q66a<72;q69?j51`g8904a28827p}:2d83>7}:=;o1=lk4=40e>4573tyh=7>52z?a`?75?27h=7?ne:pf`<72;q6o<4>269>f`<6il1voh50;0x9gc=9;201oh51`g8yve72909w0lj:00:?8e728kn7p}k6;296~;c:3;9;63k6;3ba>{tl:0;6?u2d78262=:l:0:mh5rse694?4|5m91=?64=e695dc6=4={44>34n>6a2<6il16in4>299~w`>=838p1h651`g89`e=9;30q~k6:1818c>28kn70kl:013?xubi3:1>v3ja;3ba>;bk3;8=6s|ec83>7}:mk0:mh52eb8277=z{o91<75<5s4on644?3tynj7>52z?fb?7fm27m?7?=9:pb5<72;q6j=4>ad9>b6<6;91vk?50;0x9c7=9ho01k=51238yv`52909w0h=:0cf?8`428997p}ia;296~;a<3;9;63ia;3ba>{tn<0;6?u2f482e`=:nh0:>55rsg494?4|5o<1=lk4=gc957?4gb34lj6<=?;|qeb<<6il16jl4>339~w4652909w0hm:004?877:3;ji6s|fb83>7}:nj0:mh52110957>4gb34;;>7?=9:pb`<72;q6jh4>ad9>554=9::0q~hi:1818`a28kn70??2;305>{t99:1<74gb34;;>7?<2:p557=838p1<>>:0cf?877:3;8?6s|11;94?4|58:86<<8;<33=?7fm2wx==:50;0x946328kn70??9;31<>{t99?1<74gb34;;57?=9:p550=838p1<>9:0cf?87713;8<6s|11594?4|58:<6{t99h1<744034;;n7?ne:p544=838p1<>l:004?876:3;ji6s|11f94?4|58:o62;31=>{t99l1<74gb34;:>7?<0:p546=838p16;3ba>{t98>1<74gb34;::7?=8:p543=838p13;956s|10g94?4|58;<6<<8;<32a?7fm2wx=<650;0x947?28kn70?>e;31<>{t9831<74gb34;:i7?=9:p54g=838p1e;306>{t98n1<74gb34;:i7?<3:~j0bf93:1=vsa5ec1>5<6std>hl=50;3xyk3ci=0;6{|l6`dd=83;pqc;kab83>4}zf51zm1agb290:wp`:d`d94?7|ug?on=4?:0y~j0be93:1=vsa5e`1>5<6std>ho=50;3xyk3cj=0;6{|l6`gd=83;pqc;kbb83>4}zf51zm1adb290:wp`:dcd94?7|ug?oo=4?:0y~j0bd93:1=vsa5ea1>5<6std>hn=50;3xyk3ck=0;6{|l6`fd=83;pqc;kcb83>4}zf51zm1aeb290:wp`:dbd94?7|ug?oh=4?:0y~j0bc93:1=vsa5ef1>5<6std>hi=50;3xyk3cl=0;6{|l6`ad=83;pqc;kdb83>4}zf51zm1abb290:wp`:ded94?7|ug?oi=4?:0y~j0bb93:1=vsa5eg1>5<6std>hh=50;3xyk3cm=0;6{|l6``d=83;pqc;keb83>4}zf51zm1acb290:wp`:ddd94?7|ug?oj=4?:0y~j0ba93:1=vsa5ed1>5<6std>hk=50;3xyk3cn=0;6{|l6`cd=83;pqc;kfb83>4}zf51zm1a`b290:wp`:dgd94?7|ug?n<=4?:0y~j0c793:1=vsa5d21>5<6std>i==50;3xyk3b8=0;69:182xh2m9=1<7?t}o7f4=<728qvb8k?9;295~{i=l:j6=4>{|l6a5d=83;pqc;j0b83>4}zf51zm1`6b290:wp`:e1d94?7|ug?n==4?:0y~j0c693:1=vsa5d31>5<6std>i<=50;3xyk3b9=0;69;295~{i=l;j6=4>{|l6a4d=83;pqc;j1b83>4}zf51zm1`7b290:wp`:e0d94?7|ug?n>=4?:0y~j0c593:1=vsa5d01>5<6std>i?=50;3xyk3b:=0;6{|l6a7d=83;pqc;j2b83>4}zf51zm1`4b290:wp`:e3d94?7|ug?n?=4?:0y~j0c493:1=vsa5d11>5<6std>i>=50;3xyk3b;=0;6{|l6a6d=83;pqc;j3b83>4}zf51zm1`5b290:wp`:e2d94?7|ug?n8=4?:0y~j0c393:1=vsa5d61>5<6std>i9=50;3xyk3b<=0;6j6=4>{|l6a1d=83;pqc;j4b83>4}zf51zm1`2b290:wp`:e5d94?7|ug?n9=4?:0y~j0c293:1=vsa5d71>5<6std>i8=50;3xyk3b==0;6{|l6a0d=83;pqc;j5b83>4}zfh7>51zm1`3b290:wp`:e4d94?7|ug?n:=4?:0y~j0c193:1=vsa5d41>5<6std>i;=50;3xyk3b>=0;6{|l6a3d=83;pqc;j6b83>4}zf51zm1`0b290:wp`:e7d94?7|ug?n;=4?:0y~j0c093:1=vsa5d51>5<6std>i:=50;3xyk3b?=0;6=1<7?t}o7f3=<728qvb8k89;295~{i=l=j6=4>{|l6a2d=83;pqc;j7b83>4}zf51zm1`1b290:wp`:e6d94?7|ug?n4=4?:0y~j0c?93:1=vsa5d:1>5<6std>i5=50;3xyk3b0=0;6{|l6a=d=83;pqc;j8b83>4}zf51zm1`>b290:wp`:e9d94?7|ug?n5=4?:0y~j0c>93:1=vsa5d;1>5<6std>i4=50;3xyk3b1=0;6{|l6a4}zf51zm1`?b290:wp`:e8d94?7|ug?nm=4?:0y~j0cf93:1=vsa5dc1>5<6std>il=50;3xyk3bi=0;6{|l6add=83;pqc;jab83>4}zf51zm1`gb290:wp`:e`d94?7|ug?nn=4?:0y~j0ce93:1=vsa5d`1>5<6std>io=50;3xyk3bj=0;6{|l6agd=83;pqc;jbb83>4}zf51zm1`db290:wp`:ecd94?7|ug?no=4?:0y~j0cd93:1=vsa5da1>5<6std>in=50;3xyk3bk=0;6{|l6afd=83;pqc;jcb83>4}zf51zm1`eb290:wp`:ebd94?7|ug?nh=4?:0y~j0cc93:1=vsa5df1>5<6std>ii=50;3xyk3bl=0;6{|l6aad=83;pqc;jdb83>4}zf51zm1`bb290:wp`:eed94?7|ug?ni=4?:0y~j0cb93:1=vsa5dg1>5<6std>ih=50;3xyk3bm=0;6{|l6a`d=83;pqc;jeb83>4}zf51zm1`cb290:wp`:edd94?7|ug?nj=4?:0y~j0ca93:1=vsa5dd1>5<6std>ik=50;3xyk3bn=0;6{|l6acd=83;pqc;jfb83>4}zf51zm1``b290:wp`:egd94?7|ug?m<=4?:0y~j0`793:1=vsa5g21>5<6std>j==50;3xyk3a8=0;69:182xh2n9=1<7?t}o7e4=<728qvb8h?9;295~{i=o:j6=4>{|l6b5d=83;pqc;i0b83>4}zf51zm1c6b290:wp`:f1d94?7|ug?m==4?:0y~j0`693:1=vsa5g31>5<6std>j<=50;3xyk3a9=0;69;295~{i=o;j6=4>{|l6b4d=83;pqc;i1b83>4}zf51zm1c7b290:wp`:f0d94?7|ug?m>=4?:0y~j0`593:1=vsa5g01>5<6std>j?=50;3xyk3a:=0;6{|l6b7d=83;pqc;i2b83>4}zf51zm1c4b290:wp`:f3d94?7|ug?m?=4?:0y~j0`493:1=vsa5g11>5<6std>j>=50;3xyk3a;=0;6{|l6b6d=83;pqc;i3b83>4}zf51zm1c5b290:wp`:f2d94?7|ug?m8=4?:0y~j0`393:1=vsa5g61>5<6std>j9=50;3xyk3a<=0;6j6=4>{|l6b1d=83;pqc;i4b83>4}zf51zm1c2b290:wp`:f5d94?7|ug?m9=4?:0y~j0`293:1=vsa5g71>5<6std>j8=50;3xyk3a==0;6{|l6b0d=83;pqc;i5b83>4}zfh7>51zm1c3b290:wp`:f4d94?7|ug?m:=4?:0y~j0`193:1=vsa5g41>5<6std>j;=50;3xyk3a>=0;6{|l6b3d=83;pqc;i6b83>4}zf51zm1c0b290:wp`:f7d94?7|ug?m;=4?:0y~j0`093:1=vsa5g51>5<6std>j:=50;3xyk3a?=0;6=1<7?t}o7e3=<728qvb8h89;295~{i=o=j6=4>{|l6b2d=83;pqc;i7b83>4}zf51zm1c1b290:wp`:f6d94?7|ug?m4=4?:0y~j0`?93:1=vsa5g:1>5<6std>j5=50;3xyk3a0=0;6{|l6b=d=83;pqc;i8b83>4}zf51zm1c>b290:wp`:f9d94?7|ug?m5=4?:0y~j0`>93:1=vsa5g;1>5<6std>j4=50;3xyk3a1=0;6{|l6b4}zf51zm1c?b290:wp`:f8d94?7|ug?mm=4?:0y~j0`f93:1=vsa5gc1>5<6std>jl=50;3xyk3ai=0;6{|l6bdd=83;pqc;iab83>4}zf51zm1cgb290:wp`:f`d94?7|ug?mn=4?:0y~j0`e93:1=vsa5g`1>5<6std>jo=50;3xyk3aj=0;6{|l6bgd=83;pqc;ibb83>4}zf51zm1cdb290:wp`:fcd94?7|ug?mo=4?:0y~j0`d93:1=vsa5ga1>5<6std>jn=50;3xyk3ak=0;6{|l6bfd=83;pqc;icb83>4}zf51zm1ceb290:wp`:fbd94?7|ug?mh=4?:0y~j0`c93:1=vsa5gf1>5<6std>ji=50;3xyk3al=0;6{|l6bad=83;pqc;idb83>4}zf51zm1cbb290:wp`:fed94?7|ug?mi=4?:0y~j0`b93:1=vsa5gg1>5<6std>jh=50;3xyk3am=0;6{|l6b`d=83;pqc;ieb83>4}zf51zm1ccb290:wp`:fdd94?7|ug?mj=4?:0y~j0`a93:1=vsa5gd1>5<6std>jk=50;3xyk3an=0;6{|l6bcd=83;pqc;ifb83>4}zf51zm1c`b290:wp`:fgd94?7|ug<;<=4?:0y~j36793:1=vsa6121>5<6std=<==50;3xyk078=0;69:182xh189=1<7?t}o434=<728qvb;>?9;295~{i>9:j6=4>{|l545d=83;pqc8?0b83>4}zf?:;h7>51zm256b290:wp`901d94?7|ug<;==4?:0y~j36693:1=vsa6131>5<6std=<<=50;3xyk079=0;6>9;295~{i>9;j6=4>{|l544d=83;pqc8?1b83>4}zf?::h7>51zm257b290:wp`900d94?7|ug<;>=4?:0y~j36593:1=vsa6101>5<6std==9;295~{i>98j6=4>{|l547d=83;pqc8?2b83>4}zf?:9h7>51zm254b290:wp`903d94?7|ug<;?=4?:0y~j36493:1=vsa6111>5<6std=<>=50;3xyk07;=0;6<9;295~{i>99j6=4>{|l546d=83;pqc8?3b83>4}zf?:8h7>51zm255b290:wp`902d94?7|ug<;8=4?:0y~j36393:1=vsa6161>5<6std=<9=50;3xyk07<=0;6;9;295~{i>9>j6=4>{|l541d=83;pqc8?4b83>4}zf?:?h7>51zm252b290:wp`905d94?7|ug<;9=4?:0y~j36293:1=vsa6171>5<6std=<8=50;3xyk07==0;6:9;295~{i>9?j6=4>{|l540d=83;pqc8?5b83>4}zf?:>h7>51zm253b290:wp`904d94?7|ug<;:=4?:0y~j36193:1=vsa6141>5<6std=<;=50;3xyk07>=0;699;295~{i>9{|l543d=83;pqc8?6b83>4}zf?:=h7>51zm250b290:wp`907d94?7|ug<;;=4?:0y~j36093:1=vsa6151>5<6std=<:=50;3xyk07?=0;6=1<7?t}o433=<728qvb;>89;295~{i>9=j6=4>{|l542d=83;pqc8?7b83>4}zf?:51zm251b290:wp`906d94?7|ug<;4=4?:0y~j36?93:1=vsa61:1>5<6std=<5=50;3xyk070=0;679;295~{i>92j6=4>{|l54=d=83;pqc8?8b83>4}zf?:3h7>51zm25>b290:wp`909d94?7|ug<;5=4?:0y~j36>93:1=vsa61;1>5<6std=<4=50;3xyk071=0;669;295~{i>93j6=4>{|l544}zf?:2h7>51zm25?b290:wp`908d94?7|ug<;m=4?:0y~j36f93:1=vsa61c1>5<6std=n9;295~{i>9kj6=4>{|l54dd=83;pqc8?ab83>4}zf?:jh7>51zm25gb290:wp`90`d94?7|ug<;n=4?:0y~j36e93:1=vsa61`1>5<6std=m9;295~{i>9hj6=4>{|l54gd=83;pqc8?bb83>4}zf?:ih7>51zm25db290:wp`90cd94?7|ug<;o=4?:0y~j36d93:1=vsa61a1>5<6std=l9;295~{i>9ij6=4>{|l54fd=83;pqc8?cb83>4}zf?:hh7>51zm25eb290:wp`90bd94?7|ug<;h=4?:0y~j36c93:1=vsa61f1>5<6std=k9;295~{i>9nj6=4>{|l54ad=83;pqc8?db83>4}zf?:oh7>51zm25bb290:wp`90ed94?7|ug<;i=4?:0y~j36b93:1=vsa61g1>5<6std=j9;295~{i>9oj6=4>{|l54`d=83;pqc8?eb83>4}zf?:nh7>51zm25cb290:wp`90dd94?7|ug<;j=4?:0y~j36a93:1=vsa61d1>5<6std=i9;295~{i>9lj6=4>{|l54cd=83;pqc8?fb83>4}zf?:mh7>51zm25`b290:wp`90gd94?7|ug<:<=4?:0y~j37793:1=vsa6021>5<6std====50;3xyk068=0;69:182xh199=1<7?t}o424=<728qvb;??9;295~{i>8:j6=4>{|l555d=83;pqc8>0b83>4}zf?;;h7>51zm246b290:wp`911d94?7|ug<:==4?:0y~j37693:1=vsa6031>5<6std==<=50;3xyk069=0;69;295~{i>8;j6=4>{|l554d=83;pqc8>1b83>4}zf?;:h7>51zm247b290:wp`910d94?7|ug<:>=4?:0y~j37593:1=vsa6001>5<6std==?=50;3xyk06:=0;688j6=4>{|l557d=83;pqc8>2b83>4}zf?;9h7>51zm244b290:wp`913d94?7|ug<:?=4?:0y~j37493:1=vsa6011>5<6std==>=50;3xyk06;=0;689j6=4>{|l556d=83;pqc8>3b83>4}zf?;8h7>51zm245b290:wp`912d94?7|ug<:8=4?:0y~j37393:1=vsa6061>5<6std==9=50;3xyk06<=0;68>j6=4>{|l551d=83;pqc8>4b83>4}zf?;?h7>51zm242b290:wp`915d94?7|ug<:9=4?:0y~j37293:1=vsa6071>5<6std==8=50;3xyk06==0;68?j6=4>{|l550d=83;pqc8>5b83>4}zf?;>h7>51zm243b290:wp`914d94?7|ug<::=4?:0y~j37193:1=vsa6041>5<6std==;=50;3xyk06>=0;68{|l553d=83;pqc8>6b83>4}zf?;=h7>51zm240b290:wp`917d94?7|ug<:;=4?:0y~j37093:1=vsa6051>5<6std==:=50;3xyk06?=0;6=1<7?t}o423=<728qvb;?89;295~{i>8=j6=4>{|l552d=83;pqc8>7b83>4}zf?;51zm241b290:wp`916d94?7|ug<:4=4?:0y~j37?93:1=vsa60:1>5<6std==5=50;3xyk060=0;682j6=4>{|l55=d=83;pqc8>8b83>4}zf?;3h7>51zm24>b290:wp`919d94?7|ug<:5=4?:0y~j37>93:1=vsa60;1>5<6std==4=50;3xyk061=0;683j6=4>{|l559b83>4}zf?;2h7>51zm24?b290:wp`918d94?7|ug<:m=4?:0y~j37f93:1=vsa60c1>5<6std==l=50;3xyk06i=0;68kj6=4>{|l55dd=83;pqc8>ab83>4}zf?;jh7>51zm24gb290:wp`91`d94?7|ug<:n=4?:0y~j37e93:1=vsa60`1>5<6std==o=50;3xyk06j=0;68hj6=4>{|l55gd=83;pqc8>bb83>4}zf?;ih7>51zm24db290:wp`91cd94?7|ug<:o=4?:0y~j37d93:1=vsa60a1>5<6std==n=50;3xyk06k=0;68ij6=4>{|l55fd=83;pqc8>cb83>4}zf?;hh7>51zm24eb290:wp`91bd94?7|ug<:h=4?:0y~j37c93:1=vsa60f1>5<6std==i=50;3xyk06l=0;68nj6=4>{|l55ad=83;pqc8>db83>4}zf?;oh7>51zm24bb290:wp`91ed94?7|ug<:i=4?:0y~j37b93:1=vsa60g1>5<6std==h=50;3xyk06m=0;68oj6=4>{|l55`d=83;pqc8>eb83>4}zf?;nh7>51zm24cb290:wp`91dd94?7|ug<:j=4?:0y~j37a93:1=vsa60d1>5<6std==k=50;3xyk06n=0;68lj6=4>{|l55cd=83;pqc8>fb83>4}zf?;mh7>51zm24`b290:wp`91gd94?7|ug<9<=4?:0y~j34793:1=vsa6321>5<6std=>==50;3xyk058=0;69:182xh1:9=1<7?t}o414=<728qvb;;:j6=4>{|l565d=83;pqc8=0b83>4}zf?8;h7>51zm276b290:wp`921d94?7|ug<9==4?:0y~j34693:1=vsa6331>5<6std=><=50;3xyk059=0;69;295~{i>;;j6=4>{|l564d=83;pqc8=1b83>4}zf?8:h7>51zm277b290:wp`920d94?7|ug<9>=4?:0y~j34593:1=vsa6301>5<6std=>?=50;3xyk05:=0;6;8j6=4>{|l567d=83;pqc8=2b83>4}zf?89h7>51zm274b290:wp`923d94?7|ug<9?=4?:0y~j34493:1=vsa6311>5<6std=>>=50;3xyk05;=0;6;9j6=4>{|l566d=83;pqc8=3b83>4}zf?88h7>51zm275b290:wp`922d94?7|ug<98=4?:0y~j34393:1=vsa6361>5<6std=>9=50;3xyk05<=0;6;>j6=4>{|l561d=83;pqc8=4b83>4}zf?8?h7>51zm272b290:wp`925d94?7|ug<99=4?:0y~j34293:1=vsa6371>5<6std=>8=50;3xyk05==0;6;?j6=4>{|l560d=83;pqc8=5b83>4}zutwKLNu970;9```26?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD768EKB0<2KEH5:4ARpe`>GTzoUH`fQFNW34?DUunVD;o6O\rg]mkq6788n0M^|i_omw4566901JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Id:C\BVDX:9UBNXHk;@]EWGY59VCIYKj4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\=ZOE]O<0Mb{{ODg8EjssGLUfyu>?01d8EjssGLUfyu>?013e?Dir|FOTaxv?0121b>Gh}}ENS`{w01237c=Fg|~DIRczx12341`=CJN^MAQC00O5C}3:ABWg=DIZUfyu>?01a8GDUXe|r;<=>>c:ABWZkrp9:;?0138@2=Ci}k7<394D`vb84813Me~xBKk;EmvpJCXg{:;<=k4DnwwK@Yhz9:;<k4DnwwK@Yhz9:;<9k4DnwwK@Yhz9:;<8k4DnwwK@Yhz9:;<;?4E49FBdjk>2OMmab>6:GEeij5=2OX0=0:;DQ?5;3CTW9o0I^Q?_1]mkq6789l0I^Q?_1]mkq6789;o7H]P0^]bja6789o0I^Q?_^cm`56788o0I^Q?_^cm`5678;o0I^Q?_^cm`5678:o0I^Q?_^cm`5678=o0I^Q?_^cm`56780I^Q>d:GP[4YXign;<=>j;DQ\5ZYffm:;<=?j;DQ\5ZYffm:;<=<;;DQ\6a=B[V8TSl`k0123a>CTW;UTmcj?0122a>CTW;UTmcj?01210>CTW:n0I^Q<_^cm`5678l1N_R=P_`lg45679l1N_R=P_`lg4567:8l0I^QBaef\[dbc89:;S@v`r^Pfwpjs484:j6K\_Lcg`ZYflm:;<=QBxnp\V`urd}692?_LzlvZTb{|f0>0>9:GP[HgclVUjhi>?01]\ekb789::m6K\_Lcg`ZYflm:;<=QPaof34566901N_RCnde]\eab789:TSl`k01225d=B[VGjhiQPaef3456XWhdo<=>>1e9FWZWX9Vey<=>?e:GP[TY6Wfx;<=>>f:GP[TY6Wfx;<=>>0g9FWZWX9Vey<=>?10g8AVYVW8Ud~=>?03g8AVYVW8Ud~=>?02g8AVYVW8Ud~=>?05g8AVYVW8Ud~=>?04g8AVYVW8Ud~=>?07g8AVYVW8Ud~=>?06g8AVYVW8Ud~=>?09g8AVYVW8Ud~=>?08g8AVYXimn;<=>31?g8AVYXimn;<=>32?g8AVYXimn;<=>33?48AZOE]O80I;4Es>3:0=Bz5;586K}_168AwY6<2LH@F74FBNH[LDRN;1MH>5IDB68BAEB;2LO\>5ISC38C6=@FM;0E?5F039J57=N:;1B??5F439J17=N>;1B;95FABQ6?LGD[8>0EO[I9:KMMQUSI]O<7D@FTRV@<>OIA]Y_O;HLJPVYA[DUMJi?"Io31?LHN\ZUM_@QIFe3.Mk76:2CEEY]PFRO\BCb6%@d9i6GAIUQ\BVKXNOn9=<5FNHVP[CUJWOLo> Ga139JJLRTWOYFSKHk2,Km5442:KMMQUXNZGTJKj=-Hl757=NF@^XSK]B_GDg6(Oi=l1BBDZ\_GQN[Jss;o1BBDZ\_GQN[Jss;8l0ECG[S^DPIZIr|:8:<6GAIUQ\ghvXmx:;<>=4IOT1?LI23@EJOYj4INC@PZkrp9:;>3g9JKDESWds<=>?15d8MJGD\Vg~t=>?007e?LIFK]Ufyu>?0135b>OHIJ^Taxv?01223`=NGHI_S`{w01236`=NGHI_S`{w01237`=NGHI_S`{w01230`=NGHI_S`{w01231`=NGHI_S`{w01232`=NGHI_S`{w01233`=NGHI_S`{w0123<`=NGHI_S`{w0123=3=NGHI_~;5FOCGQV`=NGKOY^R``t1235c=NGKOY^R``t1235420EBM\5:KLGV723@EH_?;4INAP70=NGJY?96G@CR76?LID[?<0EBJ@NDf8MJBHFLUd~=>?0d9JKAIIMVey<=>?1d9JKAIIMVey<=>?2d9JKAIIMVey<=>?3d9JKAIIMVey<=>?4d9JKAIIMVey<=>?5d9JKAIIMVey<=>?6d9JKAIIMVey<=>?7d9JKAIIMVey<=>?899JKAIIM`dj7DAKOOGq858>3@EOCCK}_168MJJ7k2CD@=Qbuy2345bOHD9Ufyu>?011g?LIK8Vg~t=>?05f8MJJ7Wds<=>?5e9JKI6Xe|r;<=>9d:KLH5Yj}q:;<=9k;HMO4Zkrp9:;<5j4INN3[hs89:;595FOM3`?LIK9Vddx=>?1e9JKI7Xff~;<=?>5:KLHAU>3@EE\_kndx32?LIIX[ojhtQPos2345753@EE\_kndx]\kw6789;:>6G@NQPfeaXWfx;<=>=139JKKVUmhnrSRa}01237447:KLTRET:01BC]Y]e`fz54=NGY]Yiljv_^mq45679;1BC]Y]e`fz[Ziu89:;=<<4INRTV`gcqVUd~=>?0331?LIW_[ojhtQPos2345503@EYH_K^f:KLVATBYVkeh=>?0028MJTCZL[Tmcj?012255=NG[NYI\Qnne23454682CD^I\JQ^cm`5678:l0EB\KRDS\ip~789::<6G@REPFUZkrp9:;<;HMQ@WCVWds<=>?1132?LIUL[OZS`{w012354763@EYH_K^_lw{45679;;:7DA]DSGR[hs89:;=>?>;HMQ@WCVWds<=>?1533?LIUL[OZS`{w0123646:<6G@REPFUZkrp9:;<8??;HMQ@WCVWds<=>?6028MJTCZL[Taxv?012455=NG[NYI\Qbuy2345>682CD^I\JQ^ov|5678020EB\KRDSq2>OHZL[y;6G@RDSq52=NG[OZ~?94INPFUw523@EY[h5FOSU2[dhc89:;?h5FOSU2[dhc89:;8h5FOSU2[dhc89:;9h5FOSU2[dhc89:;:h5FOSU2[dhc89:;;95FOR2`?LIT8Vkeh=>?0e9JKV6Xign;<=>>d:KLW5Yffm:;<=m4INQ3[hs89:;h6G@S1]nq}6789;n7DA\0^ov|56788:o7DA\0^ov|5678;n0EB]?_lw{4567;m1BC^>Pmtz34563l2CD_=Qbuy23453c3@EXOH[9Ufyu>?01;`?LITWOYFSKHk1b9JKVYA[DUMJi Ga119JKVYA[DUMJi<"Io324>OH[VLXARHId3/Jj7773@EXSK]B_GDg6(Oi;j1BC^QISL]EBa5a3@EXSK]B_GDg7(Oi991BC^QISL]EBa5*Ag;:<6G@S^DPIZ@Al:'Bb???;HMP[CUJWOLo? Ga3028MJUXNZGTJKj<-Hl755=NGZUM_@QIFe1.Mk3682CD_RH\M^DE`6+Nf?i0EB]PFRO\BCb3k2CD_RH\M^DE`0eOH[VLXARHId7/Jj46??;HMP[CUJWOLo: Ga4028MJUXNZGTJKj9-Hl6g>OH[VLXARHId6d8MJUXNZGTJKj8-Hl24>OH[VLXARHId6/Jj4773@EXSK]B_GDg3(Oi:8:0EB]PFRO\BCb0%@d8==5FOR]EWHYANm=&Ec:>0:KLWZ@TEVLMh:#Fn468MJS7k2CDY=Qbuy2345bOH]9Ufyu>?011g?LIR8Vg~t=>?05f8MJS7Wds<=>?5e9JKP6Xe|r;<=>9d:KLQ5Yj}q:;<=9k;HMV4Zkrp9:;<5j4INW3[hs89:;595FOT3`?LIR9Vddx=>?1e9JKP7Xff~;<=?>5:KLQAU03@E\^_K^f:KLSWTBYVg~t=>?0028MJQUZL[Taxv?012254=NG^XYI\Qbuy234577981BCZ\]EP]nq}6789;:=<5FOVPQATYj}q:;<=?=109JKRTUMXUfyu>?013054=NG^XYI\Qbuy234573981BCZ\]EP]nq}6789;>==5FOVPQATYj}q:;<=<>0:KLSWTBYVg~t=>?0233?LIPZ[OZS`{w0123046?8028MJQUZL[Taxv?012:<>OH_[XN]k4KDGS[MOBZHXHDT94LNEJGDJf3EZFSUA]2^3:?IRnelx7<3o4LUknaw:687k0@Ygbes>25;g2?;8HQojm{6:245CThofv94912F_e`k}<2<:?IRnelx78374LUknaw:2601GXdcjr=4==>JSadoy0:06;MVji`t;0730@Ygbes>::==K\`gn~YM>3:NWmhcu\JUha}Qjq123442?01020>JSadoyXNQlmq]fu5678:;?7AZfmdpWGZejxVoz<=>?4068HQojm{^HSnc_ds3456202F_e`k}_1:8HQojm{U:56B[ilgq[46>3E^bah|P10;8HQojm{U:>55CThofvZ4?3E^bah|P399OPlkbzV>37AZfmdp\1==K\`gn~R87;MVji`tX?11GXdcjr^:;?IRnelxT5?:4LUknawYJimnTSljk0123[H~hzVXnxb{<02=61=K\`gn~RCnde]\eab789:TAua}_Sgpqir;984986B[ilgq[HgclVUjhi>?01]N|jtXZly~`y2>2?00?IRnelxTAljk_^cg`5678VGscQ]erwop979::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7>3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=1=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;<7887AZfmdp\IdbcWVkoh=>?0^O{kwYUmzgx1;1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?2;443E^bah|PM`fg[Zgcl9:;>5CThofvZKflmUTmij?012\I}iuW[oxyaz38?00?IRnelxTAljk_^cg`5678VGscQ]erwop9?9:?1GXdcjr^Ob`aYXimn;<=>PRdqvhqY7Wge<=>?10f8HQojm{UFmijP_`fg4567WVkeh=>?00g8HQojm{UFmijP_`fg4567WVkeh=>?003g?IRnelxTAljk_^cg`5678VUjbi>?003f?IRnelxTAljk_^cg`5678VUjbi>?0032`>JSadoyS@okd^]b`a6789UTmcj?0102a>JSadoyS@okd^]b`a6789UTmcj?01025a=K\`gn~RCnde]\eab789:TSl`k01205`=K\`gn~RCnde]\eab789:TSl`k012054bP_`lg45609l1GXdcjr^Ob`aYXimn;<=>P_`lg456098n0@Ygbes]NeabXWhno<=>?_^cm`56708o0@Ygbes]NeabXWhno<=>?_^cm`56708;o7AZfmdp\IdbcWVkoh=>?0^]bja6780;n7AZfmdp\IdbcWVkoh=>?0^]bja6780;:h6B[ilgq[HgclVUjhi>?01]\ekb788::i6B[ilgq[HgclVUjhi>?01]\ekb788::=?5CThofvZYflm:;<=2?>018HQojm{UTmij?012?5586;2F_e`k}_^cg`56785;:2<=4LUknawYXimn;<=>313<26>JSadoySRokd12349799;1GXdcjr^]b`a6789692<<4LUknawYXimn;<=>33?31?IRnelxTSljk01238186:2F_e`k}_^cg`56785?5=?5CThofvZYflm:;<=29>008HQojm{UTmij?012?3;753E^bah|P_`fg4567414:>6B[ilgq[Zgcl9:;<1715:Nlea7b3EejhI33FH^J85@BTDF1>ICKZxo7BJLSs]bja6789o0CIM\r^cm`5678890C_<<;NP07>IU<=1Dbnkl;Nl`aZYhz9:;Wh[JY37\a\Rdcg}0=UI5:5:6\N<02=<>TF48;1<384R@>25;3TF4:4>7_O34?78VD:26<1YM1815:PB82823[K743;4R@>::6=UID?0^LCLS69QEHET8Q20^LCLS1Z2<>TFEJY;T?64R@O@W5^4=2XJAH@j;SCNAKYXign;<=>i;SCNAKYXign;<=>>d:PBI@HXWfx;<=>j;SCNAKYXg{:;<=?j;SCNAKYXg{:;<=7_O\rsf8VDUuzVg~t=>?0d9QEVtuWds<=>?189QEZ6XGK_Mm6\N_02\KGSAi2XJSTFW8UDNXH6;SC\6ZIE]O30^LQ<_N@VB<=UIV>TCO[I9:PB[0YHJ\L27_OP6^MAQC?XGK_M56\N_8]LFP@23[EFO^84RNO@W40TTWOYFSKHk2c9QWZ@TEVLMh>l4RR]EWHYANm>i7_]PFRO\BCb1m2XXSK]B_GDg2(Oin2XXSK]B_GDg2(Oi9k1Y_RH\M^DE`=c2Xnmiw=6:Pfea4>2Xnmiw;6:Pfea2>2Xnmiw97:PfbAiim8:0^hhKoog\[dhc89:;=<5]egFlj`YXign;<=>>f:PfbAiimVUd~=>?0028V``CggoTSb|?012255=UmoNdbhQPos23454682XnjIaae^]lv5678:;;7_kiDnlf[Ziu89:;8<>4RddGkkcXWfx;<=>:a:PfbCthe[Y;n6\jfGpliWU79j1YikH}olPP446e3[omJabRR21f>TbnOxda_]?3c9Qac@ugdXX<9l4RddEvjkU[9?i7_kiFsmnVV61j2XnjK|`mSQ33g=UmoLyc`\\09`8V``AzfgY_=79;SgeV`w?3[om^hFnd9QacTbyVddx=>?1g9QacTbyVddx=>?10f8V``UmxUd~=>?0d9QacTbyVey<=>?1d9QacTbyVey<=>?2d9QacTbyVey<=>?3d9QacTbyVey<=>?479QacSuo11Yik[}gHl5?Wct}e~=7^AZRBG6?VQ;97?0_Z2=>69PS95=97?0_Z2<>59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4l5\nePBIFUPZ=1_^XKm;UQ\BVKXNOn:i6Z\_GQN[C@c9$Cej6Z\_GQN[C@c9$Ce=k5[S^DPIZ@Al8'Bb?l4TR]EWHYANm8n7Y]PFRO\BCb5%@dm7Y]PFRO\BCb5%@d:==5[S^DPIZ@Al;'Bb<>i;UQ\BVKXNOn9!D`=f:VP[CUJWOLo> Ga3g9WWZ@TEVLMh?#Fn5d8PVYA[DUMJi<"Io7e?QUXNZGTJKj=-Hl5b>RTWOYFSKHk2,Km3c=S[VLXARHId3/Jj=`<\ZUM_@QIFe0.Mk?e3]YTJ^CPOtv3g>RTWOYFSB{{00a8PVYA[DUDyy>=4:VZT@><\`gn~1>18:Vji`t;9720Xdcjr=0=<>Rnelx7?394ThofvQE6:2^bah|[C^antZcv89:;=>5[ilgqPFYdeyUn}=>?0030?Qojm{^HSnc_ds345659:1_e`k}TB]`iuYby9:;<>?<;UknawRDWjg{Sh?012756=SadoyXNQlmq]fu5678<=0Xdcjr^224>RnelxT;UknawY7WVkeh=>?0258PlkbzV;:<6Zfmdp\5ZYffm:;<=?>;UknawY6WVkeh=>?0032?Qojm{U:SRoad123471<\`gn~R<>0:Vji`tX:VUjbi>?0132?Qojm{U9SRoad12344763]cfiQ=_^cm`5678;=0Xdcjr^124>RnelxT?RQnne2345763]cfiQ<_^cm`56788;:7Ygbes]0[Zgil9:;RnelxTAljk_^cg`5678VGscQ]erwop949:;1_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?01]\ekb789::=n5[ilgq[HgclVUjhi>?01]\ekb789;:h6Zfmdp\IdbcWVkoh=>?0^]bja6788;:=6Zfmdp\[dbc89:;0<0>1:Vji`tXWhno<=>?<3<25>RnelxTSljk0123868c3]cfiQnne2345c<\`gn~Road12344e<]ZOYS[G\ICNF7>PDK01]EHYPTXRF0>PWI{i0Z]O}_omw4566l2\[MQaou2344713^ky|^K>d:ZJHLH_%QNI,= > RVVF%6)9)KXODG;;YMQ63=_[]FBN:5WSU]DJA1hFLf@H>j;oCGkprKM9UDNXH>0:lB@jssDL:Tc>?0132?kGCg|~GI=Q`r12344763gKOcxzCE1]lv5678;;:7cOKotvOA5Yhz9:;<>74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKn0bL]PIN@\KGSAMh1eM^QFOCmvp3=iIZe~x45aARmvpZH7i2dJ_b{{_O22a>hF[fSca{0122b>hF[fSca{0122546m7cO\otv\jjr789;>j6`NSnww[kis89:::k5aARmvpZhh|9:;=:h4n@QlqqYig}:;<<6i;oCPkprXff~;<=?67:lBkprHM11eMb{{OD3`?kGh}}ENSBLZF49mF@TU12dII_\PFR@f?kDBZ[UM_OQ@BTD25>hEM[XTJ^LP_np34566:2dII_\PFR@\[jt789::=<5aBDPQ[CUEWVey<=>>139mF@TUWOYISRa}0122544?2028jGTXAFHTaxv?012055=iJ[UBCOQbuy23452682dI^RG@B^ov|5678<;;7cL]_HMA[hs89:;:<>4nCP\MJDXe|r;<=>84:l@EV??f:lGPDELWhdo<=>?1g9m@QGDCVkeh=>?03d8jARFKBUjbi>?011f?kBSIJATbbz?013e?kBSIJATbbz?01322>hCagFNn6`KioNF[JDRNm1eHd`CE^mq4567m2dOecBJ_np34566>2dOecAJ7:lGmkIB9k1eHd`@E^MAQCchCg|~DI4nEmvpJCXign;<=?>119m@jssGLUjbi>?00024>hCg|~DIRoad123563l5aIQN1[LDRN?1eE]B=r59mHAU?3gFO_RH\Be9mHAUXNZHTEO[I8:lO@VYNGKo0bAJ\_HMA[JDRNLh0bAJ\_HMAkprd3gFO_Road1236a=iDMYTmcj?0102`>hKLZUjbi>?030g?kJC[Vkeh=>?22f8jIBTWhdo<=>=4e9mHAUXign;<=<:d:lO@VYffm:;:j4nMFP[dhc89:94i5aLEQ\ekb78982o6`CDR]mkq6788n0bAJ\_omw45669=1e@ZK:;oNTA4ehK_LUjbi>?013g?kJPMVkeh=>?03f8jIQBWhdo<=>?3e9mHRCXign;<=>;3:lLA1=iGL;?7cAJ299mK@YHJ\L37cAyesnb}46hUMZUBCO[IEb9mV@UXff~;<=?k;oPFWZhh|9:;=<94nSGPkpr13gXDAN]8;oPLIFU6j2dYC@M\_N@VB3=iZFG\I:5aRNOTA4dhRLZUjbi>?006g?kSC[Vkeh=>?14f8jPBTWhdo<=>>6e9mQAUXign;<=?8d:lV@VYffm:;<<6k;oWGWZgil9:;=4m4nTFP[kis89::h6`ZDR]mkq6788;?7c[XE49mQRC6k2d^[HQnne2345bhR_LUjbi>?011g?kSPMVkeh=>?0568jSKF02d]ALQFOCg8jSKFW@EISBLZFD`8jSKFW@EIcxzk;oTNEZYffm:;<=k4nWOB[Zgil9:;<hQEHUjbi>?01f8jSKFWhdo<=>?1e9mRHGXign;<=>=d:lUIDYffm:;<==k;oTNEZgil9:;<9j4nWOB[dhc89:;995aVQC;?kPWIVLXNi5aVQC\BVDXGK_M46`YP@]JKGb?00f8jSVFWhdo<=>?2e9mRUGXign;<=>5aWD58jRCXNZHh7cYJ_GQA[LDRN:1ym`64xb;645~kuIJ{jk;4@Az1b4743289?n<>8:`555~h5n10:7c81}X?7j:182>4<509qX494=fc8161<6;=h:<:4n7738 7cc28i;7)<=5;1`b>d5n80;69l54e8:f~N5mk1/>n<52g38^26=;r819785}%3ab?4fm2!84:4?::m40?6=3f98o7>5;h13f?6=3f9h=7>5;h1:7?6=3f93j7>5;n55>5<5<5<>i48m0;66a<1d83>>o4=h0;66a<8383>>o4k:0;66a<9483>>i4??0;66g88;29?l152900c>>>:188k6602900e>;j:188m64d2900c>6l:188k6212900c>8?:188k6gd2900c>:n:188k6342900e>ml:188k6452900c??9523g8j7412810c?=7:18'671=:;o0b?<9:398k750290/>?9523g8j7412:10c?=9:18'671=:;o0b?<9:598k752290/>?9523g8j7412<10c?=;:18'671=:;o0b?<9:798k754290/>?9523g8j7412>10c?==:18'671=:;o0b?<9:998k756290/>?9523g8j7412010c?=?:18'671=:;o0b?<9:`98k74d290/>?9523g8j7412k10e9m50;&162<3j2d9>;4?;:k7e?6=,;8<69l4n305>4=h5:?0976g;8;29 7402=h0b?<9:298m10=83.9>:4;b:l163<332c?97>5$304>1d0?n6`=2785?>o3;3:1(?<8:5`8j7412>10e9<50;&162<3j2d9>;47;:k75?6=,;8<69l4n305><=h5:?0j76g:4;b:l1635$304>1d0?n6`=278f?>o2;3:1(?<8:5`8j7412o10e8<50;&162<3j2d9>;4>0:9j14<72-89;7:m;o012?7632c><7>5$304>1d5<#:;=18o5a234956=h5:?0:865f4e83>!45?3>i7c<=6;36?>o3?3:1(?<8:5`8j74128<07d3;07d<6f;29 7402;k:7c<=6;08?g4a=3:1=7>50z&1g75<7s-8h>7f;0:M4bj2.9o?475:X44?7|;3w/=oh52`g8m=6=831d>;=50;9l516=831d>>l50;9l6db=831b:n4?::k0<2<722e:==4?::k0gg<722e9?l4?::m11`<722eoj7>5;h34>5<#:;=1=;5a23494>=n9<0;6)<=7;35?k45>3;07d?;:18'671=9?1e>?852:9j57<72-89;7?9;o012?5<3`;;6=4+235953=i:;<1865ff;29 74028<0b?<9:498m`<72-89;7?9;o012?0<3`n1<7*=26822>h5:?0<76gl:18'671=9?1e>?858:9jf?6=,;8<6<84n305><=3k07d750;&162<6>2d9>;4m;:k;>5<#:;=1=;5a2349g>=n?3:1(?<8:048j7412m10e2d9>;4j;:k2a?6=,;8<6<84n305>c=6=4>:183!4d:3n>7E?2900c?<6:188yg50i3:197>50z&1g75;h:2>5<;1<75f8983>>i5:00;66sm22a94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl=3e83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo<t$3a1>c2<@;l?7E5;|`207<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl=6583>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo<95;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?89:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>;950;794?6|,;i96>=4H3d7?M4bj2co;7>5;hf;>5<>i59>0;66sme183>2<729q/>n<5349K6c2<@;oi7dj8:188ma>=831bh44?::kge?6=3`ni6=44iea94?=h:8=1<75rb032>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e9881<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd69:0;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg76<3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi=<;50;194?6|,;i96??k;I0e0>N5mk1bh:4?::kg5;|`253<72=0;6=u+2b0964c<@;l?7E5<5290;w)>i59>0;66sm36f94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl<7d83>6<729q/>n<520f8L7`33A8nn6gk7;29?lb?2900c??8:188yg50n3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi>8h50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th9:=4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>{e:?21<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl=6883>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f70f290?6=4?{%0`6?553A8m86F=ec9j`2<722co47>5;hf:>5<{t9;0;68uQ139>632=l?16i=4k9:?254148g3>;5>80o:6s|1483>3}Y9<16>>m5d79>631=l>16i=4kb:?256279:54k7:p52<72?qU=:5222f9`3=::?<1h;52e18gg>;6980o463=638g2>{t>j0;6>uQ6b9>64e=l116?:j5d79~w=6=839pR5>4=33b>27<5:=j6:?4}rfe>5<5sWnm70k?:334?xu6990;6?uQ102894712;;<7p}>1083>7}:98;1><94=035>a>7>52z?257<59>16=<:5d99~w4742909w0?>3;023>;69?0o56s|10694?4|58;?6??8;<321?b?3ty:=84?:3y>543=:8=01vP>419>514=:8=0q~?;1;296~;6<809=:521509`==z{;;j6=4={<02e?451278;h4k7:p64d=838p1??n:9:8977d2;;<7p}=3`83>1}Y:hn0R?=n;<0e1?b3349;|q17g<72:qU>>l4=31f>77034;?>7j8;|q17f<72;q6>>m52058975b2m=0q~<;5>h09=:5rs37e>5<5s48>j7<>7:?12=;>50;0x97072;;<70<9a;f4?xu5>80;6?u22739641<5;<26i94}r056?6=:r79:?4=169>63?=l11v?8<:187[41;279=n4k7:?122<59>16=<:5d69~w7032909w0<94;023>;5>>0o46s|27794?4|5;<>6??8;<053?b>3ty9:;4?:3y>630=:8=01?88:ec8yv4103:1>v3=698152=::?k1h55rs34:>5<5s48=57<>7:?12d2;f5?842n3n=7p}<7c83>7}:;>k1;k5236d964152z?03f<59>16?:k5d99~w61c2909w0=8d;023>;4?o0o46s|36g94?4|5:=n6??8;<14b?b03ty84:4?:2y]7=1<5:=j6564=25`>a052z\0gg=:9=;1h;5r}c1`a?6=;:0?<7;;{I0ff>"5k;09?45U71817<32t.:nk4=ad9j<5<722e8m94?::k04d<722e84k4?::m0<1<722e8?:4?::k5g?6=3`82o7>5;n142?6=3`9>h7>5;h0:7?6=3`9hn7>5;h1:6?6=3`88m7>5;n1;g?6=3`8>i7>5;n07g?6=3f9=j7>5;n01b?6=,;8<6?5$304>74b3g89:7?4;n005$304>74b3g89:7=4;n002?6=,;8<6?5$304>74b3g89:7;4;n000?6=,;8<6?5$304>74b3g89:794;n006?6=,;8<6?<3f88=7>5$304>74b3g89:774;n004?6=,;8<6?5$304>74b3g89:7l4;h37>5<#:;=1=>5a23494>=n9;0;6)<=7;30?k45>3;07d??:18'671=9:1e>?852:9jb?6=,;8<6<=4n305>6=3>07dj50;&162<6;2d9>;4:;:k`>5<#:;=1=>5a23492>=nj3:1(?<8:018j7412>10el4?:%013?743g89:764;h;94?"5:>0:?6`=278:?>o?290/>?95129m670=i21b;7>5$304>450:?6`=278`?>o6m3:1(?<8:018j7412m10e;4j;:k2g?6=,;8<6<=4n305>c=h5:?0:<65f1`83>!45?3;87c<=6;32?>o613:1(?<8:018j74128807d?7:18'671=9:1e>?851298m47=83.9>:4>3:l163<6<21i>k;50;394?6|,;i96i;4H3d7?M4bj2eo87>5;|`165<72<0;6=u+2b09`4=O:o>0D?km;%g1>5=n?o0;66g71;29?l162900e5650;9l67?=831vn?=i:186>5<7s-8h>7j>;I0e0>N5mk1/i?4?;h5e>5<>o?03:17b<=9;29?xd5?l0;684?:1y'6f4=l81C>k:4H3ga?l1a2900e5?50;9j34<722c347>5;n01=?6=3th=j7>53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sm7c83>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th98k4?:283>5}#:j81ok5G2g68L7ce3-o96=5f7083>>o?03:17b<=9;29?xd4;>0;6>4?:1y'6f4=ko1C>k:4H3ga?l162900e5650;9l67?=831vn?8m:180>5<7s-8h>7mi;I0e0>N5mk1/i?4?;h52>5<:188m=>=831d>?750;9~f70c29086=4?{%0`6?ea3A8m86F=ec9'a7<73`=:6=44i9:94?=h:;31<75rb34f>5<4290;w)5<7s-8h>7mi;I0e0>N5mk1/i?4?;h52>5<:188m=>=831d>?750;9~f6>c29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a7d3=8381<7>t$3a1>c2<@;l?7E5;|`035<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb301>5<5290;w)>i59>0;66sm25394?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl=4d83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo=60;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f61029096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a72>=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th94=4?:283>5}#:j81>5;hf;>5<0D?km;hf4>5<>{e;h21<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd4i00;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg5fi3:197>50z&1g7<4;2B9j95G2d`8ma1=831bh54?::kg=?6=3`nj6=44o334>5<7>52;294~"5k;0m86F=f59K6`d>{e;>>1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd4?<0;684?:1y'6f4=;:1C>k:4H3ga?lb02900ei650;9j`<<722com7>5;n023?6=3th9;94?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm26494?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd0l3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi;h4?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e:181<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl=8283>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo<74;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?6::180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`1<3<72:0;6=u+2b0964b<@;l?7E5<52;294~"5k;0m86F=f59K6`d>{e:1k1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd50k0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?6l:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>5j50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th94h4?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e:0:1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo<61;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f7>>29086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th98o4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb360>5<4290;w)>oc03:17b<>7;29?xd5<=0;6>4?:1y'6f4=:8n0D?h;;I0ff>oc?3:17dj7:188k7702900qo<;5;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?:9:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>9950;194?6|,;i96??k;I0e0>N5mk1bh:4?::kg5;|`10=<72:0;6=u+2b0974=O:o>0D?km;hf4>5<26=4<:183!4d:38:h6F=f59K6`d>i59>0;66s|6b83>2}Y>j16:k478:?165?4k6:?1<=2794o4k8:?1002wx:k4?:3y>2c<5:016>5m5d79~w2d=838p1:l523;8965f2m<0q~9l:18181e21201:k52058yv1c2909w09k:334?81b2m20q~6?:18f[>73489<79>;<00b?16348;<4e>27<5>h1;<5225d934=::?h1;<5227a934=::?n1;<5227g934=::?l1;<52260934=::=o1h;5rs303>5<5s489<7<=9:?1032wx>??50;0x97472>l01?<=:334?xu5:j0;6?uQ23a8Z4`52z\16a=Ym2wx>>>50;0xZ7573W=0q~<<1;296~X5;81Uh6s|22094?5|V8:0R?==;_01b>{t::91<752z\171=Y12wx>>;50;0xZ7523Wk0q~<<6;296~X5;?1Un6s|22594?4|V;9<7Sh4}r00p1?=i:30:?85fi3n<70=85;f4?84?i3n=7p}=4183>7}:::l1455225396417>52z?17c<0n279844=169~w7242909w0<;3;023>;5<00o;6s|25694?4|5;>?6??8;<07613=:8=01?:8:e58yv43>3:1>v3=478152=::==1h55rs364>5<5s48?;7<>7:?10=9650;0x972?2;;<70<;9;f;?xu5<94}r07g?6==rT98n522g79`1=::;:14<5222d9<4=::>o14<5rs36g>5<5s498;79>;<07a?46?2wx>9h50;0x972a2;8270=75;f5?xu5=90;6?u225d9<==::<;1><94}r06a?6=:rT99h5239f9`3=z{;m0;69u227a9<==::?n1>?74=372>a><5;296i94}r05a?6=;r79:h4=289>620=l>16>9:5d99~w70a290?w0<9d;:;?841n389563=508g3>;0m3n<7p}=7183>7}::?l1455226396417>57z?137<5:016>:;5d69>3a4k8:?101:=50;0x971521201?99:334?xu5?=0;6?u22669641<5;=>6i64}r041?6=:r79;84=169>620=l11v?9j:181840m389563=8e8g2>{t:>l1<7=><5;2;6??8;|q1<4<72;q6>:k57g9>6=0=:8=0q~<72;296~;50;09=:522949`2=z{;286=4={<0;7?46?279484k7:p6=2=838p1?6;:334?84?=3n37p}=8483>7}::1?1><94=3:5>a>56z?131277:?10g4k7:p6=>=838p1?67:334?84?m3n<7p}=8`83>7}::1k1><94=3:f>a>52z?116>5h5d69~w7>d2909w0<7c;023>;50o0o46s|29f94?4|5;2o6??8;<0;=?b?3ty94h4?:3y>6=c=:8=01?7?:e:8yv4?n3:1>v3=8g8152=::0;1h55rs3;3>5<5s482<7<>7:?1=44?50;0x97?62;;<70<79;f4?xu51:0;69uQ281897>72m201?6<:e4897>e2m=0q~<6c;290~X51j16>5>5d69>6=2=l?16>4>5d69~w66f290>wS=?a:?0=5278;?4k6:p761=838pR>=8;<103?4512wx?>750;0x965021201>=n:334?xu4=m0;6?uQ34f896132m<0q~=9f;296~X4>o16?:>52058yv5093:1>v3<718g2>;4?<09=:5rs251>5<5s49<>7<>7:?0306io4}r142?6=:rT8;;5236:964152z?032<59>16?:65d99~w6>32909wS=74:?0<0<59>1v>6l:181[5?k2784i4=169~w6>a2909wS=7f:?0=5<59>1v>7=:181[5>:278m44k6:p7d2=838pR>o;;<1b1?46?2wx?l850;0x96g22m<01>on:334?xu4i>0;6?u23`59641<5:kj6i64}r1b7dg=l01v>o6:18185f138:;63{t;jh1<7;t^2aa?85>83n<70=87;f5?85f03n=70=83;f5?x{e:oo1<7k51482g~N5mk1/>n<5d29'5g`=:ho0c>m?:188m4272900c>=m:188m4242900e>>n:188k3e=831boi4?::k16d<722c9>o4?::k17d<722c99h4?::kgb?6=3`8?o7>5;h30=?6=3k98n7>53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sm3983>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th8o=4?:583>5}#:j81h?5G2g68L7ce3`=:6=44i9:94?=n:;21<75`23;94?=zj?n1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd1m3:1?7>50z&1g7<59m1C>k:4H3ga?lb02900ei650;9l641=831vn>m50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th8884?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d0D?h;;I0ff>oc>3:17b<>7;29?xd4<80;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg53:3:1>7>50z&1g7<950;9~f624290?6=4?{%0`6?553A8m86F=ec9j`2<722co47>5;hf:>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;j=1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd4k10;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?97:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>:750;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th9;l4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>i59>0;66sm26f94?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831v>650;0x96>=:;301;k5d69~w6g=838p1>65709>7<<59>1v>l50;0x96>=0116?n4=169~w3e=83>pR;m4=7g9641<5:>86i64=2a5>a1770345<3sWio70=74=35a>a052z\205=::>21h;5rs060>5<5sW;??63=788g2>{t:6}:;=;1h;523b59`3=::>n1><94}r0462e=l>1v?96:181840138:;63=7b8g<>{t:>k1<7770348:l52058971c2m30q~<8c;296~;5?j09=:5226f9`==z{::j6=4;{_13e>;4k909>5526e8g2>;4k3n=7p}<3c83>7}Y;:h01>=m:30:?xu4<90;6?u23579`3=:;=91><94}r175?6=:r788<4=169>715=l>1v>:=:181853:38:;63<428g=>{t;=>1<7=><5:>>6??8;|q0g5<72;qU?n>4=2a3>74>3ty8o84?:3y>7fg=l?16?n652058yv5d>3:1>v35<5s49h;7<>7:?0g=mn:334?x{e;9:1<7?9:969=5}O:lh0(?m=:02f?!7en38ji6g70;29?l77i3:17d?;0;29?l`12900e<<>:188k4242900e>>n:188m3e=831d=9650;9j70b=831b=<>50;9j7fd=831b?4<50;9l572=831d=lj50;9j60c=831d?9o50;9l56?=831d=4650;9l65<722e9i7>5;n116?6=3k8m97>51;294~"5k;0o96F=f59K6`d1<75rb201>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f7g4290>6=4?{%0`6?b63A8m86F=ec9'a7<73`=m6=44i9394?=n?80;66g78;29?j4513:17pl=e;291?6=8r.9o?4k1:J1b1=O:lh0e:h50;9j<4<722c<=7>5;h:;>5<N5n=1C>hl4i6394?=n010;66a=2883>>{e0?0;6>4?:1y'6f4=ko1C>k:4H3ga?l162900e5650;9l67?=831vn<=6:186>5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb060>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4g>290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<6=4::183!4d:3n:7E:186>5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb000>5<4290;w)>o?03:17b<=9;29?xd583:1?7>50z&1g75;h:;>5<N5n=1C>hl4i6394?=n010;66a=2883>>{e9hn1<7=50;2x 7e52jl0D?h;;I0ff>o093:17d67:188k74>2900qo?;8;297?6=8r.9o?4lf:J1b1=O:lh0e:?50;9j<=<722e9>44?::a55?=8391<7>t$3a1>f`<@;l?7E;1<75f8983>>i5:00;66sm2`d94?5=83:p(?m=:bd8L7`33A8nn6*j2;28m27=831b454?::m16<<722wi>o=50;194?6|,;i96nh4H3d7?M4bj2.n>7>4i6394?=n010;66a=2883>>{e;=h1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd6;>0;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg7fj3:1>7>50z&1g7<950;9~f64229096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a75<72:0;6=u+2b0964b<@;l?7E5<52;294~"5k;0m86F=f59K6`d0;66gk8;29?j46?3:17pl<4d83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f62a29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a706=8381<7>t$3a1>c2<@;l?7E5;|`014<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl>4`83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f42e29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a51e=8391<7>t$3a1>67<@;l?7E5<52;294~"5k;0m86F=f59K6`d>i59>0;66sm2c:94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg4e13:1>7>50z&1g7<950;9~f7df29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a6gd=8391<7>t$3a1>67<@;l?7E5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm2cf94?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd5jl0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?l9:180>5<7s-8h>7<>d:J1b1=O:lh0ei950;9j`=<722e9=:4?::a6g7=8391<7>t$3a1>67<@;l?7E5<7>53;294~"5k;09=i5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb204>5<4290;w)>oc03:17b<>7;29?xd4:10;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg5513:1?7>50z&1g7<59m1C>k:4H3ga?lb02900ei650;9l641=831vn<:::181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=9850;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:8:4?:583>5}#:j81>5;hf;>5<0;66gk8;29?j46?3:17pl>3b83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`27c<72:0;6=u+2b0964b<@;l?7E5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm1c494?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>b683>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?m8;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=oo50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:no4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>i59>0;66sm1c294?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg7e93:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`2f7<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>i59>0;66sm1c694?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn5<7s-8h>7<>d:J1b1=O:lh0ei950;9j`=<722e9=:4?::a51c=8391<7>t$3a1>67<@;l?7E5<87>52;294~"5k;0m86F=f59K6`d6=4=:183!4d:3l?7E>{e9<<1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo?:7;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a50>=8381<7>t$3a1>c2<@;l?7E5;|`21<<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb07a>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e9=l1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo?:0;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a507=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th:9?4?:583>5}#:j81??5G2g68L7ce3`n<6=44ie:94?=nl00;66a=1683>>{e9<91<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl>6283>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f40329086=4?{%0`6?46l2B9j95G2d`8ma1=831bh54?::m152<722wi=;;50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th::;4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm17:94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg7113:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`263<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>{e9;o1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd6:o0;6>4?:1y'6f4=:8n0D?h;;I0ff>oc?3:17dj7:188k7702900qo?<0;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<=>:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=><50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:?>4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb017>5<5290;w)>i59>0;66sm13594?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn<<7:187>5<7s-8h>7==;I0e0>N5mk1bh:4?::kg5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm13c94?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd6:k0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn<5<7s-8h>7<>e:J1b1=O:lh0ei950;9j`=<722co57>5;n023?6=3th:5l4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb0c2>5<5290;w)>i59>0;66sm1`094?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>a283>6<729q/>n<520f8L7`33A8nn6gk7;29?lb?2900c??8:188yg7f<3:1>7>50z&1g7<950;9~f4g229096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a5d0=8381<7>t$3a1>c2<@;l?7E5;|`2e2<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>{e90h1<7:50;2x 7e52:80D?h;;I0ff>oc?3:17dj7:188ma?=831d><950;9~f4?d290?6=4?{%0`6?553A8m86F=ec9j`2<722co47>5;hf:>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e90o1<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl>9g83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4g7290?6=4?{%0`6?46m2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`1e0<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb3c4>5<5290;w)>i59>0;66sm2`:94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl=a883>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f7gf29086=4?{%0`6?46l2B9j95G2d`8ma1=831bh54?::m152<722wi>ll50;694?6|,;i96><4H3d7?M4bj2co;7>5;hf;>5<>i59>0;66s|2183>7}Y:916>=4=289~w7c=83opR?k4=3g967?<5;h<6i94=066>a0<58h26i84=0`3>a1<58?=6i94=07;>a0<58<>6i84=011>a0<58986i64=0c5>a0<58k<6i64=3c4>a02`<5::1><94}r4`>53n=70?774=?816>l=5709>6`<09279=>481:?;2?1634;8579>;<377?1634;j579>;<301?1634;==79>;<317?16348;6:?4=0;;>27<588?6:?4=0cg>27<58>36:?4=02:>27<5;km6:?4=3`0>2774>349;6i94=064>a>=><5;i:6??8;|qe2?6=:rTm:63>088;<>{t9931<7;t=02:>74>348i97j9;<0a5?b?34;i:7j9;<360?b13ty:rT:==5235g9`2=:9=k1h:522c:9`2=:9?91h5522``9`==z{88:6=4={_315>;6::0346s|13094?4|58886?<6;<30f?b03ty:>94?:5y]572<588?6?<6;<312?b034;9;7j7;|q260<72;q6=?:5899>57e=:8=0q~?=6;296~;6:?09=:5213a9`==z{88<6=4={<313?46?27:>l4k7:p57>=838p1<<7:334?875i3n37p}>2883>7}:9;31><94=00`>a?52z?26d<59>16=?l5d69~w44e2909w0?=b;023>;6:j0o;6s|13f94?4|588o6??8;<313?b03ty:>h4?:3y>57c=:8=01<<8:e;8yv75n3:1>v3>2g8152=:9;21h55rs013>5<5s4;8<7<>7:?26=?50;0x94562;;<70?=8;f:?xu6;;0;6?u21209641<588i6i64}r307?6=:r7:?>4=169>57?=l>1v<=;:181874<38:;63>288g<>{t9:?1<774>34;8?7j8;|q273<72;q6=>;5899>561=:8=0q~?<9;296~X6;016=>7523;8yv74i3:1>v3>3884b>;6;o09=:5rs01a>5<5s4;8n7<>7:?27`m50;0x945d2;;<70?56`=l>1v<:?:187[73827:?n4k6:?222l01<:8:334?xu6<<0;6?u21579641<58><6i94}r372?6=:r7:8;4=169>511=l01v<:7:180[73027:854=289>51c=l>1v<:6:184873k38:;63>b08g<>;6=>0o;63>2d8g2>;6:o0o463>a08g2>;6i:0o46s|15c94?4|58>j6??8;<37g?b03ty:8o4?:3y>51d=:8=01<:l:e:8yv73l3:1>v3>498;<>;6=:09=:5rs06f>5<5s4;?i7<>7:?215504=l11v<;=:181872:38:;63>528g<>{t9<>1<777034;>n7j8;|q210<72;q6=8;52058943e2m20q~?:6;296~;6=?09=:521439`2=z{8?<6=4={<363?46?27:8k4k7:p50>=838p1<;7:334?873n3n37p}>5883>7}:9<31><94=071>a1m7>52z?21d<59>16=8<5d89~w43e2909w0?:b;023>;6=90o46s|17394?5|58<:6?<6;<3a:7j7;|q227<72;q6=;=52058940>2m20q~?93;296~;6>=09=:521759`==z{86783>7}:9?=1><94=04:>a152z?22=<59>16=;75d89~w4??290?wS?68:?2==<5:016=4o5d69>5032370?n0;023>{t90k1<777034;j<7j7;|q2=g<72;q6=4l5205894?b2m=0q~?6c;296~;61j09=:5218g9`==z{83o6=4={<3:`?46?27:m=4k9:p5n3n<7p}>9g83>7}:90l1><94=0c3>a152z?2e4<59>16=4l5d69~w4g52909w0?n2;023>;61k0o56s|1`194?4|58k86??8;<3:g?b?3ty:m94?:3y>5d2=:8=01<7l:e58yv7f=3:1>v3>a48152=:90i1h45rs0c5>5<5s4;j:7<>7:?2=c5d1=l>1v{t9hn1<7=t^0cg?87fl389563>ag8g3>{t9ho1<7=><58h>6??8;|q2ec<72;q6=lh5205894d52m=0q~?m0;296~;6j909=:521c19`2=z{8h:6=4={<3a5?46?27:n>4k8:p5g4=838p1b283>7}:9k91><94=0`7>a>52z?2f1<59>16=o;5d99~w4d12909w0?m6;023>;6jm0o;6s|1c594?4|58h<6??8;<3a`?b?3ty:n54?:3y>5g>=:8=01v3>b88152=:9k;1h:5rs0`b>5<5s4;im7<>7:?2f45g4=l11v??<:18684b21201??<:30:?87f13=m70?<5;5e?8572m20q~<>4;297~;59:03463>6084b>;6>009=:5rs336>5<>s48m97j;;<116?>6348j?76>;<0f>=7<589265?4=060>=7<58k265?4=016>=7<58<:65?4}r06a?6=:rT99h523429`3=z{;k86=49{<0b7?45127:8o4k6:?1f<278>:4k7:?27g7}::h?1><94=3c:>a152z?1e3<59>16>l75d99~w7g02909w0;5ik0o56s|2`:94?4|5;k36??8;<0bg?b?3ty9m44?:3y>6d?=:8=01?on:e:8yv4fi3:1>v3=a`8152=::hh1h:5rs3ca>5<5s48jn7<>7:?1eflh50;3384fn389563=c18g2>;5jh0o:63=b08g3>;6j>0o:63>bc8g2>;6=<0o:63>588g2>;6>=0o463>278g<>;6;80o:63>358g2>;61h0o463>a48g2>;6i10o:63=a78g2>{t:k:1<7=><5;h96??8;|q1f4<72;q6>o?5205897d52m20q~1h:5213f9`3=:9;l1h:521`09`3=:9h91h:522`79`3=z{;h?6=4={<0a7?>?348i:7<>7:p6g3=838p1?l::334?84ej3n37p}=b683>7}::k=1><94=3`g>a152z?1f=<59>16>om5d69~w7d>2909w0;5jj0o46s|2cc94?4|5;hj6??8;<0aa?b?3ty9no4?:3y>6gd=:8=01?l9:e58yv4ek3:1>v3=bb8152=::kn1h55rs3`g>5<5s48ih7<>7:?1f`ok50;0x97db2;;<70;4:;09>45rs207>5<5s499>767;<111?46?2wx??850;0x96452>l01><6:334?xu4:>0;6?u23359641<5:826i94}r1154=169>77?=l11v>:n:181[53i2788o4=169~w62c2909w0=;b;f5?852:38:;6s|35g94?4|5:>n6??8;<166?b03ty88k4?:3y>71`=:8=01>;>:e58yv5283:1>v3<518152=:;<;1h55rs272>5<5s49>=7<>7:?017a055z\0gg=:9?;1455235g9`==:9kk1h;521459`==zuk8mj7>5178a=?e2sA8nn6*=c3824a=#9kl1>lk4o02b>5<5<>i69>0;66g88;29?l7303:17d9=:188k63c2900e<=7:188m32=831d?4<50;9j5de=831b=lj50;9j777=831d?9850;9l705=831d>=4?::m0eg<722h9j84?:083>5}#:j81h85G2g68L7ce3fn?6=44}c1bf?6==3:1N5n=1C>hl4i6d94?=n080;66g81;29?l>?2900c?<6:188yggc29086=4?{%0`6?ea3A8m86F=ec9j34<722c347>5;n01=?6=3thj57>53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sma483>6<729q/>n<5cg9K6c2<@;oi7d9>:188m=>=831d>?750;9~ft$3a1>f`<@;l?7E5<44?::a53g=8391<7>t$3a1>f`<@;l?7E5<53;294~"5k;0hj6F=f59K6`d;1<75f8983>>i5:00;66sm14a94?5=83:p(?m=:bd8L7`33A8nn6g81;29?l>?2900c?<6:188yg1f29086=4?{%0`6?ea3A8m86F=ec9j34<722c347>5;n01=?6=3th85?4?:283>5}#:j81ok5G2g68L7ce3`=:6=44i9:94?=h:;31<75rb27g>5<4290;w)>o?03:17b<=9;29?xd4990;6>4?:1y'6f4=ko1C>k:4H3ga?l162900e5650;9l67?=831vn>:9:180>5<7s-8h>7mi;I0e0>N5mk1b;<4?::k;5;|``N5n=1C>hl4i6394?=n010;66a=2883>>{e:lo1<7=50;2x 7e52jl0D?h;;I0ff>o093:17d67:188k74>2900qo??a;290?6=8r.9o?4k0:J1b1=O:lh0e5?50;9j34<722c347>5;n01=?6=3th:=:4?:583>5}#:j81h=5G2g68L7ce3`2:6=44i6394?=n010;66a=2883>>{e0k0;6>4?:1y'6f4=ko1C>k:4H3ga?!c5291b;<4?::k;5;|`23d<72:0;6=u+2b09gc=O:o>0D?km;%g1>5=n?80;66g78;29?j4513:17pl>7c83>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th:4=4?:283>5}#:j81ok5G2g68L7ce3-o96=5f7083>>o?03:17b<=9;29?xd6010;6>4?:1y'6f4=ko1C>k:4H3ga?!c5291b;<4?::k;5;|`2<<<72:0;6=u+2b09gc=O:o>0D?km;%g1>5=n?80;66g78;29?j4513:17pl>8`83>6<729q/>n<5cg9K6c2<@;oi7)k=:19j34<722c347>5;n01=?6=3th:5<4?:283>5}#:j81ok5G2g68L7ce3-o96<5f7083>>o?03:17b<=9;29?xde;3:1?7?53zJ1ag=#:j81=n?4i9;94?=n0h0;66a=9e83>>d5nh0;6>4?:1y'6f4=:o90D?h;;I0ff>oc?3:17dj7:188k7702900q~66:181[>>348mm7j7;|q;e?6=:rT3m63=f`8g3>{t:0n1<75;h:b>5<5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{t000;6?uQ889>6cg=l11v5o50;0xZ=g<5;lj6i94}r0:`?6=:rT95i522gc964153;397~N5mk1/>n<51b38m=?=831b4l4?::m1=a<722h9jl4?:283>5}#:j81>k=4H3d7?M4bj2co;7>5;hf;>5<5<5sW2270vP7a:?1bd4j50;0xZ7?c348mm7<>7:~f63329096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a50c=8381<7>t$3a1>c2<@;l?7E5;|`21c<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;=31<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl=f183>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo??c;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn>8j:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?l=50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th8n=4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<>{ej90;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vnll50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3thjo7>53;294~"5k;08=6F=f59K6`d>i59>0;66sma683>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qoo7:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`:`?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rbc794?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188ygd029086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th8=?4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>{e9?o1<7:50;2x 7e52:80D?h;;I0ff>oc?3:17dj7:188ma?=831d><950;9~f4?429086=4?{%0`6?46l2B9j95G2d`8ma1=831bh54?::m152<722wi=4:50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:584?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e90=1<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl>8b83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4>c29096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a5=c=8381<7>t$3a1>c2<@;l?7E5;|`20D?km;hf4>5<0;66gk8;29?j46?3:17pl>8383>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?73;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<6;:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`2<0<72:0;6=u+2b0964b<@;l?7E5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm19594?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xd6?m0;6>4?:1y'6f4=:8n0D?h;;I0ff>oc?3:17dj7:188k7702900qo?8e;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<9i:187>5<7s-8h>7==;I0e0>N5mk1bh:4?::kg5<55;294~"5k;08?6F=f59K6`d>oc13:17djn:188k7702900qo=mc;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn>lk:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?ok50;194?6|,;i96>?4H3d7?M4bj2co;7>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e0m0;684?:1y'6f4=:8l0D?h;;I0ff>oc?3:17dj7:188ma?=831bhl4?::m152<722wi4h4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb9d94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl60;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a=4<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl<6583>6<729q/>n<520f8L7`33A8nn6gk7;29?lb?2900c??8:188yg51=3:1>7>50z&1g7<950;9~f60129086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th8::4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<53;294~"5k;08=6F=f59K6`d>i59>0;66sm37;94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg51i3:1?7>50z&1g7<59m1C>k:4H3ga?lb02900ei650;9l641=831vn>8m:187>5<7s-8h>7<>e:J1b1=O:lh0ei950;9j`=<722co57>5;n023?6=3th8:n4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb2;:>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e;0k1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd41k0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn>7l:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?4j50;194?6|,;i96>?4H3d7?M4bj2co;7>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;0l1<7=50;2x 7e52;;o7E0;66gk8;29?j46?3:17pl1<729q/>n<520g8L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn>o>:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`0f7<72<0;6=u+2b0976=O:o>0D?km;hf4>5<>oci3:17b<>7;29?xd4j:0;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg5e<3:1>7>50z&1g7<950;9~f6d229096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a7g0=8381<7>t$3a1>c2<@;l?7E5;|`0f2<72:0;6=u+2b0974=O:o>0D?km;hf4>5<>i59>0;66sm3c;94?2=83:p(?m=:208L7`33A8nn6gk7;29?lb?2900ei750;9l641=831vn5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=5;n023?6=3th:=o4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d>{e98o1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd69o0;6;4?:1y'6f4=;=1C>k:4H3ga?lb02900ei650;9j`<<722com7>5;hfa>5<0D?km;hf4>5<>i59>0;66sm16294?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>7383>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo?83;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn<9;:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi=:;50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th:;;4?:383>5}#:j81j95G2g68L7ce3`n=6=44o334>5<52;294~"5k;0m86F=f59K6`d0;66gk8;29?j46?3:17pl>7883>=<729q/>n<5379K6c2<@;oi7dj8:188ma>=831bh44?::kge?6=3`ni6=44iea94?=nlm0;66a=1683>>{e9>;1<7:50;2x 7e52;;n7E0;66gk8;29?lb>2900c??8:188yg43290>6=4?{%0`6?46n2B9j95G2d`8ma1=831bh54?::kg=?6=3`nj6=44o334>5<0;66gk8;29?j46?3:17pl=7;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn?650;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th957>52;294~"5k;0m86F=f59K6`d0D?h;;I0ff>oc>3:17b<>7;29?xd5j3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi>n4?:483>5}#:j81?>5G2g68L7ce3`n<6=44ie:94?=nl00;66gka;29?j46?3:17pl=d;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f73=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3thm47>53;294~"5k;09=i5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb022>5<5290;w)>i59>0;66sm11094?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>0283>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f46329096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::a553=8381<7>t$3a1>c2<@;l?7E5;|`243<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb02;>5<5290;w)>i59>0;66smf883>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~fcg=8391<7>t$3a1>67<@;l?7E5<0;66gk8;29?lb>2900eio50;9j`g<722e9=:4?::abf<72:0;6=u+2b0964b<@;l?7E5<>i59>0;66smfd83>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~fc`=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th:<=4?:583>5}#:j81>5;hf;>5<>i59>0;66sm30494?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl<1683>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo=>8;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn>?6:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi?5;n023?6=3th8=o4?:283>5}#:j81>5;hf;>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e;8n1<7:50;2x 7e52:80D?h;;I0ff>oc?3:17dj7:188ma?=831d><950;9~f67229086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th89:4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb27;>5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{e;<31<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qol6:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722winn4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rbcf94?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17plme;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vnoh50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3thh<7>52;294~"5k;0m86F=f59K6`doc?3:17dj7:188k7702900qom=:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|``7?6=;3:10D?km;hf4>5<oc?3:17dj7:188ma?=831d><950;9~fgd=8391<7>t$3a1>77c3A8m86F=ec9j`2<722co47>5;n023?6=3th2j7>52;294~"5k;0m86F=f59K6`d0;66gk8;29?lb>2900c??8:188ygg629096=4?{%0`6?`33A8m86F=ec9j`3<722e9=:4?::ae7<72:0;6=u+2b0974=O:o>0D?km;hf4>5<oc?3:17dj7:188k7702900qoo;:180>5<7s-8h>7<>d:J1b1=O:lh0ei950;9j`=<722e9=:4?::p65<72:qU>=5221816<=::j0o;6s|2283>7}::903463=5;023>{t:=0;6?u2258152=::<0o;6s|2783>7}::?09=:522c8g3>{t:>0;6?u2268152=::k0o46s|2983>7}::109=:522b8g<>{t:00;6?u2288152=::j0o56s|2`83>7}::h09=:522b8ge>{t:k0;6?u22c8152=::m0o;6s|2b83>7}::j09=:522e8g<>{t:m0;6?u22e8152=::<0o46s|6583>2}Y>=16=;k5d99>5<2=l?16=5m5d99>634k8:?01<;6>h0<=63>bd845>;6=j0<=638a;52?8>e2>;01<9n:638941e2>;01<6?:63894>?2>;01<66:63894>f2>;01<7>:63896752m<0q~97:187[1?349jn79>;<5b>=><50n1h;5rs6c94?d|5>k1>?74=04`>a0<58386i64=0:f>a0<582>6i94=05f>a0<51l1h;523759`3=:;0i1h;52fb8g3>;49=0o46s|8c83>6}:0k09>452918g3>;4=10o;6s|8b83>7}:0k0346362;023>{t0m0;6?u28e8152=:190o46s|8d83>7}:0l09=:52908g3>{t0o0;6?u28g8152=:180o46s|9183>7}:1909=:52938g3>{t180;6?u2908152=:1;0o46s|9283>1}Y1:16o5478:?a=?b1343m6i84}r;4>5=?<5k?1h5523c`9`<=:;k81h:523c19`3=:9831h;52bb8g3>;el3n=70o>:e48yv?d2902w07m:63897cb2>;01;01n65709>ea<0927j579>;27<50n1><94}r;f>5<5s43i6564=`6964177034k96i64}rc3>5<5s4k;6??8;a177034k86i64}rc1>5<5s4k96??8;a177034k?6i64}r;;>56?<6;<`2>=g<5k?1h:523ca9`3=:;k<1h;5210`9`3=:k90o:63n0;f4?xuf>3:1>v3n5;:;?8g?2;;<7p}n7;296~;f?38:;63n8;f;?xu>13:15v3n9;01=>;e:32j70l8:e5896de2mk01>l=:e:896d32m<01eg<59>16mn4k8:p=d<721q6mi4=289>f627:=k4k8:?ag?b?34hn6i84=`29`<=z{ho1<7<94=c29`==z{k>1<7=t=c09<<=:j<09=:52b68g<>{tj?0;6?u2b28;=>;e?38:;6s|b983>1}:io0o:63nb;f5?8g02m<01ol52058yvd>2909w0l6:334?8e62m20q~ln:1818df2;;<70lm:e:8yvdd2909w0ll:334?8e42m=0q~lk:1818dc2;;<70m=:e58yvdb2909w0lj:334?8e52m20q~li:1818da2;;<70ln:e58yve72909w0m?:334?8df2m30q~m>:1818e62;;<70lm:e58yve52909w0m=:334?8e42m20q~m<:1818e42;;<70ln:e:8yve32909w0l>:3;g?8g?2m=0q~m::1818d52;3o70ol:e58yve12909w0l<:3;g?8d72m=0q~m7:1808e?2;8270m>:e589d4=l>1vk850;7xZc0<5o<1>?74=2`a>a><5:h96i74=g`9`==z{o=1<7<94}rd;>5<5s4l36??8;<334?b?3tym57>52z?e=?46?27mo7j7;|qee?6=:r7mm7<>7:?e`?b03tymn7>52z?ef?46?27mh7j7;|qeg?6=:r7mo7<>7:?ea?b?3tymh7>52z?e`?46?27:<=4k9:pb`<72;q6jh4=169>bcbc<59>16==>5d69~w4662909w0??1;023>;a13n<7p}>0383>7}:9981><94=g;9`==z{8:86=4={<337?46?27mm7j8;|q241<72;q6==:520589cg=l11v<>::181877=38:;63ib;f4?xu68?0;6?u21149641<5oh1h45rs024>5<5s4;;;7<>7:?ef?bf3ty:<54?:3y>55>=:8=01kl5dc9~w46f290>wS??a:?24d<5:016=5<5d79>52b=l>16==?5d79~w46e2909w0??a;:;?877k38:;6s|10594?3|V8;<70?>7;01=>;60:0o:63>7e8g<>;68;0o:6s|10:94?4|58;<6564=003>7703ty:=44?:3y>54?=:8=01v3>1`8152=:98l1h45rs03a>5<5s4;:n7<>7:?25cf;fa?xu69m0;6?u210f9641<588;6i94}r32a?6=:r7:=h4=169>576=l01v218g<>{t9:21<74983>7}Y9=201<;l:9:8yv72k3:18v3>5b816<=:957:?22a27:5>4k7:?2279;7j9;<330?b1349:87j8;<163?b03ty:9h4?:3y>50c=:8=01<8?:e58yv72n3:1>v3>5g8152=:9?:1h55rs04b>5<4s4;=m7<=9:?23<6b83>7}:9?i1><94=04f>a152z?22a<59>16=;k5d89~w40a2909w0?9a;:;?870938:;6s|16294?4|58=;6??8;<34524=:8=01<96:e:8yv70;3:1>v3>728152=:9>31h45rs057>5<5s4;<87<>7:?23<52?=lm1v<97:181870038:;63>708g3>{t9>31<777034;<=7j6;|q23d<72:e:897?=l?16==65d79~w41e290>w0?8a;:;?870j389563>748g2>;503n=70??7;f5?xu6?j0;6?u216`9<==:9>l1><94}r34`?6=:r7:;i4=169>52`=l>1v<9j:181870m38:;63>7g8g=>{t91:1<7mt=0:3>74>349?57j8;<3;2?b034;ag<5:a1<58==6i84=369`2=:99<1h;52fd8g3>;49h0o:6s|19394?4|582;6564=0:4>7703ty:4?4?:3y>5=4=:8=01<6;:e58yv7?;3:1>v3>828152=:91>1h55rs0:7>5<5s4;387<>7:?2<022;;<70?76;f;?xu60?0;6?u21949641<582<6i64}r3;71?=l116=595d69>a059z?2<=4k6:?10?bf34l36i94=234>a059z?2<<34l36i64=23;>a052z?2d2909w0?7c;023>;6190o;6s|19f94?4|582o6??8;<3;b?b03ty:4h4?:3y>5=c=:8=01<6i:e:8yv7?n3:1>v3>8g8152=:90:1h55rs0;2>55<5s4;2=767;<3:3?46?2wx=4=50;0x94?42;;<70?65;f4?xu61=0;6?u21869641<583>6i64}r3:1?6=:r7:584=169>5<0=l11v<79:18187>>38:;63>968g<>{t9hi1<7ae83>7}Y9hn01bd816<=:9{t:8?1<7a2<5:ki65?4}r0fa?6=;r79ih4=289>7gd=l>16?o<5d`9~w7ca2909w00;01=>;68h03=63>168;5>{t;8;1<7;t=233>27<5:396:?4=27g>27<5:>=6:?4=231>7703ty8=>4?:3y>746=0116?<;52058yv56<3:1>v3<158152=:;8h1h:5rs235>5<5s49::7<>7:?05gd;f4?xu4910;6?u230:9641<5:;h6i94}r12=?6=:r78=44=169>74e=l11v>?n:181856i38:;63<148g<>{t;8h1<7770349:h7j7;|q05f<72;q6?d;296~;49m09=:523079`2=z{:8:6=4={_115>;68j0o:6s|32`94?4|V:9i70?>d;f5?xu47}:;=<1455235;9641?7>52z\016=:;<>1><94}r162?6=:r78994k6:?01<<59>1v>;8:181852?38:;63<598g<>{t;<21<7770349>57j7;|q01a<72:qU?8j4=27g>74>349=97j9;|q026<72;q6?;k5d79>73e=:8=0q~=94;296~;4>=09=:5237`9`==z{:<>6=4={<151?46?278:54k8:p730=838p1>89:334?85113n<7p}<6683>7}:;?=1><94=24:>a>52z?02=<59>16?;o5d99~w60>2909w0=99;023>;4>k0o56s|37c94?4|5:73d=:8=01>8l:e:8yv51l3:1>v3<5e8;<>;4>l09=:5rs2:4>5<5sW93;63>1d8g2>{t;081<7=t^2;1?85>:389563<9`8g2>{t;021<7a0<5:k:6??8;|q0=<<72;q6?475205896g72m20q~=6a;296~;41h09=:5238f9`==z{:3i6=4={<1:f?46?2785h4k7:p77l:334?85>m3n37p}<9e83>7}:;0n1><94=2;e>a>52z?0=`<59>16?l>5d89~w6?a2909w0=6f;023>;4i90o;6s|3`294?4|5:k;6??8;<1b5?b?3ty8m?4?:3y>7<4=0116?l=52058yv5fj3:1?vP7dd=:;301v3;4j909=:5rs2`2>5<5s49jn79i;<1a=?46?2wx?o<50;0x96d52;;<70=m8;f4?xu4j:0;6?u23c19641<5:h<6i94}r1a0?6=:r78n94=169>7g1=l11v>l::18185e=38:;63{t;k<1<7770349i57j6;|q0f2<72;q6?o95205896d?2m20q~=m8;296~;4j109=:523c;9`==z{:hj6=4={<1a4?b1349ij7<>7:p7gd=838p1>lm:334?85en3n<7p}7}:;ki1><94=2`f>a152z?0fa<59>16?ok5d99~w6db2909w0=me;023>;4jo0o46s|3b294?4|V:i;70n<5839'5g`=:ho0c?7m:188m=6=831d>4<50;9l77b=831b5>4?::k01d<722e84o4?::m50?6=3k8m97>51;294~"5k;0o96F=f59K6`d1<75rb3fa>5<2290;w)5;n01=?6=3th9h:4?:483>5}#:j81h<5G2g68L7ce3-o96=5f7g83>>o?93:17d9>:188m=>=831d>?750;9~f7b4290>6=4?{%0`6?b63A8m86F=ec9'a7<73`=m6=44i9394?=n?80;66g78;29?j4513:17pl=cd83>0<729q/>n<5d09K6c2<@;oi7)k=:19j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb0fa>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4bd290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb0fe>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4c7290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb0f;>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f4b>290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<5<7s-8h>7j>;I0e0>N5mk1b;k4?::k;5?6=3`=:6=44i9:94?=h:;31<75rb3a4>5<2290;w)>o?93:17d9>:188m=>=831d>?750;9~f7?5290>6=4?{%0`6?b63A8m86F=ec9j3c<722c3=7>5;h52>5<o093:17d67:188k74>2900qomm:180>5<7s-8h>7mi;I0e0>N5mk1b;<4?::k;5;|`06a<72:0;6=u+2b09gc=O:o>0D?km;h52>5<44?::a70e=8391<7>t$3a1>f`<@;l?7E5<6=4<:183!4d:3im7E:188m=>=831d>?750;9~f`?=8391<7>t$3a1>f`<@;l?7E;1<75f8983>>i5:00;66sm2d394?5=939pD?km;%0`6?7d92c357>5;h:b>5<5<4290;w)N5n=1C>hl4ie594?=nl10;66a=1683>>{t000;6?uQ889>6cg=l11v5o50;0xZ=g<5;lj6i94}r0:`?6=:rT95i522gc96416}O:lh0(?m=:0a2?l>>2900e5o50;9l6ko50;194?6|,;i96?h<;I0e0>N5mk1bh:4?::kg5;|q;=?6=:rT3563=f`8g<>{t0h0;6?uQ8`9>6cg=l>1v?7k:181[4>l279jl4=169~yg4cn3:1?7?53zJ1ag=#:j81=n?4i9;94?=n0h0;66a=9e83>>d5nh0;6>4?:1y'6f4=:o90D?h;;I0ff>oc?3:17dj7:188k7702900q~66:181[>>348mm7j7;|q;e?6=:rT3m63=f`8g3>{t:0n1<75<42808wE5;c0ee?6=;3:10D?km;hf4>5<f348mm7j8;|q1=a<72;qU>4j4=3db>7703twi=h:50;195?5|@;oi7)o?13:17d6n:188k7?c2900n?hn:180>5<7s-8h>7ko52058yxd6m:0;6>4>:2yK6`d<,;i96;h::>5<0;66gk8;29?j46?3:17p}79;296~X?1279jl4k8:p;5nh09=:5r}c3fa?6=;3;1?vF=ec9'6f4=9j;0e5750;9j5<4<4sA8nn6*=c382g4=n000;66g7a;29?j4>l3:17o=831d><950;9~w=?=838pR574=3db>a>7}Y:0n01?hn:334?x{e9li1<7=51;1xL7ce3-8h>7?l1:k;=?6=3`2j6=44o3;g>5<>i59>0;66s|8883>7}Y0016>ko5d99~w=g=838pR5o4=3db>a152z\1=a=::ok1><94}|`2ag<72:0:6>uG2d`8 7e528i:7d66:188m=g=831d>4j50;9a6cg=8391<7>t$3a1>7`43A8m86F=ec9j`2<722co47>5;n023?6=3ty357>52z\;=>;5nh0o46s|8`83>7}Y0h16>ko5d69~w7?c2909wS<6d:?1bd<59>1vqo?ja;297?7=;rB9io5+2b095f7>i51m0;66l=f`83>6<729q/>n<52g18L7`33A8nn6gk7;29?lb?2900c??8:188yv>>2909wS66;<0ee?b?3ty3m7>52z\;e>;5nh0o;6s|28f94?4|V;3o70{zj8o26=4<:080M4bj2.9o?4>c09j<<<722c3m7>5;n0:`?6=3k8mm7>53;294~"5k;09j>5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rs9;94?4|V1301?hn:e:8yv>f2909wS6n;<0ee?b03ty95i4?:3y]6=8391=7=tH3ga?!4d:3;h=6g79;29?l>f2900c?7k:188f7`f29086=4?{%0`6?4a;2B9j95G2d`8ma1=831bh54?::m152<722wx444?:3y]<<=::ok1h55rs9c94?4|V1k01?hn:e58yv4>l3:1>vP=9e9>6cg=:8=0qpl>e683>6<62:qC>hl4$3a1>4e63`226=44i9c94?=h:0n1<75m2gc94?5=83:p(?m=:3d0?M4a<2B9io5fd683>>oc03:17b<>7;29?xu?13:1>vP79:?1bd5<5sW82h63=f`8152=zuk;n:7>53;397~N5mk1/>n<51b38m=?=831b4l4?::m1=a<722h9jl4?:283>5}#:j81>k=4H3d7?M4bj2co;7>5;hf;>5<5<5sW2270vP7a:?1bd4j50;0xZ7?c348mm7<>7:~f<3=8381<7>t$3a1>c2<@;l?7E5;|`:2?6=;3:1N5n=1C>hl4ie594?=nl10;66a=1683>>{e:lk1<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo<65;296?6=8r.9o?4i4:J1b1=O:lh0ei850;9l641=831vn:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`2b6<72:0;6=u+2b0974=O:o>0D?km;hf4>5<6=4<:183!4d:39:7E0;66gk8;29?j46?3:17pl>f683>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4`>29086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th:jo4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb0dg>5<4290;w)>oc03:17b<>7;29?xd6no0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?>>:180>5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`146<72:0;6=u+2b0974=O:o>0D?km;hf4>5<6=4<:183!4d:39:7E0;66gk8;29?j46?3:17pl>eg83>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo5<7s-8h>7=>;I0e0>N5mk1bh:4?::kg5;|`1`<<72;0;6=u+2b09b1=O:o>0D?km;hf5>5<N5n=1C>hl4ie594?=nl10;66a=1683>>{e:m?1<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd5l?0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vn?j?:181>5<7s-8h>7h;;I0e0>N5mk1bh;4?::m152<722wi>i?50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3th9h?4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rb3g0>5<4290;w)>oc03:17b<>7;29?xd5m<0;6>4?:1y'6f4=;81C>k:4H3ga?lb02900ei650;9l641=831vnk?50;094?6|,;i96k:4H3d7?M4bj2co:7>5;n023?6=3thm>7>53;294~"5k;08=6F=f59K6`d>i59>0;66sme583>1<729q/>n<5339K6c2<@;oi7dj8:188ma>=831bh44?::m152<722wi>n750;194?6|,;i96>?4H3d7?M4bj2co;7>5;hf;>5<N5n=1C>hl4ie494?=h:8=1<75rb3aa>5<5290;w)>i59>0;66sm2ba94?5=83:p(?m=:238L7`33A8nn6gk7;29?lb?2900c??8:188yg4dl3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wi=i?50;;94?6|,;i96>94H3d7?M4bj2co;7>5;hf;>5<>ocj3:17djl:188mab=831bhh4?::m152<722wi=i<50;794?6|,;i96>=4H3d7?M4bj2co;7>5;hf;>5<>i59>0;66sm1e194?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl>d583>6<729q/>n<5309K6c2<@;oi7dj8:188ma>=831d><950;9~f4b229086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3thnn7>52;294~"5k;0m86F=f59K6`d0D?h;;I0ff>oc>3:17b<>7;29?xdbl3:1?7>50z&1g7<492B9j95G2d`8ma1=831bh54?::m152<722wiih4?:283>5}#:j81?<5G2g68L7ce3`n<6=44ie:94?=h:8=1<75rbdd94?5=83:p(?m=:33g?M4a<2B9io5fd683>>oc03:17b<>7;29?xdb?3:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`f0D?km;hf4>5<>{e:021<7<50;2x 7e52o>0D?h;;I0ff>oc>3:17b<>7;29?xd5100;6?4?:1y'6f4=n=1C>k:4H3ga?lb12900c??8:188yg4>i3:187>50z&1g7<4:2B9j95G2d`8ma1=831bh54?::kg=?6=3f8:;7>5;|`52?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rb7594?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl98;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a2<<72:0;6=u+2b0974=O:o>0D?km;hf4>5<0;66gk8;29?j46?3:17pl9b;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a766=8391<7>t$3a1>67<@;l?7E5<52;294~"5k;0m86F=f59K6`d>{e;:91<7=50;2x 7e52:;0D?h;;I0ff>oc?3:17dj7:188k7702900qo=<4;297?6=8r.9o?4=1e9K6c2<@;oi7dj8:188ma>=831d><950;9~f65229086=4?{%0`6?563A8m86F=ec9j`2<722co47>5;n023?6=3th8?;4?:283>5}#:j81>5;hf;>5<5<4sW2;;<708n:e:8yv0f2909w08n:334?80e2m20q~6?:182`~X?8279ho481:?1`2<09279h>481:?1g`<0927:h;481:?2`2<0927:ho481:?2`f<0927:hi481:?2``<0927:hk481:?2a5<0927:i<481:?2a7<0927:h5481:?2`<<0927:hl481:?2gc<09279o:481:?1=7<0927ho79>;27<5:8o6:?4=2:a>27<5?>1;<5234a934=:m<0<=63j9;52?xu>;3:1>vP63:?`f?>?3ty287>59z?1g2<0n2795?48f:?1g`<0n279ho48f:?1`2<0n279h>48f:?:2?46?279il4k8:?f0?b03ty297>52z?:1?46?272:7j7;|q`=?6=;r7ho767;74>343>6i84}rab>5<5s4ih6?<6;<;5>a177034oh6i84=df9`2=:m>0o;6s|e483>2}:m<09>452f38g3>;bj3n=70k7:e5893d=l>16?>>5d69>767=l?1vh850;0x9`3=0116i54=169~w`1=838p1h9520589`>=l11vh750;5x9`?=:;301k?5d79>a`a0<5?31h:523279`2=z{lk1<7<94=df9`==z{li1<7<94=dd9`2=z{lo1<7<94=g09`==z{o91<7=><5o81><94}r3`b?6=:r7:ok4=289>a150;0x94ea212016i94}r3g6?6=:r7:h?4=169>5a2=l>1vd58g<>{t9m>1<777034;o97j7;|q2g7<72:e:894ca2m<013:18v3>d6816<=:9l<14l521g39`2=:9m;1h:5rs0a0>5<3s4;o47<=9:?2a64k7:?2`72;8270?j4;:b?847=3n<70?k2;f;?xu6k<0;6>u21ec967?<58o>65o4=0f1>ag54z?2`g<5:016=h958`9>5c5=l>16=i?5d99~w4e?290?w0?kc;01=>;6m103m63>f48g3>;6l80o56s|1b;94?2|58no6?<6;<3f=?>f34;m;7j8;<3g5?be3ty:ol4?:5y>5ac=:;3012m=01:ec8yv7dj3:18v3>dg816<=:9lh14l521g`9`2=:9m;1hn5rs0a`>5<3s4;n<7<=9:?2afa1<58n96i94}r3e4?6=;r7:i:479:?2b4<59>16=k=5d99~w4`52908w0?j8;::?87a;38:;63>f48g<>{t9o>1<7=t=0g:>=?<58l>6??8;<3e3?b?3ty:j;4?:2y>5`g=0016=k95205894`>2m20q~?i8;297~;6mk03563>f88152=:9oh1h55rs0db>5<4s4;no766;<3ef?46?27:ji4k8:p5ce=839p1u21dg9<<=:9ol1><94=322>a>53z?2a6655=l11v?>=:18087b<32270;58<0o46s|21694?4|58o>6574=326>7703ty9<;4?:3y>5a0=0116=hh52058yv47?3:1>v3>d98;<>;6m:095i5rs32;>5<5s4;o5767;<3f0?4>l2wx>=750;0x94bf212014j4}r03f?6=:r7:ho478:?2a2<51m1v?>l:18187ck32370?j8;0:`>{t:9n1<7=><58o26?7k;|q14`<72;q6=ik5899>5`g=:0n0q~ec81=a=z{;;;6=4={<3f4?>?34;no7<6d:p647=838p1:9:894cc2;3o7p}=1383>7}:9l8145521dg96514y>6c3=l=16>il5809>6a1=0816>i=5809>6fc=0816=i85809>5a1=0816=il5809>5ae=0816=ij5809>5ac=0816=ih5809>5`6=0816=h?5809>5`4=0816=i65809>5a?=0816=io5809>5f`=0816>n95809>6<4=081v?7=:181[4>:2795?4=289~w7?32909w0<62;:;?84>=38:;6s|28494?4|5;3>6i84=3;b>7703ty95:4?:3y>6<1=:8=01?7n:e:8yv4>03:1>v3=998152=::0k1h:5rs3;:>5<5s48257<>7:?1=dn950;1x97e02;827003463=ce8152=z{;i26=4={<0`=?46?279oi4k7:p6fg=838p1?mn:334?84dk3n<7p}=cc83>7}::jh1><94=3a`>a>52z?1gf<59>16>nj5d99~w7e4290>w0;5lo03563=d08g2>;5m:0o463=c88g3>{t:jl1<7=><5;n96??8;|q1`5<72;q6>i>5205897b52m=0q~2wx>i:50;0x97b421201?j9:334?xu5l<0;6?u22e79641<5;n=6i64}r0`1?6==r79h:4=289>6`6=0h16>h;5d69>6fd=l?16>495d79~w7b?2909w0f348h57j7;<0:=?b13ty9hn4?:3y>6ad=0116>ik52058yv4cl3:1>v3=de8152=::mo1h55rs3g1>5<4s48n<766;<0f7?46?279i84k8:p6`2=838p1?k>:9;897c22;;<7p}=e783>7}::ml1>4j4=3f5>a152z?1a5<51m16>io5d69~w7c?2909w0;5ll0o;6s|2d;94?74s4;o:79i;<3g3?1a34;on79i;<3gg?1a34;oh79i;<3ga?1a34;oj79i;<3f4?1a34;n=79i;<3f6?1a34;o479i;<3g=?1a34;om79i;<3`b?1a348nm7<>7:?1`a279h44k6:?1`0279h=4k6:p77b=83?pR>760=:8=0q~=<0;296~;4;909=:523249`2=z{:9:6=4={<105?46?278?>4k7:p764=838p1>==:334?854;3n37p}<3283>7}:;:91><94=217>a>52z?071<59>16?>;5d99~w6522909w0=<5;023>;4;?0o46s|34c94?4|V:?j70=:c;:;?xu4=j0;6>u234a967?<5l=1h5523209`3=z{:2i6=4={_1;f>;40k09>45r}c13N5n=1C>hl4ie494?=h:8=1<75rb23e>5<5290;w)>i59>0;66sm31694?4=83:p(?m=:g68L7`33A8nn6gk6;29?j46?3:17pl<0483>7<729q/>n<5f59K6c2<@;oi7dj9:188k7702900qo=?6;297?6=8r.9o?4<1:J1b1=O:lh0ei950;9j`=<722e9=:4?::a7=g=8381<7>t$3a1>c2<@;l?7E5;|`04f<72;0;6=u+2b09a4=O:o>0D?km;hf5>5<N5n=1C>hl4ie494?=h:8=1<75rb20a>5<5290;w)>i59>0;66smc683>7<729q/>n<5e09K6c2<@;oi7dj9:188k7702900qomj:181>5<7s-8h>7k>;I0e0>N5mk1bh;4?::m152<722wi?>h50;094?6|,;i96h?4H3d7?M4bj2co:7>5;n023?6=3th89o4?:383>5}#:j81i<5G2g68L7ce3`n=6=44o334>5<52;294~"5k;0n=6F=f59K6`d31<7<50;2x 7e52l;0D?h;;I0ff>oc>3:17b<>7;29?xd0;3:1>7>50z&1g7<950;9~f63a29096=4?{%0`6?c63A8m86F=ec9j`3<722e9=:4?::a7fb=8381<7>t$3a1>`7<@;l?7E5;|`56?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rb7394?4=83:p(?m=:d38L7`33A8nn6gk6;29?j46?3:17pl90;296?6=8r.9o?4j1:J1b1=O:lh0ei850;9l641=831vn8h50;094?6|,;i96h?4H3d7?M4bj2co:7>5;n023?6=3th>i7>52;294~"5k;0n=6F=f59K6`doc>3:17b<>7;29?xd2k3:1>7>50z&1g7<950;9~f0d=8381<7>t$3a1>`7<@;l?7E5;|`6e?6=:3:1N5n=1C>hl4ie494?=h:8=1<75rb4;94?4=83:p(?m=:d38L7`33A8nn6gk6;29?j46?3:17pl:8;296?6=8r.9o?4j1:J1b1=O:lh0ei850;9l641=831vn8950;094?6|,;i96h?4H3d7?M4bj2co:7>5;n023?6=3th>:7>52;294~"5k;0n=6F=f59K6`doc>3:17b<>7;29?xd1;3:1>7>50z&1g7<950;9~f6>?290?6=4?{%0`6?77n2B9j95G2d`8ma0=831b5>4?::m152<722em97>5;|`41?6=:3:10D?km;hf5>5<0D?km;hf5>5<0D?km;hf5>5<0D?km;hf5>5<5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb2:5>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb2:2>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb21;>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb20f>5<5290;w)N5n=1C>hl4ie494?=h:8=1<75rb22f>5<4290;w)N5n=1C>hl4ie494?=n1:0;66a=1683>>{e;;:1<7<50;2x 7e52;;37E>{e;191<7<50;2x 7e52;;37E>{e;0<1<7=50;2x 7e52;;27E7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<0383>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<0883>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<8d83>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<4683>7<729q/>n<520:8L7`33A8nn6gk6;29?j46?3:17pl<6083>6<729q/>n<520;8L7`33A8nn6gk6;29?l?42900c??8:188yg5fl3:1?7>50z&1g7<5901C>k:4H3ga?lb12900e4=50;9l641=831vn>:l:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn>;::181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn><<:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;;:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;<:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;k:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;l:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;m:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;n:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;6:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;7:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;8:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;9:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;::181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831vn?;=:181>5<7s-8h>7<>8:J1b1=O:lh0ei850;9l641=831v??50;0x97`a2;:01>>;:e48yv452909w0=?0;03?857=3n=7p}=e;296~;48909i63<098g2>{t;m0;6?uQ3e9>102wx?h4?:3y]7`=:=?0o:6s|3g83>7}Y;o169:4k6:p05<72;qU8=52598g2>{t<80;6?uQ409>1<2wx8?4?:3y]07=:=h0o:6s|4283>7}Y<:169o4k6:p01<72;qU89525b8g2>{t<<0;6?uQ449>1a2wx8;4?:3y]03=:=l0o:6s|4983>7}Y<1169k4k6:p0<<72;qU8452618g2>{t242wx8n4?:3y]0f=:>;0o:6s|5583>7}Y==16:>4k6:p10<72:q6>kj57:?0g`<034?>6??8;|q62?6=;r79ji47;<1`a?><5<<1><94}r74>5<4s48mh774=2af><=:=>09=:5rs4:94?5|5;lo6l523bg9e>;2038:;6s|5883>6}::on1n632;;<7p}:a;297~;5nm0h70=le;a890g=:8=0q~;m:18084al3n01>mj:e9>1g<59>1v8m50;1x97`c2l16?nk5e:?6g?46?2wx9i4?:2y>6cb=n278oh4i;<7g>7703ty>i7>53z?1ba<68278oh4>0:?6a?46?2wx9k4?:2y>6cb=9;16?nk5139>1c<59>1v;>50;1x97`c28>01>mj:068936=:8=0q~8>:18184al3;>708>:334?xu1:3:1>v3=fe823>;1:38:;6s|6283>6}::on1=k523bg95c=:>:09=:5rs7694?1|5;lm6;:4=3d`>32<5:;m6i84=22f><5<5:3=64=4=242><5<5:ko64=4}r4`>5<3s48mh78l;<1`a?0d348mi78l;<134?0d3ty<>7>52z\46>;0;3n=7p}83;296~;5no0<>6383;023>{t?=0;6?uQ759>30<59>1v:;50;1x97`22m>01>67:e48923=l?1v:850;0xZ20<5>=1><94}r54>5<4s48m:7<=3:?1b`<5:k16;:4k6:p3=<72;qU;552788g2>{t?00;6?u22gd93==:?009=:5rs8194?4|V0901n95d79~wf1=839p1?hi:81897`d20901n952058yvec2909wSmk;a07349hi76?;<0ea?ec349;<76?;<0eg?>734in6??8;|qgb?6=:r79ji4kf:?1b`756=n?16>kh5f79~w46f2909w0=?0;33e>;5no0:5<5s48mh7?>0:?045<6991v399~w45>2909w0;4890:?45rs063>5<4s48mh7?;0:?1b`<6<916?=>51528yv73;3:1>v3=fd8206=:;9:1=9=4}r37499>6c`=9=20q~?68;296~;4890:55522gd95de52z?045<6im16>kh51`f8yv46=3:1?v3<898152=::on1?594=3de>6>03ty9>n4?:3y]67e<5;?96??8;|q16a<72;qU>?j4=370>7703ty9>k4?:3y]67`<5;??6??8;|q175<72;qU>>>4=376>7703ty9?<4?:3y]667<5;?=6??8;|q177<72;qU>><4=374>7703ty9?>4?:3y]665<5;?36??8;|q171<72;qU>>:4=37:>7703ty9?84?:3y]663<5;?j6??8;|q173<72;qU>>84=37a>7703ty9?:4?:3y]661<5;?h6??8;|q17=<72;qU>>64=37g>7703ty9?l4?:3y>6cb=::k01>mj:31b?xu5;k0;6?u22gf966d<5;ln6?=n;|q10f<72;q6?nk525a897`b2;>h7p}=5383>7}:;jo1>?m4=371>a0?7>52z?0g`<5:m16>8=5d79~w7332909w0=le;01b>;5==0o:6s|24794?4|5:in6?=?;<061?b13ty99;4?:3y>7fc=::;01?;9:e48yv42?3:1>v35<5s49hi7<<3:?11=2wx>8750;0x96eb2;9?70<:9;f5?xu5=h0;6?u23bg9663<5;?j6i84}r06f?6=:r78oh4=379>60d=l?1v?;l:18185dm388;63=5b8g2>{t:75?348>h7j9;|q11`<72:q6>kj524g896eb2;?n70=?0;06a>{t:?91<7704348mi7<:e:p6<4=838p1>mj:3;0?84ak382>6s|28`94?4|5:in6?7l;<0eg?4>j2wx>lj50;0x97`c2;ko70{t;9;1<74?:3y>752=:8=01>>9:e58yv57<3:1>v3<048152=:;9<1h55rs224>5<5sW9;;63<088152=z{::26=4={<13>m;<13g?b13ty87fc=;9k01?hj:22b?857839;m63<0b8152=z{::o6=4={_13`>;48l09=:5rs22e>5<5s48mj7=>0:?04`2wx?7:p776=838p1>?i:334?85583n=7p}<2383>7}Y;;801><<:334?xu4::0;6?u23129774<5:886i84}r11e?6=:rT8>l5233`9`3=z{:8i6=4={<0eb?559278>o4=169~w64c2909wS==d:?06`<59>1v>{t;:=1<7=8;<10kk532`8965c2m<0q~=h5d79~w65a2909w0;4;o09=:5rs265>5<5sW9?:63<468152=z{:><6=4={<0eb?53>2788:4k6:p71g=838pR>:n;<17g?46?2wx?9m50;0x96672:>j70=;c;f5?xu4=:0;6?uQ341896322;;<7p}<5483>7}::ol1?8=4=276>a0m7>52z\01d=:;5<5s48mo7=:a:?01g<59>1v>;j:181[52m2789k4k6:p70`=839p1>mj:27g?857839>h63<5g8152=z{:<;6=4={_154>;4>809=:5rs241>5<5s48mj7=:d:?0242wx?:850;0xZ611349<57<>7:p72?=838p1>mj:255?85013n=7p}<8183>7}Y;1:01>6>:334?xu4080;6?u23bg973`<5:2:6i84}r1;6?6=:rT84?52391964152z?0g`<4i=16?5=5d79~w6>32909wS=74:?0<3<59>1v>69:18185dm393863<878g2>{t;1=1<77p}<8883>7}:;1k1><94=2:;><552z?1bf<40k16?5o5d79~w6>d2909wS=7c:?0<`<59>1v>6j:18185dm393o63<8d8g2>{t;1l1<7938:;6s|38394?4|5:in6>6i;<1:5?b13ty85>4?:3y]7<5<5:3?6i84}r1:0?6=;r78oh4<939>756=;0801>7;:334?xu41<0;6?uQ387896?12;;<7p}<9683>7}::ol1?4<4=2;5>a052z\0ef=:;hn1><94}r1ba?6=:r79jk47db=l?1v>m>:181[5d9278o?4=169~w6e52909w0;4k;0o:6s|3b194?4|V:i870=l4;f5?xu4k=0;6?u22gd97f6<5:i?6??8;|q0gf<72;qU?nm4=2ag>a054z?1ba<4kk16?nk53b`896672:ii70=ld;023>{zfjnj6=4={I0ff>{ikmh1<7hl4}oaf5?6=9rB9io5rnbg1>5<6sA8nn6sacd194?7|@;oi7p`le583>4}O:lh0qcmj5;295~N5mk1vbnk9:182M4bj2weoh950;3xL7ce3tdhi54?:0yK6`d51zJ1ag=zfjoj6=4>{I0ff>{iklh1<7?tH3ga?xhdmj0;6>uG2d`8ykebl3:1?vF=ec9~jfcb2908wEhl4}oae5?6=;rB9io5rnbd1>5<4sA8nn6sacg194?5|@;oi7p`lf583>1}O:lh0qcmi5;291~N5mk1vbnh9:181M4bj2weok950;0xL7ce3tdhj54?:3yK6`d52zJ1ag=zfjlj6=4<{I0ff>{ikoh1<7=tH3ga?xhdnj0;68uG2d`8ykeal3:1=vF=ec9~jf`b2909wEhl4}of35?6=9rB9io5rne21>5<6sA8nn6sad1194?7|@;oi7p`k0583>7}O:lh0qcj?5;295~N5mk1vbi>9:180M4bj2weh=950;3xL7ce3tdo<54?:7yK6`d53zJ1ag=zfm:j6=4<{I0ff>{il9h1<7=tH3ga?xhc8j0;6>uG2d`8ykb7l3:1?vF=ec9~ja6b2908wEhl4}of25?6=;rB9io5rne31>5<4sA8nn6sad0194?5|@;oi7p`k1583>6}O:lh0qcj>5;297~N5mk1vbi?9:182M4bj2weh<950;3xL7ce3tdo=54?:0yK6`d51zJ1ag=zfm;j6=4>{I0ff>{il8h1<7?tH3ga?xhc9j0;6hl4}of15?6=9rB9io5rne01>5<4sA8nn6sad3194?4|@;oi7p`k2583>7}O:lh0qcj<1;290~N5mk1vbi==:180M4bj2weh>=50;1xL7ce3tdo?94?:5yK6`d51zJ1ag=zfm9<6=4>{I0ff>{il:21<7?tH3ga?xhc;00;6hl4}of0a?6=9rB9io5rne1e>5<5sA8nn6sad5294?7|@;oi7p`k4083>4}O:lh0qcj;2;295~N5mk1vbi:<:181M4bj2weh9:50;3xL7ce3tdo884?:3yK6`d51zJ1ag=zfm><6=4={I0ff>{il=21<7?tH3ga?xhc<00;6?uG2d`8ykb3i3:1=vF=ec9~ja2e2909wEhl4}of7a?6=9rB9io5rne6e>5<5sA8nn6sad4294?7|@;oi7p`k5083>7}O:lh0qcj:2;295~N5mk1vbi;<:181M4bj2weh8:50;3xL7ce3tdo984?:3yK6`d:7>51zJ1ag=zfm?<6=4<{I0ff>{il<21<7?tH3ga?xhc=00;6?uG2d`8ykb2i3:1=vF=ec9~ja3e2909wEhl4}of6a?6=9rB9io5rne7e>5<5sA8nn6sad7294?7|@;oi7p`k6083>7}O:lh0qcj92;295~N5mk1vbi8<:182M4bj2weh;:50;0xL7ce3tdo:84?:0yK6`d52zJ1ag=zfm<<6=4>{I0ff>{il?21<700;6hl4}of5a?6=:rB9io5rne4e>5<6sA8nn6sad6294?4|@;oi7p`k7083>4}O:lh0qcj82;296~N5mk1vbi9<:182M4bj2weh::50;6xL7ce3tdm87>51zJ1ag=zfo?1<7?tH3ga?xha>3:1=vF=ec9~jc1=83;pD?km;|le51zJ1ag=zf8:;6=4>{I0ff>{i9981<7?tH3ga?xh68:0;6hl4}o33=?6=9rB9io5rn02b>5<6sA8nn6sa11`94?7|@;oi7p`>0b83>4}O:lh0qc??d;295~N5mk1vb<>j:182M4bj2we==h50;3xL7ce3td:==4?:0yK6`d51zJ1ag=zf;926=4>{I0ff>{i::k1<7?tH3ga?xh5;k0;6hl4}o07g?6=9rB9io5rn36g>5<6sA8nn6sa25g94?7|@;oi7p`=4g83>4}O:lh0qc<:0;295~N5mk1vb?;>:182M4bj2we>8<50;3xL7ce3td99>4?:0yK6`d97>51zJ1ag=zf;?=6=4>{I0ff>{i:<=1<7?tH3ga?xh5=00;6hl4}o06a?6=9rB9io5rn37e>5<6sA8nn6sa27294?7|@;oi7p`=6083>4}O:lh0qc<92;295~N5mk1vb?8<:182M4bj2we>;:50;3xL7ce3td9:84?:0yK6`d51zJ1ag=zf;<<6=4>{I0ff>{i:?21<7?tH3ga?xh5>00;6hl4}o05a?6=9rB9io5rn34e>5<6sA8nn6sa26294?7|@;oi7p`=7083>4}O:lh0qc<82;295~N5mk1vb?9<:182M4bj2we>:650;3xL7ce3td9;o4?:0yK6`d51zJ1ag=zf;=o6=4>{I0ff>{i:>o1<7?tH3ga?xh5090;63:1=vF=ec9~j7>0290:wE=83;pD?km;|l1<<<728qC>hl4}o0f1?6=9rB9io5rn3g5>5<6sA8nn6sa2d594?7|@;oi7p`=e883>4}O:lh0qc:182M4bj2we>k<50;3xL7ce3td9j>4?:0yK6`d51zJ1ag=zf;l>6=4>{I0ff>{i:o21<7?tH3ga?xh5n00;6hl4}o0eb?6=9rB9io5rn223>5<6sA8nn6sa50494?7|@;oi7p`:1883>4}O:lh0qc;>a;295~N5mk1vb8?l:182M4bj2we9?>50;3xL7ce3td>>?4?:0yK6`d51zJ1ag=zf<8?6=4>{I0ff>{i=;?1<7?tH3ga?xh2:?0;6hl4}o71f?6=9rB9io5rn40`>5<6sA8nn6sa53f94?7|@;oi7p`:2d83>4}O:lh0qc;=f;295~N5mk1vb8=?:182M4bj2we9>?50;3xL7ce3td>??4?:0yK6`d51zJ1ag=zf<9>6=4>{I0ff>{i=:<1<7?tH3ga?xh2;>0;6hl4}o70b?6=9rB9io5rn463>5<6sA8nn6sa55394?7|@;oi7p`:4383>4}O:lh0qc;;3;295~N5mk1vb8:;:182M4bj2we99;50;3xL7ce3td>8;4?:0yK6`d51zJ1ag=zf<>36=4>{I0ff>{i==31<7?tH3ga?xh2hl4}o77b?6=9rB9io5rn473>5<6sA8nn6sa54394?7|@;oi7p`:5383>4}O:lh0qc;:3;295~N5mk1vb8;;:182M4bj2we98;50;3xL7ce3td>9;4?:0yK6`d47>51zJ1ag=zf{I0ff>{i=hl4}o754?6=9rB9io5rn442>5<6sA8nn6sa57094?7|@;oi7p`:6283>4}O:lh0qc;94;295~N5mk1vb88::182M4bj2we9;850;3xL7ce3td>::4?:0yK6`d51zJ1ag=zf<{I0ff>{i=?h1<7?tH3ga?xh2>j0;6hl4}o745?6=9rB9io5rn451>5<6sA8nn6sa56194?7|@;oi7p`:7583>4}O:lh0qc;85;295~N5mk1vb899:182M4bj2we9:950;3xL7ce3td>;54?:0yK6`d51zJ1ag=zf<=j6=4>{I0ff>{i=>h1<7?tH3ga?xh2?m0;6hl4}o7;6?6=9rB9io5rn4:0>5<6sA8nn6sa59694?7|@;oi7p`:8483>4}O:lh0qc;76;295~N5mk1vb868:182M4bj2we95650;3xL7ce3td>444?:0yK6`d51zJ1ag=zf<2i6=4>{I0ff>{i=1i1<7?tH3ga?xh20m0;6a290:wEhl4}o7:6?6=9rB9io5rn4;0>5<6sA8nn6sa58694?7|@;oi7p`:9683>4}O:lh0qc;68;295~N5mk1vb876:182M4bj2we94o50;3xL7ce3td>5n4?:0yK6`d51zJ1ag=zf<3n6=4>{I0ff>{i=0l1<7?tH3ga?xh2i90;6>;3:1=vF=ec9~j=?3290:wEhl4}o::3?6=9rB9io5rn9;;>5<6sA8nn6sa88;94?7|@;oi7p`79`83>4}O:lh0qc66b;295~N5mk1vb57l:182M4bj2we4l>50;3xL7ce3td3m<4?:0yK6`d7>51zJ1ag=zf1k86=4>{I0ff>{i0h>1<7?tH3ga?xh?i<0;6f>3:1=vF=ec9~j=g0290:wEhl4}o:bf?6=9rB9io5rn9c`>5<6sA8nn6sa8`f94?7|@;oi7p`7ad83>4}O:lh0qc6nf;295~N5mk1vb5l?:182M4bj2we4o?50;0xL7ce3td3n?4?:0yK6`d51zJ1ag=zf1h?6=4={I0ff>{i0k?1<7?tH3ga?xh?j?0;6e?3:1>vF=ec9~j=d?290:wEhl4}o:af?6=:rB9io5rn9``>5<6sA8nn6sa8cf94?7|@;oi7p`7bd83>4}O:lh0qc6mf;295~N5mk1vb5m?:182M4bj2we4n?50;3xL7ce3td3o?4?:0yK6`d51zJ1ag=zf1i?6=4>{I0ff>{i0j?1<7?tH3ga?xh?k?0;6d?3:1>vF=ec9~j=e?2909wEhl4}o:`g?6=9rB9io5rn9ag>5<6sA8nn6sa8bg94?7|@;oi7p`7cg83>4}O:lh0qc6k0;295~N5mk1vb5j>:182M4bj2we4i<50;3xL7ce3td3h>4?:0yK6`d51zJ1ag=zf1n>6=4>{I0ff>{i0m<1<7?tH3ga?xh?l>0;6c03:1=vF=ec9~j=b>290:wEhl4}o:gg?6=9rB9io5rn9ff>5<6sA8nn6sa8d294?7|@;oi7p`7e083>4}O:lh0qc6j2;295~N5mk1vb5k<:182M4bj2we4h:50;3xL7ce3td3i84?:0yK6`d51zJ1ag=zf1o<6=4>{I0ff>{i0lk1<7?tH3ga?xh?mk0;6bk3:1=vF=ec9~j=cc290:wEhl4}o:e4?6=9rB9io5rn9d2>5<6sA8nn6sa8g094?7|@;oi7p`7f283>4}O:lh0qc6i4;295~N5mk1vb5h::182M4bj2we4k850;3xL7ce3td3j:4?:0yK6`d51zJ1ag=zf1l26=4>{I0ff>{i0ok1<7?tH3ga?xh?nk0;6ak3:1=vF=ec9~j=`c290:wEhl4}o;34?6=9rB9io5rn822>5<6sA8nn6sa91094?7|@;oi7p`60283>4}O:lh0qc7?4;295~N5mk1vb4>::182M4bj2we5=850;3xL7ce3td2<:4?:0yK6`d51zJ1ag=zf0:26=4>{I0ff>{i19k1<7?tH3ga?xh>8k0;6hl4}o;24?6=9rB9io5rn832>5<6sA8nn6sa90094?7|@;oi7p`61283>4}O:lh0qc7>4;295~N5mk1vb4?::182M4bj2we5<650;3xL7ce3td2=44?:0yK6`d51zJ1ag=zf0;i6=4>{I0ff>{i18n1<7?tH3ga?xh>9l0;6hl4}o;17?6=9rB9io5rn807>5<6sA8nn6sa93794?7|@;oi7p`62783>4}O:lh0qc7=7;295~N5mk1vb4h4?:0yK6`d51zJ1ag=zf09:6=4>{I0ff>{i1:81<7?tH3ga?xh>;:0;6hl4}o;05<6sA8nn6sa92c94?7|@;oi7p`63e83>4}O:lh0qc750;3xL7ce3td28<4?:0yK6`d7>51zJ1ag=zf0>86=4>{I0ff>{i1=>1<7?tH3ga?xh><<0;63:1=vF=ec9~j<2?290:wEhl4}o;7f?6=9rB9io5rn86`>5<6sA8nn6sa95f94?7|@;oi7p`64d83>4}O:lh0qc7;f;295~N5mk1vb4;?:182M4bj2we58?50;3xL7ce3td29?4?:0yK6`d?7>51zJ1ag=zf0??6=4>{I0ff>{i1?3:1=vF=ec9~jg??290:wEhl4}o`:f?6=9rB9io5rnc;f>5<6sA8nn6sab8d94?7|@;oi7p`ma183>4}O:lh0qcln1;295~N5mk1vboo=:182M4bj2wenl=50;3xL7ce3tdim94?:0yK6`d51zJ1ag=zfkk=6=4>{I0ff>{ijh=1<7?tH3ga?xhei10;6hl4}o`b`?6=9rB9io5rnccf>5<6sA8nn6sab`d94?7|@;oi7p`mb183>4}O:lh0qclm1;295~N5mk1vbol=:182M4bj2weno=50;3xL7ce3tdin94?:3yK6`d52zJ1ag=zfkh=6=4={I0ff>{ijk=1<7vF=ec9~jgdf2909wEhl4}o`a`?6=:rB9io5rnc`f>5<5sA8nn6sabcd94?4|@;oi7p`mc183>7}O:lh0qcll1;296~N5mk1vbom=:181M4bj2wenn=50;0xL7ce3tdio94?:3yK6`d52zJ1ag=zfki=6=4={I0ff>{ijj=1<7vF=ec9~jgef2909wEhl4}o```?6=:rB9io5rncaf>5<5sA8nn6sabbd94?4|@;oi7p`md183>7}O:lh0qclk1;296~N5mk1vboj=:181M4bj2weni=50;0xL7ce3tdih94?:3yK6`d52zJ1ag=zfkn=6=4={I0ff>{ijm=1<7hl4}o`ga?6=9rB9io5rncfe>5<6sA8nn6sabd294?7|@;oi7p`me083>4}O:lh0qclj2;295~N5mk1vbok<:182M4bj2wenh:50;3xL7ce3tdii84?:0yK6`d52zJ1ag=zfko<6=4>{I0ff>{ijl21<7?tH3ga?xhem00;6vF=ec9~jgce290:wEhl4}o`fa?6=9rB9io5rncge>5<6sA8nn6sabg394?7|@;oi7p`mf383>4}O:lh0qcli3;296~N5mk1vboh;:181M4bj2wenk;50;0xL7ce3tdij;4?:3yK6`d52zJ1ag=zfkl36=4>{I0ff>{ijok1<7?tH3ga?xhenk0;6hl4}oa34?6=9rB9io5rnb21>5<6sA8nn6sr}|BCG~`a=38=:5;765CDG}7uIJ[wpNO \ No newline at end of file +$56f4=792;:>6?=:NWWTPR=ZH6:=7>111922?OIX\^1M1<<:9<24>462@D[YY4N<3395;733;91EC^ZT;C\BVD;::0:2k5<:HLSQQ49B8439=2K7=;0:;@>23;3G;97?0M169B877=97?0M1<>>49B8749?2K7>>47>49B8759<2K7>3:4A=1=0>G;<7>0M1;14:C?2;2Pmtz345668m1J@H>Pmtz34565l2KGI=Qbuy23455c3HFNGKM9Ufyu>?015g?DJB8Vg~t=>?09f8EIC7Wds<=>?959BH@7d3HFN=RQ`r1235a=FDL;TSb|?01320>GIL;>0MCJ<4:CM@1286OAD768EKB0<2KEH5:4ARpe`>GTzoUH`fQFNW34?DUunVD;o6O\rg]mkq6788n0M^|i_omw4566901JSK]M<02==>GXNZH7=<06;@]EWG:6:730MRH\B=30:<=FWOYI0<:19:C\BVD;9<427LQISC>22;?89B[CUE482556OPFR@?5<8?3HUM_O2>>89B[CUE4;:556OPFR@?648>3HUM_O2=2?`8EZ@TJ5886<06;@]EWG:5;720MRH\B=0=<>GXNZH7?364A^DPF92902KTJ^L35?:8EZ@TJ5<546OPFR@?3;>3^KAQCbGXNZHT=;QFBTDg?DYA[KU:;RGMUGf8EZ@TJV;3SDLZFe9B[CUEW83TEO[Ic:C\BVDX9VCIYKj4A^DPFZ47W@H^Ji5N_GQA[77XAK_Mh6OPFR@\67YNJ\Lo7LQISC]17ZOE]Oi0MRH\B^0\MGSAk2KTJ^LP3^KAQCeGXNZHT9RGMUGa8EZ@TJVXAK_Mo6OPFR@\=ZOE]O<0Mb{{ODg8EjssGLUfyu>?01d8EjssGLUfyu>?013e?Dir|FOTaxv?0121b>Gh}}ENS`{w01237c=Fg|~DIRczx12341`=CJN^MAQC00O5C}3:ABWg=DIZUfyu>?01a8GDUXe|r;<=>>c:ABWZkrp9:;EF[Vg~t=>?0908G@51Omyo30?58@drf484=7IaztNGg?Air|FOTc>?01g8@jssGLUd~=>?00g8@jssGLUd~=>?03g8@jssGLUd~=>?02g8@jssGLUd~=>?05g8@jssGLUd~=>?04g8@jssGLUd~=>?0738A0=BNhfg:6KIamn22>CAief996K\<1<6?@U;97?0I^2=>49FW959<2OXS=k4ER]3[5Yig}:;<=h4ER]3[5Yig}:;<=?k;DQ\4ZYffm:;<=k4ER]3[Zgil9:;<k4ER]3[Zgil9:;<9k4ER]3[Zgil9:;<8k4ER]3[Zgil9:;<;:4ER]2`>CTW8UTmcj?012f?@UX9VUjbi>?013f?@UX9VUjbi>?0107?@UX:m1N_Rj4ER]0[Zgil9:;?_LzlvZTb{|f0<0>f:GP[HgclVUjhi>?01]N|jtXZly~`y2=>0d8AVYJimnTSljk0123[H~hzVXnxb{<2<2=>CTWDkohRQnde2345YXign;<=>>a:GP[HgclVUjhi>?01]\ekb789::=45JS^Ob`aYXimn;<=>P_`lg45669h1N_RCnde]\eab789:TSl`k01225a=B[V[T=Ra}0123a>CTWXU:Sb|?0122b>CTWXU:Sb|?01224c=B[V[T=Ra}012354c?5F339J07=N=;1B:?5F759JEFU23@KH_<:4ICWE=>OIA]Y_MYK8;HLJPVRD02CEEY][C0g8MKOS[VLXARHId032?LHN\ZUM_@QIFe3.Mk753@DBX^QISL]EBa7*Ag;:>6GAIUQ\BVKXNOn:!D`=e:KMMQUXNZGTJKj=109JJLRTWOYFSKHk2,Km57=NF@^XSK]B_GDg6(Oi9880ECG[S^DPIZ@Al;'Bb??=;HLJPVYA[DUMJi<"Io126>OIA]YTJ^CPFGf1)Lh39;1BBDZ\_GQN[C@c:$Ce9h5FNHVP[CUJWF?k5FNHVP[CUJWF?<>0:KMMQUXkdzTi|>?0218MKP53@E>7DANCUf8MJGD\Vg~t=>?0d9JKDESWds<=>?1g9JKDESWds<=>?11d8MJGD\Vg~t=>?003e?LIFK]Ufyu>?0131b>OHIJ^Taxv?01227c=NGHI_S`{w012351`l1BCLM[_lw{4567?l1BCLM[_lw{45670l1BCLM[_lw{45671?1BCLM[r79JKGCUZl1BCOK]R^llp5679o1BCOK]R^llp56798>0EBLB4:KLFV0OHKZ;=7DALS025?LID[8;=7DALS005?LID[89=7DALS065?LID[8?>7DALS378MJET;<1BCN];5:KLGV323@EH_;;4INAP30=NGJY396G@CR;5?LICGGOo7DAKOOG\kw6789o0EBJ@ND]lv56788o0EBJ@ND]lv5678;o0EBJ@ND]lv5678:o0EBJ@ND]lv5678=o0EBJ@ND]lv5678o0EBJ@ND]lv5678120EBJ@NDkm3>OHLFDN~95FOM2`?LIK8Vg~t=>?0e9JKI6Xe|r;<=>>e:KLH5Yj}q:;<=??e:KLH5Yj}q:;<=?>e:KLH5Yj}q:;<=?=e:KLH5Yj}q:;<=?j4INN3[hs89:;8i5FOM2\ip~789:>h6G@L1]nq}6789n0EBB?_lw{45670m1BCA>Pmtz3456><2CD@7DACDR;8MJHWZlkou?0008MJHWZlkouRQ`r12344753@EE\_kndx]\kw67898:>6G@NQPfeaXWfx;<=><139JKKVUmhnrSRa}0123044?0008MJVPZlkouRQ`r12344753@E[[_kndx]\kw67898:>6G@PVPfeaXWfx;<=><7:KLVATBYo1BC_J]EP]bja6789;;7DA]DSGR[dhc89:;=<>4INPGV@WXign;<=>=119JKWBUMXUjbi>?011e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788::=6G@REPFUZkrp9:;<1:KLVATBYVg~t=>?00025>OHZMXN]Rczx123445692CD^I\JQ^ov|56788>:<6G@REPFUZkrp9:;?3028MJTCZL[Taxv?012755=NG[NYI\Qbuy23453682CD^I\JQ^ov|5678?;;7DA]DSGR[hs89:;;<>4INPGV@WXe|r;<=>7119JKWBUMXUfyu>?01;;?LIUL[OZ~;5FOSGRv2=NG[OZ~<94INPFUw403@EYI\|<5:KLVR7c3@EY[Paof34565l2CD_=Qnne23455d3@EXOH[9Ufyu>?0133`>OH[9Ufyu>?010g?LIT8Vg~t=>?02f8MJU7Wds<=>?4e9JKV6Xe|r;<=>:d:KLW5Yj}q:;<=8k;HMP4Zkrp9:;<:j4INQ3[hs89:;4i5FOR2\ip~789:2o6G@S^DPIZ@Al8i0EB]PFRO\BCb5n2CD_RH\M^DE`7+Nf8:0EB]PFRO\BCb5%@d:==5FOR]EWHYANm8&Ec<>0:KLWZ@TEVLMh?#Fn2a8MJUXNZGTJKj#Fn028MJUXNZGTJKj<-Hl255=NGZUM_@QIFe1.Mk4682CD_RH\M^DE`6+Nf:;;7DA\_GQN[C@c;$Ce8<>4INQ\BVKXNOn8!D`:119JKVYA[DUMJi="Io4`?LITWOYFSKHk4b9JKVYA[DUMJi;l;HMP[CUJWOLo:k5FOR]EWHYANm<&Ec??;HMP[CUJWOLo: Ga1028MJUXNZGTJKj9-Hl155=NGZUM_@QIFe4.Mk5682CD_RH\M^DE`3+Nf=;;7DA\_GQN[C@c>$Ce9n5FOR]EWHYANm=m7DA\_GQN[C@c?$Ce==5FOR]EWHYANm=&Ec?>0:KLWZ@TEVLMh:#Fn333?LITWOYFSKHk7,Km746k;HMV4Zkrp9:;<k4INW3[hs89:;=9k4INW3[hs89:;=8j4INW3[hs89:;>i5FOT2\ip~789:8h6G@U1]nq}6789>o7DAZ0^ov|5678m1BCX>Pmtz34560l2CDY=Qbuy2345>c3@E^_omw45669<1BCXJ\7:KLSWTBYo1BCZ\]EP]nq}6789;;7DAXRSGR[hs89:;=>0038MJQUZL[Taxv?0122547>4038MJQUZL[Taxv?0122146?5028MJQUZL[Taxv?012555=NG^XYI\Qbuy23451682CD[_\JQ^ov|56781;;7DAXRSGR[hs89:;555FOVPQATtb3BON\RFFESCQGM_03EELENOCa:NSIZ^HZ;U:56B[ilgq858f3E^bah|31189OPlkbz59556B[ilgq818>3E^bah|35?;8HQojm{6=245CThofv91912F_e`k}<9<:?IRnelx75364LUknawRD9:1GXdcjrUA\ghvXmx:;<=?;;MVji`tSKVif|Rk~0123542?01120>JSadoyXNQlmq]fu5678=;?7AZfmdpWGZejxVoz<=>?599OPlkbzV:37AZfmdp\5<=K\`gn~R??9:NWmhcuW8;27AZfmdp\57>JSadoyS864LUknawY102F_e`k}_6:8HQojm{U346B[ilgq[<433E^bah|PM`fg[Zgcl9:;?01]N|jtXZly~`y2>>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8785;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}682?=4LUknawYJimnTSljk0123[H~hzVXnxb{<5<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:26;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0;0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>4:75?01]N|jtXZly~`y26>348HQojm{UFmijP_`fg4567W[oxyazP0^llp56788;o7AZfmdp\IdbcWVkoh=>?0^]bja6789;n7AZfmdp\IdbcWVkoh=>?0^]bja6789;:h6B[ilgq[HgclVUjhi>?01]\ekb789;:i6B[ilgq[HgclVUjhi>?01]\ekb789;:=i5CThofvZKflmUTmij?012\[dhc89:9=h5CThofvZKflmUTmij?012\[dhc89:9=d:NWmhcuWDkohRQnde2345YXign;<=;>e:NWmhcuWDkohRQnde2345YXign;<=;>1e9OPlkbzVGjhiQPaef3456XWhdo<=>91d9OPlkbzVGjhiQPaef3456XWhdo<=>910f8HQojm{UFmijP_`fg4567WVkeh=>?70g8HQojm{UFmijP_`fg4567WVkeh=>?703g?IRnelxTAljk_^cg`5678VUjbi>?093f?IRnelxTAljk_^cg`5678VUjbi>?0932`>JSadoyS@okd^]b`a6789UTmcj?01;2a>JSadoyS@okd^]b`a6789UTmcj?01;25a=K\`gn~RCnde]\eab789:TSl`k01335`=K\`gn~RCnde]\eab789:TSl`k01335441129OPlkbzVUjhi>?01>25;743E^bah|P_`fg45674885=?5CThofvZYflm:;<=2>>008HQojm{UTmij?012?6;753E^bah|P_`fg45674:4:>6B[ilgq[Zgcl9:;<1:1139OPlkbzVUjhi>?01>6:447;97AZfmdp\[dbc89:;0:0>2:NWmhcuWVkoh=>?0=:=57=K\`gn~RQnde2345:>6<1Gclj>e:Nlea7XWhdo<=>?2:L33>HB\^EYG<5@4:MAQC3ICKZxTmcj?012f?JBD[{Ujbi>?0130?JT5;2EY?>5@R568Kkebk2EeohQPos2345bVd3Y$9<<=>001\H1=WI[^j7]GA_CWPMA^e3YCESO[\N@OF7>VUM81Z96\N<1<5?WG;99437_O31083:3=UI5;:285]A=3=1>TF4;4>7_O33?48VD:468<0^L2<>348VD:46:<0^L2<>578VD:36<1YM1;15:PB83823[K7;3;4R@>;:0=UI535?6\NM49QEHET?2XJAN]?X99QEHET8Q;37_OBCR2[6==UIDIXk4R@OFJZYhz9:;<9k4R@OFJZYhz9:;<8k4R@OFJZYhz9:;<;k4R@OFJZYhz9:;<:k4R@OFJZYhz9:;<5m4R@OFJZiu89:;h6\NMDL\kw6789;o7_OBEO]lv5678;20^LC]e`fzb>TFE[ojhtQ`r123446??;SCNV`gcqVey<=>?4028VDKUmhnrSb|?0126<>TFEZ~j~y74R@OPpdts9<1YM^KCd:PBW@JXe|r;<=>j;SCPAIYj}q:;<=?j;SCPAIYj}q:;<=<:;SCPvc>7_O\rsf8VDUuzVg~t=>?0d9QEVtuWds<=>?1d9QEVtuWds<=>?2d9QEVtuWds<=>?389QEZ6XGK_Mo6\N_1]nq}6789n0^LQ?_lw{45679m1YMR>Pmtz34565l2XJS=Qbuy23455c3[KT?0d9QEZ77Wds<=>?1d9QEZ77Wds<=>?2d9QEZ77Wds<=>?3d9QEZ77Wds<=>?4`9QEZ76WFH^J45]A^3\KGSAk2XJSTFW8Ufyu>?011g?WGX9Vg~t=>?05;8VDY5WFH^Jn5]A^0\ip~789:o7_OP2^ov|56788n0^LQ=_lw{4567:m1YMR3[KT?RAMUG;8VDY3WFH^Jn5]A^6\ip~789:o7_OP4^ov|56788n0^LQ;_lw{4567:m1YMR:Pmtz34564l2XJS9Qbuy23452>3[KT9RAMUGa8VDY2Wds<=>?d:PB[0Yj}q:;<=?k;SC\1Zkrp9:;TFW?Ufyu>?010g?WGX>Vg~t=>?02f8VDY1Wds<=>?489QEZ1XGK_Mo6\N_6]nq}6789n0^LQ8_lw{45679m1YMR9Pmtz34565l2XJS:Qbuy23455c3[KT;Rczx12341?Xe|r;<=>k;SC\i5]A^:\ip~789:8h6\N_9]nq}6789>27_OP9^MAQCeTFW0Ufyu>?013g?WGX1Vg~t=>?03f8VDY>Wds<=>?3e9QEZ?Xe|r;<=>;5:PLIFU13[EFO^?9;SMNGV413[EFO^=9;SMNGV203[EFO^:W8:PLIFU3P820^BCLS5Z1<>THEJY?T>64RNO@W1^3>2XDAN]:6:PLIFU1>2XDAN]8b:PP[CUJWOLo=o5]S^DPIZ@Al;h0^^QISL]EBa5e3[YTJ^CPFGf7a>TTWOYFSKHk4,Kmb>TTWOYFSKHk4,Km5c=U[VLXARHId5/Jj7`TTWOYFSKHk6,Km7c=U[VLXARHId7/Jj1`TTWOYFSKHk8,Kmb>TTWOYFSKHk8,Km5c=U[VLXARHId9/Jj7`?119QacBhflUTc>?01324>TbnMeeiRQ`r12347773[omHb`j_^mq4567;8:0^hhKoog\[jt789:?==5]egFlj`YXg{:;<=;n;SgeBwijZZ:i7_kiFsmnVV66k2XnjK|`mSQ355eTbnOxda_]?13`8V``AzfgY_=8b:PfbCthe[Y;4o5]egDqkhTT80<0^hh]ep:8V``UmxCei6\jfSgr[kis89::j6\jfSgr[kis89::=i5]egPfuZiu89:;i6\jfSgr[jt789::i6\jfSgr[jt789:9i6\jfSgr[jt789:8i6\jfSgr[jt789:?:6\jfTpd<>Tbn\xlEc84Rdqvhq0<[F_YOH;4SV>2:0=T_585;6]X<282:0=T_59586]jnua8W`hsWVey<=>?d:QfjqYXg{:;<=?k;RgmpZYhz9:;RU]Lh0X^QISL]EBa7b3]YTJ^CPFGf2)Lha3]YTJ^CPFGf2)Lh6n2^XSK]B_GDg5(Oi:k1__RH\M^DE`7c<\ZUM_@QIFe0.Mk`<\ZUM_@QIFe0.Mk7682^XSK]B_GDg6(Oi99l0X^QISL]EBa4*Ag8m7Y]PFRO\BCb5%@d8j6Z\_GQN[C@c:$Ce8k5[S^DPIZ@Al;'Bb8h4TR]EWHYANm8&Ec8i;UQ\BVKXNOn9!D`8f:VP[CUJWOLo> Ga8g9WWZ@TEVLMh?#Fn8`8PVYA[DUDyy>l;UQ\BVKXG|~;=n5[S^DPIZIr|98?7YW_E99Wmhcu49437Ygbes>2:==Sadoy0?07;Uknaw:46>1_e`k}TB31?Qojm{^HSnc_ds34566;2^bah|[C^antZcv89:;=<=4ThofvQEXkdzTi|>?01027>Rnelx_ORmbp^gr4567;890XdcjrUA\ghvXmx:;<=:>3:Vji`tSKVif|Rk~012312=SadoyS=??;UknawY7WVkeh=>?0038PlkbzV:TSl`k0123547<\`gn~R>P_`lg4567:8;0Xdcjr^2\[dhc89:;?:5[ilgq[4773]cfiQ>_^cm`56788;0Xdcjr^3\[dhc89:;=?269WmhcuW;;;7Ygbes]1[Zgil9:;<?1038PlkbzV8TSl`k012362=SadoyS>??;UknawY4WVkeh=>?0038PlkbzV9TSl`k0123547<\`gn~R=P_`lg4567:;80Xdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7=3<=;UknawYJimnTSljk0123[H~hzVXnxb{<3<16>RnelxTAljk_^cg`5678VGscQ]erwop9599j1_e`k}_Lcg`ZYflm:;<=QPaof34566l2^bah|PM`fg[Zgcl9:;3?>;UknawYXimn;<=>33?f8PlkbzVkeh=>?0d9WmhcuWhdo<=>?1b9VW@TX^@YBNAK<;WA@=>PNM^U_U]K;;WRBvf=QXHxTbbz?013g?SVFzVddx=>?10`8RlbUIDIX[_?l;WkgVDKD[^X:Pnl[KFO^Y]5c9UmaTFEJY\^;l4VhfQEHET_[=i7[gkR@O@WRT?j2\bh_OBCRUQ=3=Pi{zXI^T\V\HO;5Wdc]J`464n@FlqqJB8Vey<=>?109mEAir|EO;Sb|?012254=iIMe~xAK?_np34565981eMIaztMG3[jt789:856`NDnwwH@7f3gKOcxzCE03f?kGCg|~GI?00d8jDUh}}Uecy>?00324>hF[fSca{012255`?00326>hEM[XTJ^LP_np345759;1eNH\]_GQA[Ziu89::?45aBDPQ[LIEm2dII_\PIN@\MGSA?2dIKRG@B69mFWYNGKi0bO\PIN@\KGSAn2dI^RG@B^ov|56788:0bO\PIN@\ip~789::==5aBS]JKGYj}q:;<=<>0:lAVZOHJVg~t=>?0233?kDUW@EIS`{w0123046hDIZUDNXHl;oABWZhh|9:;=i5aC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Mf:lGPDELWOYISBLZF`9m@QGDCVCDNk5aDUC@OZOHJVCIYKk4nEVBGNYffm:;<=h4nEVBGNYffm:;<=?i;oFWEFMXign;<=>=f:lGPDELWhdo<=>?3d9m@QGDCVddx=>?1g9m@QGDCVddx=>?1048jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345chCagENSl`k0123b>hCagENSl`k01235c=iL`dDIRoad12347`1eHb{{OD:8jAir|FO:o6`KotvLAZIE]Ol0bIaztNG\ekb789;:<6`KotvLAZgil9:;=>2028jAir|FOTmcj?01301>hNXE8j7cG_L3]JFP@13gC[@?|;;oNGW==iDMYTJ^Lk;oNGWZ@TJVCIYK64nMFP[LIEm2dGH^QFOC]LFP@Bj2dGH^QFOCmvpf=iDMYTmcj?010g?kJC[Vkeh=>?20f8jIBTWhdo<=>=2e9mHAUXign;<=<8j4nMFP[dhc89:9:i5aLEQ\ekb7898hK_LUjbi>?01f8jIQBWhdo<=>?1e9mHRCXign;<=>=d:lOS@Yffm:;<==k;oNTAZgil9:;<9=4nNG7?kIB9=1eCH<7;oMF[JDRN11eC{k}l`{24>hH~lxgmtQaou2344763gE}ibny^llp56798;:7cAyesnb}Zhh|9:;=??>;oMuawjfqVddx=>?1232?kIqm{fjuR``t123511hUIZ?0b_O\149mVDU5=2dYM^=:;oPBW13hUIZUDNXH;;oPFWg=iZLYTEBLZFDa8jWCTWge<=>>d:lQAVYig}:;<2dYC@M\7:lQKHET9k1e^BCLS^MAQC0hRLZ20bXJ\_GQA`>hRLZUM_OQFBTD;?kSC[VCDNh5aUEQ\MJDXGK_MIo5aUEQ\MJDh}}i0bXJ\_`lg4566l2d^H^Qnne23447c3g_O_Road12357bhRLZUjbi>?007g?kSC[Vkeh=>?17f8jPBTWhdo<=>>7e9mQAUXign;<=?7d:lV@VYffm:;<<7l;oWGWZhh|9:;=i5aUEQ\jjr789;:86`ZWD78jPQB9j1eYZKPaof3456c3g_\IRoad12344bhR_LUjbi>?0167?kPJI11eZ@OPIN@f?kPJIVCDNRAMUGGa?kPJIVCDNb{{d:lUIDYXign;<=>j;oTNEZYffm:;<=?l;oTNEZYhz9:;?00f8jSKFWhdo<=>?2e9mRHGXign;<=>hQXHUM_Oj4nWRB[CUEWFH^J55aVQC\MJDc3g\[MRG@B^KAQCehQXHUjbi>?013g?kPWIVkeh=>?03f8jSVFWhdo<=>?3b9mRUGXff~;<=?k;oTSEZhh|9:;=<=4nVG4?kQBWOYIo6`XE^DPFZOE]O90~lc7;ya:156dtJK|<068e00g|f:=:6<5a36092>"4?908:o5rS839722=::31=>:m1159b13c3m9=m7>51;3xW<4=;>>1>>75126a551=n=?j7{Z<0e83>4<62;liw^7=:257>75>289?n<>8:g66e>"4=j0:h95+22c901bdg|@:?j7)=<1;15e>\0039p>7;56;'5a5=;8n0'9>::188k2e=831d?o;50;9j7=2=831d8>h50;9j047=831d8=j50;9l3`<722e8ji4?::k0e6<722e8j44?::m0f5<722e8m;4?::kb5?6=3`ni6=44i2`4>5<5<5<:6=44o530>5<>i4090;66g>o4i<0;66a;0`83>>i4jo0;66a>i3:h0;66a>i4kj0;66g;4`83>>i41k0;66a=4383>!44k38?=6`=3c83?>i5<90;6)<h5;k0:76a=4g83>!44k38?=6`=3c81?>i5h5;k0876a=4e83>!44k38?=6`=3c87?>i5h5;k0>76a=4c83>!44k38?=6`=3c85?>i5h5;k0<76a=4483>!44k38?=6`=3c8;?>i5<=0;6)<h5;k0276a=4283>!44k38?=6`=3c8b?>i5;o0;6)<h5;k0i76g;c;29 75d2=h0b?=m:198m1g=83.9?n4;b:l17g<632c?57>5$31`>1do3>3:1(?=l:5`8j75e2=10e9;50;&17f<3j2d9?o4:;:k70?6=,;9h69l4n31a>3=h5;k0<76g;2;29 75d2=h0b?=m:998m17=83.9?n4;b:l17g<>32c?<7>5$31`>1do4m3:1(?=l:5`8j75e2j10e>j50;&17f<3j2d9?o4k;:k60?6=,;9h69l4n31a>`=h5;k0m76g:2;29 75d2=h0b?=m:028?l36290/>>m54c9m66d=9810e8>50;&17f<3j2d9?o4>2:9j0c<72-88o7:m;o00f?7432c?i7>5$31`>1d5<#::i18o5a22`950=h5;k0::65f30394?"5;j08==5a22`94>=n;9l1<7*=3b8055=i::h1=65f31g94?"5;j08==5a22`96>=e;?o1<7?50;2x 6562l90D>8k;I16e>ib:3:17pl<6g83>4<729q/?>?534`8L60c3A9>m6a=3983>>{e;><1<7?l:2397c}O;=>:818^2>=9r91q)?k3;12`>o?m3:17b3:17b<:2;29?j56k3:17d9;:188m1622900c2900c?;>:188k7d>2900chj50;9j52<72-88o7?9;o00f?6<3`;>6=4+22a953=i::h1=65f1583>!44k3;=7c<>m5179m66d=;21b==4?:%00g?713g88n7:4;hd94?"5;j0::6`=3c86?>ob290/>>m5179m66d=>21bh7>5$31`>40!44k3;=7c<6:l17g<>32cj6=4+22a953=i::h1m65f9;29 75d28<0b?=m:c98m=<72-88o7?9;o00f?e<3`=1<7*=3b822>h5;k0o76g>f;29 75d28<0b?=m:d98m4c=83.9?n4>6:l17g5}#;:;1i>5G37f8L63f3fo96=44}c01a?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e;o91<7;50;2x 6562ml0D>8k;I16e>"a83:0e5j50;9j5;h;5>5<;n;hg7>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:<<1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<<0;297?6=8r.8?<4<1:J02a=O;o4?::a6g`=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6f6=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6f7=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6f4=83?1<7>t$212>65<@:>{eml0;6:4?:1y'767=;<1C?;j4H27b?lc22900eh850;9ja2<722cn47>5;hg:>5<6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj:l=6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj:l<6=4<:183!549388=6F<6e9K70g>i5:k0;66sm3g:94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?m;:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`1g0<72=0;6=u+323977=O;?n0D>;n;hg6>5<>i5:k0;66sm37294?3=83:p(>=>:218L60c3A9>m6gj5;29?lc12900eh950;9ja=<722e9>o4?::a734=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb247>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb246>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb245>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb244>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4>10;694?:1y'767=;;1C?;j4H27b?lc22900eh850;9ja2<722e9>o4?::a73?=8391<7>t$212>67<@:5<54;294~"4;808>6F<6e9K70g>ob?3:17b<=b;29?xd69o0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn<<9:186>5<7s-98=7=<;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=h:;h1<75rb004>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6:10;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?=9;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?=a;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?=b;297?6=8r.8?<4=309K73b<@:?j7dk::188m`0=831d>?l50;9~f44d29086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:>i4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb003>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6:80;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn<<=:180>5<7s-98=7<<1:J02a=O;o4?::a575=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb006>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{ti3:1?vPn;<157?c234;947k:;|qa>5<4sWh01>8<:d48944?2l<0q~j50;1xZa=:;?:1i;521349a0=z{l0;6>uQe:?025;4j6:pb?6=`0<5:<96h;4=005>`>01>8?:d:894442l?0q~?;:184[73348h<7k;;`><5;hh6h:4=241>`0<58;m6h;4=004>`36=47{_36?842;3o?700187k;;<0`5?c334on6ho4=3`g>`2<5:`3489:paa<72;qUii52ed816g=z{8;n6=4={_32a>;6:<09>o5rs03e>5<5s4;:j7<=b:?26g2wx=?>50;0x94472;8i70?=1;g5?xu6:80;6?u2133967d<58896h84}r316?6=:r7:>?4=2c9>575=m?1v<<<:181875;389n63>258f1>{t9;>1<774e34;997k9;|q263<72;q6=?8523`894462l?0q~?=7;296~;6:>09>o521329a0=z{8836=4={<31n4j5:p57?=838p1<<6:30a?875k3o=7p}>2`83>7}:9;k1>?l4=00g>`052z?26g<5:k16=?;5e49~w44d2909w0?=c;01f>;6:90n:6s|13f94?4|588o6??k522g896`02l?0q~<=f;296~;5:l02:63=31816g=z{;?:6=4;{_065>;5=?09>o523759a0=:9;=1i;5rs371>5<4sW8>>63=54816g=::<<1i85rs370>5<5s48>?7<=b:?1108:50;0x97332;8i70<:5;g5?xu5j00;6?uQ2c;897e22;8i7p}=b`83>7}::kk1>?l4=3a0>`052z?1fg<5:k16>n;5e49~w7dd2909w0;5k=0n96s|2cf94?4|5;ho6?74e34;9h7k:;|q1fc<72;q6>oh523`897e52l<0q~o522b09a2=z{;i:6=4={<0`5?45j279o?4j8:p6f5=838p1?m<:30a?84d=3o=7p}=c583>7}::j>1>?l4=3a6>`153z\05f=:;?o1i?523g198=:30a?85113o>7p}<6283>7}:;?91>?l4=244>`052z?021<5:k16?;65e79~w6022909w0=95;01f>;4>10n96s|37494?4|5:<=6?731=:;h01>86:d48yv5103:1>v3<69816g=:;?;1i:5rs24:>5<5s49=57<=b:?024?l4}r1e1?6=:r78j84=2c9>7c1=m?1v>h9:18185a>389n63{t;o=1<774e349m47k:;|q740<72:qU8=;4=2d0><0<5:l>6h:4}r67=?6=;rT?84523749a1=:9;31i95r}c67g?6=;:02;7l9{I16e>"4;8099=5U79817<32t.:h>4<1e9j<`<722e?>?4?::k0<6<722e?5;n1fb?6=3`9o:7>5;h136?6=3`>?57>5;h624?6=3`8>=7>5;n63e?6=3`8i57>5;n052?6=3f9n47>5;n076?6=,;9h6?:>;o00f?6<3f8?<7>5$31`>7263g88n7?4;n07b?6=,;9h6?:>;o00f?4<3f8?i7>5$31`>7263g88n7=4;n07`?6=,;9h6?:>;o00f?2<3f8?o7>5$31`>7263g88n7;4;n07f?6=,;9h6?:>;o00f?0<3f8?m7>5$31`>7263g88n794;n071?6=,;9h6?:>;o00f?><3f8?87>5$31`>7263g88n774;n077?6=,;9h6?:>;o00f?g<3f88j7>5$31`>7263g88n7l4;h37>5<#::i1=>5a22`94>=n9;0;6)<>l52:9jb?6=,;9h6<=4n31a>6=07dj50;&17f<6;2d9?o4:;:k`>5<#::i1=>5a22`92>=nj3:1(?=l:018j75e2>10el4?:%00g?743g88n764;h;94?"5;j0:?6`=3c8:?>o?290/>>m5129m66d=i21b;7>5$31`>45o6m3:1(?=l:018j75e2m10ec=h5;k0:<65f1`83>!44k3;87c<o613:1(?=l:018j75e28807d?7:18'66e=9:1e>>l51298m47=83.9?n4>3:l17g<6<21i?;k50;394?6|,:9:6h=4H24g?M52i2en>7>5;|`171<72<0;6=u+3239`c=O;?n0D>;n;%d3>5=n0m0;66g7f;29?l1>2900e4850;9l66c=831vn?;8:186>5<7s-98=7ji;I15`>N4=h1/j=4?;h:g>5<>o>>3:17b<c2900e5h50;9j3<<722c2:7>5;n00a?6=3th9:;4?:283>5}#;:;1hi5G37f8L63f3`=26=44i8494?=h::o1<75rb6594?5=83:p(>=>:ef8L60c3A9>m6g89;29?l?12900c?=j:188yg41n3:1?7>50z&0745;h;5>5<5<4290;w)=<1;fg?M51l2B89l5+f183?l1>2900e4850;9l66c=831vn?99:180>5<7s-98=7jk;I15`>N4=h1/j=4?;h5:>5<>k50;9~f6d729086=4?{%105?bc3A9=h6F<5`9j3<<722c2:7>5;n00a?6=3th9o;4?:283>5}#;:;1hi5G37f8L63f3-l;6=5f7883>>o>>3:17b<0;6>4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`1g=<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl=c883>6<729q/?>?5de9K73b<@:?j7)h?:19j3<<722c2:7>5;n00a?6=3th9ok4?:283>5}#;:;1hi5G37f8L63f3-l;6=5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`1`<<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl=db83>6<729q/?>?5de9K73b<@:?j7)h?:09j3<<722c2:7>5;n00a?6=3th?5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>>4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th8i44?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th9ho4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb2`0>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb315>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb314>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:<31<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:>?1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e<9o1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?8j:187>5<7s-98=7==;I15`>N4=h1bi84?::kf2?6=3`o<6=44o30a>5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm26094?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd5?10;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<89;297?6=8r.8?<4<1:J02a=O;o4?::a62g=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th?>84?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>;4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>:4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th?>54?:483>5}#;:;1?>5G37f8L63f3`o>6=44id494?=nm>0;66gj8;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl0<729q/?>?5329K73b<@:?j7dk::188m`0=831bi:4?::kf5;|`13a<72:0;6=u+323974=O;?n0D>;n;hg6>5<6F<6e9K70g1<75`23`94?=zj;=m6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl=8183>6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>5l50;094?6|,:9:6<>=;I15`>N4=h1bi94?::m16g<722wi>5m50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl=9883>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f7?f29086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi>4j50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:h:1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl=a283>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f7g329096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f7g229086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th9m;4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:hk1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:hh1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?l50;9~f7ga29086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th9n=4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th9n<4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb3`1>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:k?1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo039K73b<@:?j7dk;:188k74e2900qoo4?::a6g>=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th95=4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb3;2>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb3;1>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd51:0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<73;297?6=8r.8?<4<1:J02a=O;o4?::a6=2=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6=3=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb365>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd5<>0;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd5<10;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn?:6:180>5<7s-98=7<<1:J02a=O;o4?::a6ac=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6a`=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6`6=83>1<7>t$212>64<@:5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e0<0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo69:180>5<7s-98=7<<1:J02a=O;o4?::a<2<72:0;6=u+323974=O;?n0D>;n;hg6>5<>{e000;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn5o50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e0j0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qoo4?::a6a5=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a6a2=8391<7>t$212>67<@:5<52;294~"4;80:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm2e594?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?mm:181>5<7s-98=7??2:J02a=O;5<7s-98=7<<1:J02a=O;o4?::a6fb=8391<7>t$212>67<@:5<53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb3ge>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:o:1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:o;1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:o81<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?l50;9~f6`b29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f6`a29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f16729096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f16629096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f165290?6=4?{%105?44:2B8:i5G34c8m`3=831bi;4?::kf3?6=3f89n7>5;|`746<72=0;6=u+323977=O;?n0D>;n;hg6>5<>i5:k0;66sm2g794?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm2g;94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm2gc94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn?hi:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`045<72:0;6=u+323974=O;?n0D>;n;hg6>5<6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>;:50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl=6`83>6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>;m50;194?6|,:9:6?=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`11g<72:0;6=u+323974=O;?n0D>;n;hg6>5<>i5:k0;66sm24f94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm24g94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm24d94?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd5>90;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<91;297?6=8r.8?<4<1:J02a=O;o4?::a634=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3ty<6=4={_5897>d2l?0q~650;0xZ==::031i85rs883>7}Y12795k4j5:pe?6=:rTj70`36g1=m<1vi4?:3y]`>;51;0n96s|e;296~Xb348397k:;|qe>5<5sWl01?l>:d78yv772909wS??;<0752z\25>;5?m0n:6s|1383>7}Y9;16>985e79~w42=838pR<:4=3ce>`09;296~X612795:4j6:p5d<72;qU=l5228f9a3=z{8h1<7;50:0n:6s|1d83>7}Y9l16>o;5e79~w4`=838pR`301?8j:d7897`22l>01?hn:d78970?2l?01?;k:d68yv102909w098:31f?84aj3o?7p}73;296~;?;388i63{t0=0;6?u2828:2>;?k389n6s|8483>7}:0<09>o528b8f1>{t0?0;6?u287816g=:000n:6s|8683>7}:0>09>o528`8f1>{t010;6?u289816g=:0h0n:6s|8883>7}:0009>o528c8f1>{t0h0;6?u28`816g=:0k0n:6s|8c83>7}:0k09>o528b8f2>{t0l0;6<301?;8:6;897ce2>301?89:6;8921=?016>;h5789><6<01279;;489:?1g3<01279o:489:?1g=<01279o4489:?1gc<01279h=489:?1`<<01279hn489:?130?750;6x960b2l801?=;:9d8973021l01?km:9d8yv44<3:1>v3=35817`=::5<5s488876k;<003?45j2wx>>850;0x97512;8i70<<7;g5?xu5;o0;6?uQ22d897>72;8i7p}=4183>7}Y:=:01?69:30a?xu5<:0;6?uQ251897>c2;8i7p}=4583>7}Y:=>01?7<:30a?xu5<<0;6>uQ2578Z725348?57<=b:p610=838p1?:9:30a?84313o>7p}=4683>7}::==1>?l4=36;>`052z?10=<5:k16>975e79~w72f2909wS<;a:?1=d<5:k1v?:m:181[43j279m=4=2c9~w72d2909wS<;c:?1e3<5:k1v?:k:181[43l279mn4=2c9~w72b2909wS<;e:?1f7<5:k1v?:i:181[43n279n54=2c9~w736290?wS<:1:?12`278jh4j4:?1bf8950;4x97302;9n70<9e;g4?82503o>70=je;g6?85an3o?70?l4}r06e?6=:r799:47d:?127<5:k1v?;m:181842j389n63=5g8f2>{t:74e348==7k:;|q11a<72;q6>8j523`897072l?0q~<:e;296~;5=l09>o522729a3=z{;?m6=4={<06b?45j279:?4j5:p636=838p1?8?:30a?84193o=7p}=6083>7}::?;1>?l4=341>`052z?11<;5><0n:6s|27494?5|V;<=70<96;00a>;5>10n:6s|27594?4|5;<=6484=34`>74e3ty9:54?:3y>63>=:;h01?8n:d48yv4113:1>v3=68816g=::?h1i;5rs34b>5<5s48=m7<=b:?12f;l50;0x970e2;8i70<9c;g5?xu5>m0;6:u22e`9a3=::?o1>?l4=352>`0<5;=26h84=3ff>`2<51<1i8522e79a1=z{;7z?12c<5;l16>:j5e49>62c=m=16>5o5e49>6=d=m=16>495e49>6<>=m=16>4j5e49>6l=5e49>6d2=m=16>l75e49>6dg=m=16>lh5e49>6g6=m=16>o;5e49>6g0=m=16>4>5e49>6<7=m=16>5=5e49>6=2=m=16>985e49>611=m=1v?9?:181841n33=70<82;01f>{t:>;1<774e348<>7k9;|q136<72;q6>:=522g891662l>0q~<84;297~;5?:0<563;5?<09>o5rs355>5<5s48<:7<:950;0x971120<01?9n:30a?xu5?10;6?u226:967d<5;=j6h;4}r04=?6=:r79;44=2c9>62g=m?1v?9l:181840l389n63=818f1>{t:>n1<774e348:h523`897>72l<0q~<72;296~;50:09>o522949a0=z{;286=4={<0;0?45j279484j6:p6=2=838p1?6::30a?84?>3o=7p}=8883>7}::1k1>?l4=3:g>`352z?15m5e79~w7>e2909w0<7c;01f>;50m0n:6s|29d94?4|5;3;6?6<7=:;h01?7=:d48yv4>93:1>v3=93816g=::091i;5rs3;5>5<5s482;7<=b:?1=d4950;0x97??2;8i70<69;g5?xu5110;6?u228;967d<5;3j6h84}r0:g?6=:r795i4=2c9>6d6=m<1v?7k:18184>m389n63=9g8f2>{t:0o1<774e348j<7k9;|q1e7<72;q6>l=523`897g12l?0q~o522`79a3=z{;k?6=4={<0b1?45j279m;4j6:p6d>=838p1?o6:30a?84fk3o>7p}=a883>7}::hk1>?l4=3ca>`052z?1eg<5:k16>lm5e79~w7gb2909w0;5j;0n96s|2`d94?4|5;h;6?6g7=:;h01?l=:d48yv4e<3:1>v3=b4816g=::k21i85rs3`6>5<5s48i:7<=b:?1f22wx>o850;0x97d02;8i700q~2wx>n650;6x97e020<01?m7:31f?84003o>70`3<5;?h6h84}r0`e?6=:r79o4466:?1g`<5:k1v?mm:18184dj389n63=cb8f2>{t:ji1<774e348hh7k9;|q1ga<72;q6>nj523`897eb2l<0q~;5l;0n:63=ce8f1>{t:m:1<7:t=3a;><0<5;n;6?=j;<046h84}r0g5?6=:r79h=466:?1`=<5:k1v?j=:18184c:389n63=d78f1>{t:m91<774e348o:7k9;|q1`1<72;q6>i:523`897b02l?0q~o522e59a3=z{;n=6=4={<0g2?45j279h54j5:p6a1=838p1?j8:30a?84c03o=7p}=d883>2}::>915;522bd9=3=::m31>>k4=351>`3<51?1i8522779a0=::5<4s48o5779;<0gf?45j279?:4j5:p6ae=83hp1?jl:31f?84cj3o>70<81;g6?84013o>70>2l?01?j;:d4897032l?01?8m:d78973e2l<01?;l:d78yv4cl3:1>v3=db8:2>;5m809>o5rs3ff>5<5s48oi7<=b:?1a5ih50;0x97ba2;8i70<=4j4:?1gf7}::lh14i522g1967d52z?1ac<5:k16>k=5e49~w7`72909w0;5n;0n96s|2g394?4|5;l:6?6c4=:;h01?h<:d48yv4a<3:1;v3=dg8f0>;?>3o=70;5>00n863=5c8f1>{t:o?1<774e348mi7k:;|q1b3<72;q6>k8523`897`02l<0q~09>o522g:9a0=z{;l26=4={<0e=?45j279jh4j6:p6cg=838p1?hn:30a?84a>3o>7p}=fc83>7}::oh1>?l4=3de>`352z?1bf<5:k16>kh5e79~w7`c2909w0;5n10n:6s|2gg94?4|5;ln6?6c`=:;h01?h9:d48yv5783:1>v3<01816g=::o=1i85rs221>5<3sW9;>63=ee8f2>;5n90n863=f`8f2>{t;9h1<79t^22a?84bl3o>7068:d7897b52l?01?mm:d6897`62l>01>>?:d78yv5?;3:1:vP<829>05c=m?16?k?5e49>073=m=16?hl5e59>054=m?1v>l?:181[5e8278n=4=3d9~w6d52909w0=m0;;5?85e;389n6s|3e494?4|V:n=70=jd;g7?xu4m10;6?uQ3d:896c>2;8i7p}7}:;l31i9523dg967d52z?0ag<5:k16?hk5e79~w6cd2909w0=jc;01f>;4ml0n;6s|3df94?4|5:oo6?523`896`62l<0q~=id;296~X4nm168==523`8yv5am3:1>v35<5s49mj7<=b:?74750;0x91672;8i70:?3;g6?xu3880;6?u2413967d<5=:86h94}r636?6=:r7?055=m?1v9>n:181[27i27?:4j4:p074=838pR9<=;<617?45j2wx8?:50;0x91442l>019<7:30a?xu3:<0;6?u2437967d<5=836h84}r612?6=:r7?>;4=2c9>07>=m>1v9<8:181825?389n63;298f<>{t<=31<7;t^56:?827m3o>70=i0;g7?825>3o?70=jc;g7?x{e;>31<7?9:969=5}O;=>:03`?!7c;39:h6g7e;29?l7603:17d?:6;29?l77<3:17d?<8;29?j72?3:17d=73;29?l132900c<;l:188m6b12900e2900e9??:188k45f2900c:188m7d>2900c>m<:188k42a2900ch4?::m0=g<722h8:h4?:083>5}#;:;1i>5G37f8L63f3fo96=44}c1:f?6==3:1N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg56:3:197>50z&0745;h:e>5<31<75f9783>>i5;l0;66sm2d83>0<729q/?>?5dg9K73b<@:?j7d6k:188m=`=831b;44?::k:2?6=3f88i7>5;|`:0?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e:;=1<7=50;2x 6562mn0D>8k;I16e>o013:17d79:188k75b2900qo?;f;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7el3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?85;291?6=8r.8?<4kf:J02a=O;5;h;5>5<5<4290;w)=<1;fg?M51l2B89l5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?l1>2900e4850;9l66c=831vn<=n:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`2g4<72:0;6=u+3239`a=O;?n0D>;n;h5:>5<3883>6<729q/?>?5de9K73b<@:?j7d96:188m<0=831d>>k50;9~f47029086=4?{%105?bc3A9=h6F<5`9'b5<73`=26=44i8494?=h::o1<75rb23f>5<4290;w)=<1;fg?M51l2B89l5+f183?l1>2900e4850;9l66c=831vn><=:180>5<7s-98=7jk;I15`>N4=h1/j=4?;h5:>5<6F<6e9K70g1<75`23`94?=zj8>o6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8hm6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj:3n6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj::1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl<2g83>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl<3183>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f6e029086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th8o54?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th8o44?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th8ol4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb2aa>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6=l0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn<;i:181>5<7s-98=7??2:J02a=O;5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`061<72;0;6=u+3239554<@:5;|`063<72:0;6=u+3239667<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm33:94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm33;94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm33c94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi??m50;194?6|,:9:6?=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`06a<72:0;6=u+323974=O;?n0D>;n;hg6>5<6=4<:183!549388=6F<6e9K70g>i5:k0;66sm33294?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn>o?:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`0e4<72;0;6=u+3239554<@:5;|`0e7<72:0;6=u+3239667<@:5<57>52;294~"4;80:5<m7>52;294~"4;80:5<n7>54;294~"4;809??5G37f8L63f3`o>6=44id494?=nm>0;66a=2c83>>{e9<;1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?:2;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?:3;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?:4;297?6=8r.8?<4<1:J02a=O;o4?::a503=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:o>4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb0ab>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0aa>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0a`>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0ag>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0af>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0ae>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0f3>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0f2>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9j>1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?l5;290?6=8r.8?<4<2:J02a=O;5;n01f?6=3th:o;4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb0a4>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9j21<7:50;2x 6562:80D>8k;I16e>ob=3:17dk9:188m`1=831d>?l50;9~f4e>29086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi=;<50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9?h1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?9c;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?9d;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?9e;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo?9f;297?6=8r.8?<4=309K73b<@:?j7dk::188m`0=831d>?l50;9~f40429086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th::94?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb046>5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9?<1<7:50;2x 6562:80D>8k;I16e>ob=3:17dk9:188m`1=831d>?l50;9~f40029086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi=:950;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<6F<6e9K70g1<75`23`94?=zj8=j6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8=i6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>7b83>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f41c290?6=4?{%105?553A9=h6F<5`9ja0<722cn:7>5;hg4>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9=91<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e9=>1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e9=?1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>4783>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl>4683>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl>4983>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl>4883>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f42f29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f45c290?6=4?{%105?553A9=h6F<5`9ja0<722cn:7>5;hg4>5<N4>m1C?8o4id794?=nm?0;66gj7;29?j45j3:17pl>3g83>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f42729086=4?{%105?4492B8:i5G34c8m`3=831bi;4?::m16g<722wi=9?50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg6>5<>i5:k0;66sm1`g94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?3:1>7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`2ff<72;0;6=u+3239554<@:5;|`2ec<72=0;6=u+323977=O;?n0D>;n;hg6>5<>i5:k0;66sm1c294?2=83:p(>=>:208L60c3A9>m6gj5;29?lc12900eh950;9l67d=831vn:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`2f7<72:0;6=u+3239667<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm1c694?2=83:p(>=>:311?M51l2B89l5fe483>>ob>3:17dk8:188k74e2900qo=>4;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>5;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>6;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>7;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=>8;297?6=8r.8?<4<1:J02a=O;o4?::a74?=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th8=l4?:583>5}#;:;1??5G37f8L63f3`o>6=44id494?=nm>0;66a=2c83>>{e;8h1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17p}=0;296~X58279<7<h522d817`=:;;<1i85214;9a1=:9jn1i9521b69a0=:9?k1i85217a9a1=:9>31i95215:9a1=:9=31i;521cc9a1=:9kh1i;523049a1=z{;l1<7o5rs6694?e|V>>01>?=:848943020<01<:i:84896?b2l>01<;j:d4896402l<01>o>:d68943f2l>01<;<:d6894102l?01>?8:d68yv>b290:8vP7e:?0=g<01278=?489:?1a?1>343?6:74=304>2?<58>m6:74=074>2?<58ho6:74=06a>2?<58=>6:74=3293<=:9hi1;45212c93<=:9j;1;45214a93<=:9:31;45210593<=:;8o1;45233093<=z{0>1<7=t=86966c<5::1i85214`9a3=z{0?1<7?l4}r330?6=:rT:<9521059=3=z{8;<6=4:{<323?44m278>94j4:?06527:ol4j4:?22=`3<58?n6h;4=204>`3<58=<6h84=23b>`052z\27==:9:315;5rs01:>5<5s4;857<o50;6xZ45f34;8m7<3b83>7}:9:i1>?l4=061>`052z?27a<5:k16=9>5e49~w45b2909w0?;6<90n:6s|12d94?4|589m6?516=:;h01<:>:d78yv7393:1>v3>40816g=:9=81i85rs060>5<5s4;??7<=b:?27a56c=m<1v<:8:181873?389n63>3d8f3>{t9=21<774e34;?=7k9;|q20<<72;q6=97523`8945a2l?0q~?;a;296~;6o5212d9a3=z{8>i6=4={<37f?44m27:844j5:p51e=838p1<:m:848942c2;8i7p}>4g83>7}Y9=l01<:i:31f?xu6=90;6?u215d9?l4}r365?6=:r7:9<4=2c9>502=m<1v<;=:181872:389n63>558f2>{t9<91<774e34;>97k9;|q211<72;q6=8:523`894322l?0q~?:6;290~X6=?16=8<5e59>52d=m<16?<75e49~w4302909wS?:7:?212<5;l1v<;7:181872?32o70?:b;01f>{t9<31<774e34;>n7k:;|q21d<72;q6=8o523`8943e2l=0q~?:c;297~X6=j16=8m522g894052l?0q~?:d;293~;6>909>o521b79a3=:9?h1i8521569a1=:9=?1i;521c79a1=:9k=1i;5rs07f>5<5s4;>i7<=b:?22580;6?u214a9=3=:9?=1>?l4}r356?6=:r7::?4=2c9>532=m<1v<8<:181871;389n63>648f2>{t9?>1<774e34;=;7k:;|q220<72;q6=;;523`894012l<0q~?96;296~;6>?09>o521759a3=z{8<36=4={<356`83>7}:9?k1>?l4=046>`352z?22g<5:k16=;=5e49~w40d2909w0?9c;01f>;6>:0n:6s|17f94?4|5853c=:;h01<89:d58yv71n3:1>v3>6g816g=:9?>1i;5rs056>5<4s4;<97<7683>7}:9>21>?l4=05a>`052z?23<<5:k16=:m5e49~w41>2909w0?8a;01f>;6?j0n:6s|16c94?4|58=i6?52e=:;h01<9k:d58yv7fk3:18vP>ab9>5de=::o01b5816g=z{8kn6=4={<3ba?45j27:n94j6:p5d`=838p17p}>b183>7}:9k:1>?l4=0`1>`052z?2f4<5:k16=o:5e69~w4d52909w0?m2;01f>;6j:0n96s|1c194?4|58h86?5g3=:;h013:1>v3>b7816g=:9hl1i:5rs0`4>5<5s4;i;7<=b:?2f52wx=o650;0x94d?2;8i70?m0;g6?xu6j00;6?u21c;967d<58h;6h94}r3ae?6=:r7:nl4=2c9>5g5=m?1vb08f1>{t9ki1<774e34;i=7k9;|q2fa<72;q6=oj522g894de2l?0q~?me;296~;6jm02:63>bg816g=z{8i:6=4<{_3`5>;6k809?h521b19a0=z{8i96=4={<3`5??134;h57<=b:p5f5=838p13o>7p}>c583>7}:9j>1>?l4=0a4>`352z?2g0<5:k16=n95e79~w4e12909w0?l6;01f>;6k00n96s|1b594?4|58i<6?5f>=:;h01v3>c`816g=:9m;1i85rs0aa>5<5s4;hn7<=b:?2`42wx=nm50;0x94ed2;8i70?l4;g5?xu6km0;6?u21bf967d<58i>6h;4}r3`a?6=:r7:oh4=2c9>5f3=m>1vc98f1>{t9m:1<774e34;h47k8;|q2`4<72;q6=i?523`894e12l<0q~<=7;291~;5m33=70<=7;00a>;6jm03h63>4c8;`>;483o=7p}=2983>6}::;=15;521679n1>?l4}r01=?6=1r78:h4j2:?0=ga34;?j76i;<363?>a34;ih76i;<37f?>a34;<976i;|q1f<<72;qU>o74=2a:>`27>56z?057<5;l16=8h5e59>77>=m=16?l>5e49>507=m?16=:o5e59~w6742909w0=>2;:g?856j389n6s|30694?4|5:;?6?743=:;h01>?7:d48yv56>3:1>v3<17816g=:;8k1i:5rs234>5<5s49:;7<=b:?05g2wx?<650;0x967?2;8i70=>9;g5?xu4900;6?u230;967d<5:;j6h;4}r12e?6=:r78=l4=2c9>74d=m<1v>?j:1824~;49l09?h5233d9a1=:;;31i9523329a0=:9jh1i9521bd9a1=:9?31i95217f9a1=:9>21i;5212a9a3=:9==1i95215c9a1=:9ho1i;521c;9a1=:9ki1i9523079a1=z{:;m6=4={<12a??13499=7<=b:p776=838p1>46|5;:15;52330966c<5:9;6h;4=205>`0<5:8j6h;4=202>`3<58i86h84=0f3>`2<58<96h84=04f>`2<58=36h;4=060>`2<58>>6h;4=0`5>`2<58h<6h;4=237>`252z?067<>>278>84=2c9~w6432909w0==4;01f>;4:h0n:6s|33494?4|5:8=6?:4?:3y>771=:;h01>v3<29816g=:;;h1i;5rs20:>5<5s49957<=b:?06a2wx??o50;0x964f2;8i70==5;g6?xu4:k0;6?u233`967d<5:8h6h84}r11g?6=:r78>n4=2c9>77b=m<1v>{t;;o1<774e3498<7k9;|q0<6<72;qU?5=4=2a;>`252z\0=g=:;0h1>>k4}r1:`?6=:r785o466:?0=`<5:k1v>7i:18185>j32o70=n2;01f>{t;h:1<774e349j>7k:;|q0e4<72;q6?l?523`896g52l<0q~=l3;296~X4k:16?n:523`8yv5d>3:1>v3;4kk09>o5rs2a4>5<5s49h;7<=b:?0gg7fd=m?1v>j9:180[5c>27:8i4j4:?20350;1xZ17734;ij7k;;<3a6484=2a4>`0<58in6h:4=04a>`0m6*<308f5>"6l:08=i5`42g94?=n9<<1<75`3c694?=n9<=1<75f39194?=h?=0;66gkb;29?l4293:17dl;:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`0N4>m1C?8o4$g294>o013:17d79:188k75b2900qo:5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th<:7>53;294~"4;809?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb2a94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm3cg94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm45:94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm3883>7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?51108L60c3A9>m6gj4;29?j45j3:17pl1<729q/?>?5339K73b<@:?j7dk::188m`0=831bi:4?::m16g<722wi89:50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:l91<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l>1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l?1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l<1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l=1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:l21<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qoho50;694?6|,:9:6><4H24g?M52i2cn97>5;hg5>5<<1i85rs2c94?4|5:21;45238816g=z{:h1<7o5rs6694?2|V>>01:8523`896dd2l<019:;:d78yv122909w09::30a?8112l<0q~jm:187[be349i8796;<60a?1>34926h:4}rgg>5<4sWoo70=mb;g7?823<3o=7p}>4g83>7}Y9=l01?k8:d68yv72>3:1>vP>579>6`>=m<1v<;8:181[72?279i>4j4:p607=838pR?;>;<0f1?c33ty9:;4?:3y]630<5;o?6h:4}r0a=?6=:rT9n4522d49a1=z{;o96=4<{<1ae?c334>?97k;;<0fe?45j2wx>h=50;0x97c42;8i706`?=m>1v?k9:18184b>389n63=e`8f1>{t:l=1<774e348nm7k8;|q1a=<72;q6>h6523`897c>2l<0q~o522dc9a3=z{:286=4;{_1;7>;3;l09?i52748f0>;4k3o?7p}7}Y;k>01>l;:31f?xu4j00;6?u23cg9a1=:;ki1>?l4}r1ae?6=:r78nl4=2c9>7ge=m<1v>lm:18185ej389n63{t;kn1<7<0<5:hn6?k4=51f>75b3ty?8>4?:3y>01>=m=16898523`8yv23<3:1>v3;45816g=:<=<1i85rs566>5<5s4>?97<=b:?7032wx89950;0x915b20<019:7:30a?x{e;>21<7?9:c;9g0}O;=>:03a?!7c;39:h6a>1983>>o3;l0;66a<8883>>o4j=0;66a>0583>>of93:17d:?5;29?j75m3:17d6?:188m43d2900e:o50;9l7a0=831b=9k50;9j2f<722e?==4?::k2g5<722c:o<4?::k0=d<722e8nk4?::m0gf<722e9<7>5;n61=?6=3k9=i7>51;294~"4;80n?6F<6e9K70g5<2290;w)=<1;fe?M51l2B89l5f8e83>>o?n3:17d96:188m<0=831d>>k50;9~fgd=8391<7>t$212>ab<@:5<;n;h5:>5<8k;I16e>o013:17d79:188k75b2900qo??4;297?6=8r.8?<4kd:J02a=O;t$212>ab<@:5<7>53;294~"4;80oh6F<6e9K70g31<75f9783>>i5;l0;66sm16294?5=83:p(>=>:ef8L60c3A9>m6g89;29?l?12900c?=j:188yg>529086=4?{%105?bc3A9=h6F<5`9j3<<722c2:7>5;n00a?6=3th?==4?:283>5}#;:;1hi5G37f8L63f3`=26=44i8494?=h::o1<75rb2f5>5<4290;w)=<1;fg?M51l2B89l5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?l1>2900e4850;9l66c=831vn>li:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`g2?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e;8k;I16e>o013:17d79:188k75b2900qo?>8;290?6=8r.8?<4ke:J02a=O;5;n00a?6=3th:>h4?:583>5}#;:;1hh5G37f8L63f3`2m6=44i6;94?=n1?0;66a=3d83>>{e100;6>4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`2<`<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl>8g83>6<729q/?>?5de9K73b<@:?j7)h?:19j3<<722c2:7>5;n00a?6=3th:594?:283>5}#;:;1hi5G37f8L63f3-l;6=5f7883>>o>>3:17b<4?:1y'767=lm1C?;j4H27b?!`7291b;44?::k:2?6=3f88i7>5;|`2=a<72:0;6=u+3239`a=O;?n0D>;n;%d3>5=n?00;66g66;29?j44m3:17pl>9d83>6<729q/?>?5de9K73b<@:?j7)h?:19j3<<722c2:7>5;n00a?6=3th:m84?:283>5}#;:;1hi5G37f8L63f3-l;6<5f7883>>o>>3:17b<>d4?:0;6>4?:1y'767=;?i0D>8k;I16e>ob=3:17dk9:188k74e2900q~78:181[?0349{t;9i1<7;n;%105?7c=2c2;7>5;h;;>5<5<4290;w)=<1;15g>N4>m1C?8o4id794?=nm?0;66a=2c83>>{t1>0;6?uQ969>725=m?1v4650;0xZ<><5:=86h;4}r13g?6=:rT853;397~N4=h1/?>?51e78m<1=831b554?::m04f<722h8;>4?:283>5}#;:;1?;m4H24g?M52i2cn97>5;hg5>5<5<5sW3<70=83;g5?xu>03:1>vP68:?036?l50;9~f41529096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f41429096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f41329086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th8o?4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rbcg94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?29096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~fgg=8391<7>t$212>67<@:5<6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zjk<1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qoom:181>5<7s-98=7??2:J02a=O;?4H24g?M52i2cn97>5;hg5>5<5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd40k0;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd6090;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd6080;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd60;0;694?:1y'767=;;1C?;j4H27b?lc22900eh850;9ja2<722e9>o4?::a5d1=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:m54?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th:m44?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e9hh1<7=50;2x 6562;9:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>a183>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f4g629096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f4g529096=4?{%105?77:2B8:i5G34c8m`2=831d>?l50;9~f4g429086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:m94?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb0;5>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0;4>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0;;>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6100;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo?6a;297?6=8r.8?<4<1:J02a=O;o4?::a5t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:5<4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66gj7;29?j45j3:17pl;3883>0<729q/?>?5329K73b<@:?j7dk::188m`0=831bi:4?::kf5;|`77d<72;0;6=u+3239554<@:5;|`77g<72;0;6=u+3239554<@:5;|`77f<72:0;6=u+323974=O;?n0D>;n;hg6>5<5;|`:g?6=;3:1N4>m1C?8o4id794?=nm?0;66a=2c83>>{e1m0;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd>m3:1?7>50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi5k4?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb`294?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4lm0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo=ke;296?6=8r.8?<4>039K73b<@:?j7dk;:188k74e2900qo=kf;297?6=8r.8?<4<1:J02a=O;o4?::a7`6=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a7`7=8391<7>t$212>67<@:5<7>53;294~"4;808=6F<6e9K70g>i5:k0;66sm3d194?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4m=0;694?:1y'767=::80D>8k;I16e>ob=3:17dk9:188m`1=831d>?l50;9~f6c229086=4?{%105?563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th?=:4?:283>5}#;:;1>>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e<8k1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e<8h1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo:>c;297?6=8r.8?<4<1:J02a=O;o4?::a04b=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th?=h4?:583>5}#;:;1>><4H24g?M52i2cn97>5;hg5>5<0<729q/?>?5329K73b<@:?j7dk::188m`0=831bi:4?::kf5;|`774<72;0;6=u+3239554<@:5;|`777<72;0;6=u+3239554<@:5;|`776<72;0;6=u+3239554<@:5;|`771<72;0;6=u+3239554<@:5;|`770<72:0;6=u+323974=O;?n0D>;n;hg6>5<>i5:k0;66sm42594?2=83:p(>=>:208L60c3A9>m6gj5;29?lc12900eh950;9l67d=831vn<=?:181>5<7s-98=7??2:J02a=O;:181>5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7??2:J02a=O;5<7s-98=7=;;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=nm00;66a=2c83>>{e9:=1<7:50;2x 6562;997E=9d:J01d=nm<0;66gj6;29?lc02900c?7>50z&074<68;1C?;j4H27b?lc32900c?3:1>7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi=5j50;:94?6|,:9:6>84H24g?M52i2cn97>5;hg5>5<>ob13:17dkn:188m`d=831d>?l50;9~f4>2290?6=4?{%105?44:2B8:i5G34c8m`3=831bi;4?::kf3?6=3f89n7>5;|`10?6==3:1;n;hg6>5<>ob03:17b<=b;29?xd5>3:1?7>50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>:4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3th947>52;294~"4;80:5<6F<6e9K70g1<75`23`94?=zj;k1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e:k0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn?m50;794?6|,:9:6>=4H24g?M52i2cn97>5;hg5>5<>i5:k0;66sm2e83>6<729q/?>?52238L60c3A9>m6gj5;29?lc12900c?;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`24c<72;0;6=u+3239554<@:5;|`255<72;0;6=u+3239554<@:5;|`254<72:0;6=u+323974=O;?n0D>;n;hg6>5<6F<6e9K70g1<75`23`94?=zj8;86=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8;?6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8;>6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8;=6=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zj8:<6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pl>0983>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~f46>290=6=4?{%105?533A9=h6F<5`9ja0<722cn:7>5;hg4>5<>i5:k0;66sm11c94?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd68k0;6>4?:1y'767=::;0D>8k;I16e>ob=3:17dk9:188k74e2900qo??c;297?6=8r.8?<4<1:J02a=O;o4?::a55b=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3th:5}#;:;1>><4H24g?M52i2cn97>5;hg5>5<>i5:k0;66sm39d94?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38294?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38394?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38094?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38194?4=83:p(>=>:021?M51l2B89l5fe583>>i5:k0;66sm38694?5=83:p(>=>:312?M51l2B89l5fe483>>ob>3:17b<=b;29?xd41<0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn>79:187>5<7s-98=7==;I15`>N4=h1bi84?::kf2?6=3`o<6=44o30a>5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm3e294?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn>j=:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|``3?6=:3:1;n;hg7>5<5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xddj3:1>7>50z&074<68;1C?;j4H27b?lc32900c??l50;9~ffb=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::ag`<72;0;6=u+3239554<@:5;|``b?6=;3:1N4>m1C?8o4id794?=nm?0;66a=2c83>>{el90;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vni?50;194?6|,:9:6?=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|``N4>m1C?8o4id794?=nm?0;66gj7;29?j45j3:17pll9;297?6=8r.8?<4=309K73b<@:?j7dk::188m`0=831d>?l50;9~fdb=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::ae`<72=0;6=u+3239664<@:=>:021?M51l2B89l5fe583>>i5:k0;66smb183>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~fg7=8391<7>t$212>67<@:5<>i5:k0;66s|2183>6}Y:916>=4=3d9>6f>4?:3y>65<>>27997<=b:p61<72;q6>94=2c9>60;4?:3y>63<5:k16>o4j5:p62<72;q6>:4=2c9>6g2wx>54?:3y>6=<5:k16>n4j6:p6<<72;q6>44=2c9>6fl4?:3y>6d<5:k16>n4j8:p6g<72;q6>o4=2c9>6an4?:3y>6f<5:k16>i4j6:p6a<72;q6>i4=2c9>602wx:n4?:6y]2f=:9181i;521`:9a1=:9h:1i;52278f1>;6980n:63{t?h0;6<>t^6c8976=?016==:5789>52c=?016=i<5789>526=?0164?489:?:=?1>34;3i796;<3;b?1>34;28796;<3:g?1>34;2h796;<3:a?1>34;j9796;<1;f?c33ty3<7>54z\;4>;3:00<56372;;5?8ge2l>0q~6=:18a8>52;9n70?70;g7?87f?3o=70?n2;g7?87>13o>70?62;g7?8?c2l>01>k?:d68917f2l>01<>n:d7896>c2l<0q~76:1808?>2;9n707j:d7896b62l?0q~7n:1818?>20<01l>523`8yv?e2909w07m:30a?8?b2l<0q~7l:1818?d2;8i707i:d78yv?c2909w07k:30a?8?a2l<0q~7j:1818?b2;8i70o?:d78yv?a2909w07i:30a?8g72l<0q~o>:187[g634n=6484=b59a1=:im0n86s|a483>d}:i009?h52bg8:3>;d;3o=70:<9;g4?82483o>70:<1;g7?87483o?70mn:d789fd=m=16mk4j4:ped<720q6m4489:?01a<0127:>h489:?25=<0127o:796;<`a>2?<5k=1;452b284=>;fj389n6s|ab83>7}:i002:63m2;01f>{tim0;6?u2ae816g=:j90n:6s|ad83>7}:il09>o52b08f1>{tio0;6?u2ag816g=:j80n:6s|b183>7}:j909>o52b38f1>{tj80;6?u2b0816g=:j;0n:6s|a783>=}:j:09?h52bg8:<>;d;3o>70:01lk5e49~wg2=838p1o=5979>f3<5:k1vo;50;0x9g3=:;h01o85e79~wd1=833p1o9522g89f6=1116o84j5:?77<4j4:?``?c334kn6h84}r`;>5<5s4h<6484=cc967d74e34hj6h84}rc;>5<><5=9i6h:4=510>`2<589=6h84=bc9a3=:kj0n863ne;g4?xuek3:1>v3mb;;5?8db2;8i7p}md;296~;el389n63me;g5?xud:3:1?v3l0;;4?8e42;8i70m::d48yve32909w0m>:8589f3=:;h0q~m9:1878dc2l>01o75e59>f0gc2wxo54?:3y>g=<5:k16o44j6:pgd<72;q6ol4=2c9>`4gg<5:k16h=4j5:pgf<72;q6on4=2c9>`52wxoi4?:3y>ga<5:k16o54j5:pg`<72;q6oh4=2c9>g=gc<5:k16o44j5:p`5<72;q6h=4=2c9>`42wxh<4?:3y>`4<5:k16o54j6:p`7<72;q6nk4<0b9>f34?:3y>g5<48j16nl4j5:p`1<72;q6o<4<0b9>f``3<5;l16ok4j5:?a4?c23ty:<94?:4y]552<58:?6?=j;<60=?c134>8<7k8;<33=?c13ty:<84?:3y>552=1?16==k523`8yv77>3:1>v3>07816g=:99o1i;5rs024>5<5s4;;;7<=b:?24d2wx==650;0x946?2;8i70??b;g6?xu6800;6?u211;967d<58:i6h84}r33e?6=:r7:55e=m?1v<>m:181877j389n63>0d8f3>{t99i1<774e34;;h7k9;|q24a<72;q6==j523`8946b2l?0q~??f;296~;68o09>o521159a0=z{8;;6=4={<324?45j27:<:4j6:p547=838p1:30a?87703o>7p}>1383>7}:9881>?l4=02;>`052z?256<5:k16==75e49~w4732909w0?>4;01f>;6800n;6s|10794?4|58;>6?540=:;h01<>6:d;8yv7603:19vP>199>54>=::o01<79:d6894?62l?01<>i:d68yv7613:1>v3>198:2>;69h09>o5rs00f>5<2sW;9i63>2d817`=:90=1i9521839a3=:98:1i95rs00e>5<5s4;9i779;<303?45j2wx=>>50;0x94572;8i70?<6;g6?xu6;80;6?u2123967d<589=6h94}r306?6=:r7:??4=2c9>560=m11v<=<:181874;389n63>378f=>{t9:>1<774e34;8;7k:;|q270<72;q6=>;523`894502l=0q~?<6;296~;6;?09>o521259a3=z{8>n6=4={_37a>;4m<0n96s|14a94?4|V8?h70?80;;5?xu6?90;69u2162966c<58=86h:4=2fe>`3<5=;26h;4}r345?6=0r7:;94=2c9>5=7=m=16=l95e49>5d7=m=16>:4j4:?2575=b=m<16?i>5e79~w41a2909w0?72;01f>;60=0n86s|19294?4|582;6?5=7=:;h01<6=:d58yv7?;3:1>v3>7d8:2>;60<09>o5rs0:7>5<5s4;387<=b:?22wx=5850;0x94>12;8i70?7d;g5?xu60>0;6?u2195967d<582o6h94}r3;5=b=m11v<66:18187?1389n63>8e8fe>{t91k1<774e34;3h7k6;|q2c2lh0q~?7c;296~;60j09>o521979a0=z{82o6=4={<3;`?45j27:484j7:p5=c=83?p1<6j:31f?87fj3o>70?75;g5?84>2l>018d8:2>;60o09?h5219;9a1=::10n863>148f0>{t90:1<7<0<58386?o521819a2=z{83?6=4l{<3:0?44m278o?4j5:?2=d4j6:?:f?c?349n?7k:;<62`?c234;3m7k;;<07>`3<58;?6h:4=02`>`3<5:386h:4}r3:1?6=:r7:59466:?2=g<5:k1v<79:18187>>389n63>998f1>{t90=1<774e34;247k9;|q2==<72;q6=46523`894?>2l<0q~?69;296~;61009>o5218c9a3=z{83j6=4={<3:e?45j27:5o4j6:p5jk:d7891702l?01<67:d68972=m?16==j5e49>7<4=m=1v<7k:18:87>k33=70?6d;00a>;>j3o=70=kd;g5?826?3o=70?77;g7?8432l201<>9:d7896?72l>0q~?6e;29=~;61m02:63>9d817`=:1k0n963;39k0n963>878f0>;5<3o<70??6;g5?85>93o?7p}>9g83>7}:90o15;521`6967d52z?2e5<5:k16=l:5e49~w4g62909w0?n1;01f>;6i:0n96s|1`094?4|58k96?4?:3y>5d5=:;h01a4817`=:9hk1i8521`29a0=:1j0n963;3900n:63>8c8f0>;60j0n963=6;g5?84f2l>01:d7894742l>01>6i:d68yv7f>3:1>v3>a48:2>;6ik09>o5rs0c4>5<5s4;j;7<=b:?2e<5dd=m?1v;<3g6??13ty:h?4?:2y>5a4=::o01<9=:d689u234f966c<5=926h;4=513>`>i7>52z?01a<>>2789k4=2c9~w6>>290?wS=79:?0<<<5;l16=<658g9>57c=0o1v>6n:18685?13=270:>0;5:?85c>3=270=mf;5:?85?j389n6s|39a94?4|5:226484=2:f>74e3ty84i4?:3y>7=b=:;h01>7;:d78yv5?n3:1>v3<8g816g=:;0>1i;5rs2;3>5<5s492<7<=b:?0=36h84}r1:7?6=:r785>4=2c9>7=c=m?1v>7;:18185><389n63<978f2>{t;0?1<774e3492:7k8;|q0=3<72;q6?48523`896>b2l?0q~=6a;296~X41h16=li:84896e52;8i7p}7}Y;ji01>mk:30a?xu4ko0;6?u23bf9a1=:;m81>?l4}r1g4?6=:r78h=4=2c9>7a7=m?1v>j>:18185c9389n63{t;m<1<7=t^2f5?85c>388i63{t;mi1<7`2<5:o>6?o523d39a3=z{:nm6=4={<1gb?45j278i?4j5:p7`6=838p1>k?:30a?85b:3o=7p}7}:;l;1>?l4=2g0>`07>52z?0a7<5:k16?h:5e69~w6c42909w0=j3;01f>;4m=0n96s|3d694?4|5:o?6?7a0=1?16?h9523`8yv27=3:1>vP;049>563=m=1v9??:180[26827?==4=3d9>04>=m=1v9?9:18182593o?70:>f;01f>{t<8=1<774e34>:i7k9;|q75=<72;q68<6523`8917e2l<0q~:>9;296~;39009>o5240a9a0=z{=;j6=4={<62e?45j27?=n4j6:p04d=838p19?m:30a?826l3o=7p};1b83>7}:<8i1>?l4=53f>`1:h7>52z?75a<5:k168e;01f>;39o0n:6s|43294?4|5=;;6484=502>74e3ty?>44?:2y]07?<5=826?=j;<305?c33ty?>i4?:3y>07?=1?168?k523`8yv25n3:1>v3;288;`>;3;>09>o5rs513>5<5s4>8<7<=b:?773?50;0x91562;8i70:<5;g6?xu3;;0;6?u2420967d<5=9>6h84}r607?6=:r7??>4=2c9>061=m<1v9=;:181824<389n63;368f3>{t<:?1<774e34>8:7k9;|q773<72;q68>8523`891502l<0q~:<8;296~;3:l0n863;3e816g=z{=926=4={<60=?45j27??i4j5:p06g=838p19=n:30a?824k3o>7p};3c83>7}:<:h1>?l4=51`>`08o7>52z?77f<5:k168>j5e79~w15b2909wS:9::18;>3e=>oqC?8o4$212><6<,8n86>?k;n13e?6=3`2n6=44o222>5<j0;66l<6d83>4<729q/?>?5e29K73b<@:?j7bk=:188yg53i3:197>50z&0745;h:e>5<31<75f9783>>i5;l0;66sm35494?3=83:p(>=>:ed8L60c3A9>m6*i0;28m=b=831b4k4?::k4=?6=3`3=6=44o31f>5<7>55;294~"4;80oj6F<6e9K70g<,o:1<6g7d;29?l>a2900e:750;9j=3<722e9?h4?::a76b=83?1<7>t$212>a`<@:>o013:17d79:188k75b2900qo?ja;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7bn3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?i1;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7a;3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?i5;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg7bk3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qo?je;291?6=8r.8?<4kf:J02a=O;5;h;5>5<N4>m1C?8o4i9f94?=n0o0;66g89;29?l?12900c?=j:188yg54>3:197>50z&0745<55;294~"4;80oj6F<6e9K70g>o013:17d79:188k75b2900qojn:180>5<7s-98=7jk;I15`>N4=h1b;44?::k:2?6=3f88i7>5;|`g=?6=;3:1N4>m1C?8o4i6;94?=n1?0;66a=3d83>>{e;h<1<7=50;2x 6562mn0D>8k;I16e>o013:17d79:188k75b2900qo:?9;297?6=8r.8?<4kd:J02a=O;;n;h5:>5<6=4<:183!5493no7E=9d:J01d=n?00;66g66;29?j44m3:17pli3;297?6=8r.8?<4kd:J02a=O;50:k4=?6=3`3=6=44o31f>5<>k50;9~f63729086<4<{I16e>"4;80:h85f9683>>o>03:17b=?c;29?g50;3:1?7>50z&074<4>j1C?;j4H27b?lc22900eh850;9l67d=831v4950;0xZ<1<5:=86h84}r;;>5<5sW3370=83;g6?xu48j0;6?uQ31a896142;8i7psm35d94?5=939pD>;n;%105?7c=2c2;7>5;h;;>5<5<4290;w)=<1;15g>N4>m1C?8o4id794?=nm?0;66a=2c83>>{t1>0;6?uQ969>725=m?1v4650;0xZ<><5:=86h;4}r13g?6=:rT86}O;=>:0f6?l?02900e4650;9l75e=831i?:=50;194?6|,:9:6>8l;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|q:3?6=:rT2;63<728f2>{t110;6?uQ999>725=m<1v>>l:181[57k278;>4=2c9~yg7a13:1?7?53zJ01d=#;:;1=i;4i8594?=n110;66a<0b83>>d4?:0;6>4?:1y'767=;?i0D>8k;I16e>ob=3:17dk9:188k74e2900q~78:181[?0349{t;9i1<75<42808wE=:a:&074<6l<1b5:4?::k:5;c147?6=;3:1;n;hg6>5<74e3twi=k950;195?5|@:?j7)=<1;3g1>o>?3:17d77:188k66d2900n>9<:180>5<7s-98=7=9c:J02a=O;o4?::p=2<72;qU5:523619a3=z{021<74>:2yK70g<,:9:65<91<7=50;2x 6562:?278;>4j6:p==<72;qU55523619a0=z{::h6=4={_13g>;4?:09>o5r}c035?6=;3;1?vF<5`9'767=9m?0e4950;9j==<722e85<0278;>4j5:p75e=838pR>>l;<147?45j2wvn?>?:180>4<4sA9>m6*<3082`0=n1>0;66g68;29?j57k3:17o=83;297?6=8r.8?<4<6b9K73b<@:?j7dk::188m`0=831d>?l50;9~w<1=838pR494=250>`07p}<0b83>7}Y;9i01>9<:30a?x{e9ol1<7=51;1xL63f3-98=7?k5:k:3?6=3`336=44o22`>5<>i5:k0;66s|9683>7}Y1>16?:=5e79~w<>=838pR464=250>`352z\04f=:;>91>?l4}|`2b`<72:0:6>uG34c8 65628n>7d78:188m<>=831d?=m50;9a725=8391<7>t$212>60d3A9=h6F<5`9ja0<722cn:7>5;n01f?6=3ty2;7>52z\:3>;4?:0n:6s|9983>7}Y1116?:=5e49~w66d2909wS=?c:?036<5:k1vqo?id;297?7=;rB89l5+32395a3>i48j0;66l<7283>6<729q/?>?537a8L60c3A9>m6gj5;29?lc12900c?52z\:<>;4?:0n96s|31a94?4|V::h70=83;01f>{zj8lh6=4<:080M52i2.8?<4>d49j=2<722c247>5;n13g?6=3k953;294~"4;808:n5G37f8L63f3`o>6=44id494?=h:;h1<75rs8594?4|V0=01>9<:d48yv??2909wS77;<147?c23ty8>l:188f61429086=4?{%105?51k2B8:i5G34c8m`3=831bi;4?::m16g<722wx5:4?:3y]=2=:;>91i;5rs8:94?4|V0201>9<:d78yv57k3:1>vP<0b9>725=:;h0qpl>f`83>6<62:qC?8o4$212>4b23`3<6=44i8:94?=h;9i1<75m36194?5=83:p(>=>:24`?M51l2B89l5fe483>>ob>3:17b<=b;29?xu>?3:1>vP67:?0362wx554?:3y]===:;>91i85rs22`>5<5sW9;o63<72816g=zukk86=4=:183!5493;;>6F<6e9K70g1<75`23`94?=zjh>1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo=:9;297?6=8r.8?<4<1:J02a=O;o4?::a752=8381<7>t$212>4653A9=h6F<5`9ja1<722e9>o4?::a653=8391<7>t$212>67<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm21;94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi>=j50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e:8;1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo<>3;297?6=8r.8?<4<1:J02a=O;o4?::a643=8391<7>t$212>67<@:5<53;294~"4;808=6F<6e9K70g>i5:k0;66sm20;94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?7>50z&074<68;1C?;j4H27b?lc32900c?7>50z&074<68;1C?;j4H27b?lc32900c?50z&074<492B8:i5G34c8m`3=831bi;4?::m16g<722wi?9650;094?6|,:9:6<>=;I15`>N4=h1bi94?::m16g<722wi?9750;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<;n;hg7>5<N4>m1C?8o4id794?=nm?0;66a=2c83>>{e;:l1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e;=:1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e;=;1<7=50;2x 6562:;0D>8k;I16e>ob=3:17dk9:188k74e2900qo=:2;297?6=8r.8?<4<1:J02a=O;o4?::a702=8391<7>t$212>67<@:5<6F<6e9K70g1<75`23`94?=zj8:;6=4<:183!54939:7E=9d:J01d=nm<0;66gj6;29?j45j3:17pli2;290?6=8r.8?<4<2:J02a=O;5;n01f?6=3th8?54?:283>5}#;:;1?<5G37f8L63f3`o>6=44id494?=h:;h1<75rb21:>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb21b>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb21a>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd4;j0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn5<7s-98=7=8;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=nm00;66gja;29?lce2900ehm50;9l67d=831vn5<7s-98=7=<;I15`>N4=h1bi84?::kf2?6=3`o<6=44id:94?=h:;h1<75rb0g4>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb0g;>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd6m00;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vnk750;094?6|,:9:6<>=;I15`>N4=h1bi94?::m16g<722wijl4?:383>5}#;:;1==<4H24g?M52i2cn87>5;n01f?6=3thmn7>53;294~"4;808=6F<6e9K70g>i5:k0;66smfb83>6<729q/?>?5309K73b<@:?j7dk::188m`0=831d>?l50;9~fcb=8391<7>t$212>7563A9=h6F<5`9ja0<722cn:7>5;n01f?6=3thm97>54;294~"4;808>6F<6e9K70g>ob?3:17b<=b;29?xda>3:1?7>50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831vn>>9:181>5<7s-98=7??2:J02a=O;>8:181>5<7s-98=7??2:J02a=O;>7:181>5<7s-98=7??2:J02a=O;>6:187>5<7s-98=7==;I15`>N4=h1bi84?::kf2?6=3`o<6=44o30a>5<6F<6e9K70g1<75`23`94?=zj?l1<7<50;2x 65628:97E=9d:J01d=nm=0;66a=2c83>>{e?90;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn:?50;194?6|,:9:6>?4H24g?M52i2cn97>5;hg5>5<5<4290;w)=<1;005>N4>m1C?8o4id794?=nm?0;66a=2c83>>{e?:0;6>4?:1y'767=;81C?;j4H27b?lc22900eh850;9l67d=831vn>o6:180>5<7s-98=7=>;I15`>N4=h1bi84?::kf2?6=3f89n7>5;|`0ed<72;0;6=u+3239554<@:5;|`0eg<72;0;6=u+3239554<@:5;|`0ef<72:0;6=u+323974=O;?n0D>;n;hg6>5<>i5:k0;66sm3`g94?5=83:p(>=>:238L60c3A9>m6gj5;29?lc12900c?50z&074<5;81C?;j4H27b?lc22900eh850;9l67d=831v;m50;1xZ3e<5?i1>>k4=629a0=z{?n1<7o5rs7g94?4|5?o1>?l4=629a3=z{?l1<7;1i;5rs6294?4|5>:1>?l4=609a0=z{>;1<781i;5rs6094?4|5>81>?l4=619a3=z{1o1<7?k{_:f?853i3=270=;6;5:?853:3=270=3=270=?1;5:?8bf2>301i75789>7d0=?0168=75789>2f<01278h8489:?e7?1>34l<6:74}rc2>5<5sWk:70j6:848yvg52902w0=<6;:g?857932o70=p1k<523`89cg=m=16jo4j5:?e1?c23tym?7>57z?e7?44m27:<=4j5:?e=?c334l=6h;4=619a0=:;h31i8523`c9a1=z{o>1<7o5rsg794?4|5o?1>?l4=g49a3=z{o=1<79t=g5966c<5ol1i952fb8f1>;a=3o<708j:d68927=m<16?lk5e49~wc>=838p1k95979>ba<5:k1vk750;0x9c?=:;h01kl5e79~wcg=838p1ko523`89ce=m?1vkl50;0x9cd=:;h01kj5e49~wce=838p1km523`89cb=m?1vkk50;0x9c`=:;h01<>?:d48yv7793:1>v3;088:2>;68909>o5rs0g0>5<5s4;n?7<5`5=1?16=h7523`8yv7b=3:1>v3>e4816g=:9l31i85rs0g5>5<5s4;n:7<=b:?2a=5cg=1>16>=;5e79>655=m=16=h95e59~w4bf290?w0?jb;00a>;6nh02463=048f1>;6m<0n96s|1e594?2|58oh6?=j;<3e3???348:;7k:;<3f2?c03ty:h54?:5y>5`b=::o012l?01ed817`=:9o3155521d49a==z{8ni6=4;{<3fb?44m27:jo468:?142p1de83>1}:9o;1>>k4=0dg><><5;:i6h;4=0g6>`?54z?2b7<5;l16=kk5999>65b=m<16=h;5e99~w4ba290?w0?i3;00a>;6no02463=0g8f1>;6m<0nm6s|1d294?2|58l?6?=j;<034???348:=7k:;<3f1?cd3ty:i<4?:5y>5c3=::o01?>>:8:897742l?01f7817`=::98155522079a0=:9l<1i85rs327>5<4s4;mn778;<031?45j279<:4j6:p650=839p1u21gf9=2=::931>?l4=32a>`053z?2b`<>?27965b=m?1v?>l:18087an33<70;58o0n:6s|21g94?5|5;:;6494=32e>74e348:=7k9;|q155<72:q6>=?5969>647=:;h01??<:d48yv46:3:1?v3=038:3>;59:09>o522079a3=z{;;?6=4<{<3e3??0348:97<=b:?1522wx><850;1x94`?20=01??8:30a?84613o=7p}=1983>7}:9o315:5220;967d52z?2ad<>>279<>4=2c9~w77e2909w0?jc;;5?87a?39;o6s|20a94?4|58oo6484=0d;>66d3ty9=i4?:3y>5`c=1?16=k7531a8yv46m3:1>v3>ec8:2>;6nh085<5s4;nj779;<3ef?57k2wx>?>50;0x94`720<01{t:;>1<7<0<5;:;6>>l;|q160<72;q6=k;5979>657=;9i0q~<=6;296~;6n?02:63=03804f=z{;826=4>5z?02`47f:?2b1>>:84896632;8i7p}<0483>7}:;9>1i95231;967d52z?043<5:k16?=75e79~w6602909w0=?7;01f>;4800n96s|31:94?4|5::36?760=::o01>;6:d789c4=m?1v>=8:181854>33=70={t;:21<774e3498o7k:;|q07<<72;q6?>7523`8965e2l?0q~=o5232`9a3=z{:9i6=4={<10f?45j278?n4j6:p764=83?p1>=k:31f?853m33<70=;0;g7?852:3o=70=<8;g6?xu4;l0;6?u232f9=3=:;=;1>?l4}r10b?6=:r78?k4=2c9>717=m<1v>:?:1818538389n63<408f2>{t;:91<7;t=261>75b349?i777;<166?c2349857k;;<133?c33ty88>4?:3y>714=1?16?9;523`8yv53<3:1>v3<45816g=:;=?1i;5rs217>5<2s49?:7<0278994j5:?07d:9:848962>2;8i7p}<4983>7}:;=21>?l4=26:>`055z\04d=:;=k1>>k4=273><><5:936h84=22;>`252z?00d<>>2788i4=2c9~w62d2909w0=;c;01f>;4m6494=271>74e349>87k9;|q016<72;q6?8>5969>702=:;h0q~=:5;296~;4;?:22`?853l3o>7p}<5983>45|58oj65j4=0ga>=b<58om65j4=0d3>=b<58l:65j4=0d1>=b<58l865j4=0d7>=b<58l>65j4=0d5>=b<58oh65j4=0gg>=b<58on65j4=0g0>=b<5:?26?75b34`0<5:ko6h;4}r1bo6:18185f1389n63{t;hk1<774e349jo7k:;|q0eg<72;q6?ll523`896gd2l<0q~=nc;296~;4ij09>o523`f9a3=z{:ko6=4={<1b`?45j278mh4j6:p7dc=838p1>oj:30a?85fn3o=7p}7}Y;m901>j::848yv5c=3:1?v3{t<931<75<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb2;;>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb25g>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb25f>5<5290;w)=<1;336>N4>m1C?8o4id694?=h:;h1<75rb25e>5<4290;w)=<1;12?M51l2B89l5fe483>>ob>3:17b<=b;29?xd3810;6?4?:1y'767=9980D>8k;I16e>ob<3:17b<=b;29?xd40<0;6?4?:1y'767=mo1C?;j4H27b?lc32900c?7>50z&074?l50;9~f6g329096=4?{%105?ca3A9=h6F<5`9ja1<722e9>o4?::a`0<72;0;6=u+3239ac=O;?n0D>;n;hg7>5<5<5290;w)=<1;ge?M51l2B89l5fe583>>i5:k0;66sm3c:94?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl7<729q/?>?5eg9K73b<@:?j7dk;:188k74e2900qo:;2;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj:n36=4=:183!5493om7E=9d:J01d=nm=0;66a=2c83>>{e<=h1<7<50;2x 6562ll0D>8k;I16e>ob<3:17b<=b;29?xd1;3:1>7>50z&074?l50;9~f34=8381<7>t$212>``<@:5;|`55?6=:3:1N4>m1C?8o4id694?=h:;h1<75rb7294?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl:e;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th>o7>52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj8k;I16e>ob<3:17b<=b;29?xd2i3:1>7>50z&074?l50;9~f0?=8381<7>t$212>``<@:5;|`6N4>m1C?8o4id694?=h:;h1<75rb4594?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl:6;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th=n7>52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj?k1<7<50;2x 6562ll0D>8k;I16e>ob<3:17b<=b;29?xd113:1>7>50z&074?l50;9~f3>=8381<7>t$212>``<@:5;|`53?6=:3:1N4>m1C?8o4id694?=h:;h1<75rb7494?4=83:p(>=>:dd8L60c3A9>m6gj4;29?j45j3:17pl95;296?6=8r.8?<4jf:J02a=O;5;n01f?6=3th>j7>52;294~"4;80nj6F<6e9K70g1<75`23`94?=zj=:=6=4;:183!5493;:h6F<6e9K70g1<75fa083>>i5:k0;66a>0283>>{e?m0;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd4j?0;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd3<90;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd38o0;6?4?:1y'767=:;i0D>8k;I16e>ob<3:17b<=b;29?xd0n3:1>7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?7>50z&074<5:j1C?;j4H27b?lc32900c?50z&074<5:m1C?;j4H27b?lc32900el?50;9l67d=831vn>76:181>5<7s-98=7<=c:J02a=O;hl:181>5<7s-98=7<=c:J02a=O;5<7s-98=7<=d:J02a=O;o4?::a7c4=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a72d=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a7=4=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a05e=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a7f6=8381<7>t$212>74d3A9=h6F<5`9ja1<722e9>o4?::a7ag=8391<7>t$212>74c3A9=h6F<5`9ja1<722cj=7>5;n01f?6=3th?>o4?:283>5}#;:;1>?j4H24g?M52i2cn87>5;hc2>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<;n;hg7>5<5<5s49<477>52z?03<<58278;h4j4:p6`<72;q6?:752d9>7=7=m=1v>j50;0xZ6b<5h4=459a1=z{=:1<7=m=1v9?50;0xZ17<5<31i95rs5094?4|V=8018o5e59~w15=838pR9=4=4`9a1=z{=>1<74=759a1=z{<;1<7=m=1v8<50;0xZ04<5?31i95rs4194?4|V<901;o5e59~w02=838pR8:4=7`9a1=z{2=:<=i1;63:5;01f>{t=?0;6>u23649<>;399:c9>01e=j27>57<=b:p1d<72:q6?:85c:?70f?l4}r7`>5<4s49<:7k4=56`>`=:=j09>o5rs4f94?5|5:==6k5245a9b>;2l389n6s|5d83>6}:;><1==5245a955=:=l09>o5rs4d94?4|5=>h6?o7?=;<43>74e3ty==7>53z?033<6<27?8n4>4:?55?45j2wx:?4?:3y>720=9<16:?4=2c9~w35=838p1>99:058935=:;h0q~8;:181823k3;3708;:30a?xu1=3:1>v3;4b82=>;1=389n6s|6783>7}:<=i1=l5267816g=z{?=1<74d<5?=1>?l4}r4;>5<5s4>?o7?l;<4;>74e3ty=57>52z?70f<6l27=57<=b:p2d<72:q6?:851d9>01e=9l16:l4=2c9~w3d=839p1>99:0d8912d28l01;l523`8yv0d2904;c2?85ci3k:70:=b;c2?xu0<3:18v3<77840>;3;4?>0<86s|7`83>7}Y?h16;o4j4:p3g<72;q6?:657`9>3g<5:k1v:m50;0xZ2e<5>n1>?l4}r5g>5<4s49=i7k=;<632?c334=o6h:4}r5f>5<5sW=n709i:30a?xu0n3:1>v3<6g817==:?o0n86s|8183>7}Y09164<4j4:p<4<72;q6?:65819><4<5:k1vl?50;0xZd7<5m?1i95rse794?5|5:=36l?4=256>d7<5m?1>?l4}rfa>5<5sWni70jl:d68yvbd290=w0=86;:f?823k32n70=89;:f?850?3ni70=85;:f?8bd2;8i7p}jd;296~;4??0nh63<768f`>{t99>1<7463349<47??4:p54>=838p1>96:03;?85003;:46s|10g94?4|5:==6289370=88;31a>{t9:k1<745f349<47?;e:p51`=838p1>96:06e?850?3;?j6s|14494?5|5:==6<;9;<14=?72>278;:4>579~w4302909w0=89;363>;4?>0:9:5rs07`>5<5s49<57?:c:?03=<6=j1vc09~w74>2908w0:?6;01f>;4??0?<85236:905352z\17c=::>h1>?l4}r074?6=:rT98=52293967d7>52z\107=::1=1>?l4}r077?6=:rT98>5229:967d52z\101=::1o1>?l4}r071?6=:rT98852286967d52z\10d=::0?1>?l4}r07f?6=:rT98o5228`967d52z\10f=::h;1>?l4}r07`?6=:rT98i522`5967d52z\10`=::hn1>?l4}r07b?6=:rT98k522c1967d=7>52z?033<5=81689m52438yv42:3:1>v3<778117=:;>=1>8?4}r052?6=:r7?8n4=679>721=:?<0q~<8b;296~;37}:<=i1>9=4=3:;>`252z?70f<5<=16>5k5e59~w7?32909w0:;c;071>;51=0n86s|28794?4|5=>h6?:n;<0:1?c33ty95o4?:3y>01e=:=h01?7m:d68yv4f93:1>v3;4b810f=::h;1i95rs3c4>5<5s4>?o7<;d:?1e2lj50;0x912d2;>n7001e=:k301>96:3`:?xu5jl0;6?u236496gc<5:=<6?l6;|q044<72;q689m5310896122:::7p}<0`83>7}:<=i1?=l4=256>66f3ty8;l4?:3y]72g<5:=i6?0q~=8c;296~;4?m09>o5236d9a0=z{:=o6=4={<14a?45j278;k4j6:p7=6=838pR>6?;<1;6?45j2wx?5<50;0x96>62;8i70=72;g7?xu40=0;6?uQ396896>22l>0q~=75;290~;35236;97=5<5:=<6>6<;<1;1?45j2wx?5850;0xZ6>13493;7<=b:p7=>=838p1>97:2::?85??3o?7p}<9683>7}Y;0=01>76:30a?xu4100;6?u238:967d<5:326h:4}r1:f?6=:rT85o5238a967d52z?03<<41k16?4m5e59~w6g42909wS=n3:?0e1{t;h<1<76>o9;<1b3?c33ty8n=4?:3y]7g6<5:h:6?0q~=m5;296~X4j<16?o8523`8yv5e>3:1>v3<7680f1=:;k<1i95rs2`4>5<5sW9i;63{t;k21<76d3349i47<=b:p7g`=838pR>li;<1`4?45j2wx?n>50;0x961?2:hm70=l0;g7?xu4k:0;6?uQ3b1896e22;8i7p}7}:;>31?n=4=2a6>`252z\0gf=:;jo1>?l4}r1`a?6=:r78;547fc=m=1v>j<:181[5c;278h94j4:p7a2=838p1>9::2f0?85c<389n6s|3e594?4|V:n<70=k8;g7?xu4l10;6>u245a97a0<5:=26>j9;<1g349om7<=b:p7ad=838p1>97:2f5?85ci3o?7p}7}Y;ll01>h=:30a?xu4n;0;6?u245a97``<5:l96h:4}r1e=?6=:rT8j4523gc967d52z?70f<4m116?ko5e59~w6`e2909wS=ib:?0bf<5:k1v>hl:181823k3>9>63{t;on1<7h6>hk;<630?c33ty?<84?:3y]053<5=:=6<><;|q742<72;q68=6523`891612h;0q~:?9;296~;4?<0?<45241:9a1=z{=:j6=4={_63e>;38j09>o5rs52`>5<5s4>?o7:?a:?74f;j7<=b:p05`=838p19:l:52g?827n3o?7p};1083>7}Y<8;019?=:d68yv26:3:1?v3;4b8755=:;>318<>4=531>74e3ty?=>4?:3y]045<5=;?6?0q~:=a;296~X3:h168?l523`8yv25k3:1>v3<79876<=:<;h1i95rs51e>5<5sW>8j63;41816g=z{=>;6=4={<143?24m27?8=4j4:p017=838pR9:>;<676?c33ty?8?4?:3y>72>=<:o019:=:30a?xu30q~:;b;290~;4??0?845245a901?<5:=269:6;<67f?45j2wvbk>n:181M52i2wej=l50;0xL63f3tdm51zJ01d=zfo:n6=4>{I16e>{in9l1<7?tH27b?xha990;6;n;|le51<728qC?8o4}od21?6=9rB89l5rng35>5<6sA9>m6saf0594?7|@:?j7p`i1983>4}O;9;295~N4=h1vbk?n:182M52i2wej51zJ01d=zfo;n6=4>{I16e>{in8l1<7?tH27b?xha:90;6;n;|le61<72;qC?8o4}od11?6=:rB89l5rng05>5<5sA9>m6saf3594?5|@:?j7p`i2983>6}O;n4?:2yK70g53zJ01d=zfo8n6=4={I16e>{in;l1<7=tH27b?xha;90;6>uG34c8yk`493:1>vF<5`9~jc552909wE=:a:mb65=838pD>;n;|le71<72;qC?8o4}od01?6=:rB89l5rng15>5<5sA9>m6saf2594?4|@:?j7p`i3983>7}O;l50;1xL63f3tdm?n4?:4yK70g51zJ01d=zfo9n6=4={I16e>{in:l1<7?tH27b?xha<90;6;n;|le01<72;qC?8o4}od71?6=9rB89l5rng65>5<4sA9>m6saf5594?7|@:?j7p`i4983>3}O;53zJ01d=zfo>n6=4<{I16e>{in=l1<7=tH27b?xha=90;69uG34c8yk`293:1?vF<5`9~jc352908wE=:a:mb05=839pD>;n;|le11<72:qC?8o4}od61?6=;rB89l5rng75>5<6sA9>m6saf4594?7|@:?j7p`i5983>4}O;h7>51zJ01d=zfo?n6=4>{I16e>{in90;6;n;|le21<72:qC?8o4}od51?6=:rB89l5rng45>5<5sA9>m6saf7594?5|@:?j7p`i6983>7}O;52zJ01d=zfo{in>:1<7pD>;n;|le30<728qC?8o4}od42?6=9rB89l5rng54>5<6sA9>m6saf6:94?7|@:?j7p`i7883>4}O;52zJ01d=zfo=m6=4>{I16e>{in1:1<7?tH27b?xha080;6vF<5`9~jc>4290:wE=:a:mb=2=838pD>;n;|le<0<728qC?8o4}od;2?6=:rB89l5rng:4>5<6sA9>m6saf9:94?4|@:?j7p`i8883>4}O;52zJ01d=zfo2m6=4>{I16e>{in0:1<7:3:1>vF<5`9~jc?4290:wE=:a:mb<2=838pD>;n;|le=0<728qC?8o4}od:2?6=;rB89l5rng;4>5<6sA9>m6saf8:94?4|@:?j7p`i9883>4}O;52zJ01d=zfo3m6=4>{I16e>{inh:1<7;n;|lee0<72;qC?8o4}odb2?6=9rB89l5rngc4>5<5sA9>m6saf`:94?7|@:?j7p`ia883>6}O;51zJ01d=zfokm6=4={I16e>{ink:1<7?tH27b?xhaj80;6?uG34c8yk`e:3:1=vF<5`9~jcd4290?wE=:a:m515=83;pD>;n;|l201<728qC?8o4}o371?6=9rB89l5rn065>5<6sA9>m6sa15594?7|@:?j7p`>4983>4}O;51zJ01d=zf8>n6=4>{I16e>{i9=l1<7?tH27b?xh6=90;6;n;|l212<728qC?8o4}o36=?6=9rB89l5rn07b>5<6sA9>m6sa14`94?7|@:?j7p`>5b83>4}O;50;3xL63f3td::<4?:0yK70g7>51zJ01d=zf8<86=4>{I16e>{i9?>1<7?tH27b?xh6><0;63:1=vF<5`9~j400290:wE=:a:m53>=83;pD>;n;|l22<<728qC?8o4}o35e?6=9rB89l5rn04a>5<6sA9>m6sa17a94?7|@:?j7p`>6e83>4}O;50;3xL63f3td:;<4?:0yK70g51zJ01d=zf:lj6=4>{I16e>{i;oh1<7?tH27b?xh4nj0;6;n;|l745<728qC?8o4}o635?6=9rB89l5rn521>5<6sA9>m6sa41694?7|@:?j7p`;0483>4}O;8:182M52i2we8=j50;3xL63f3td?;j7>51zJ01d=zf=;<6=4>{I16e>{i<821<7?tH27b?xh3900;6;n;|l75a<728qC?8o4}o62a?6=9rB89l5rn53e>5<6sA9>m6sa43294?7|@:?j7p`;2083>4}O;;4?:0yK70g9;7>51zJ01d=zf=836=4>{I16e>{i<;31<7?tH27b?xh3:h0;6;n;|l76`<728qC?8o4}o61b?6=9rB89l5rn513>5<6sA9>m6sa42394?7|@:?j7p`;3383>4}O;;50;3xL63f3td??;4?:0yK70g8;7>51zJ01d=zf=936=4>{I16e>{i<:31<7?tH27b?xh3;h0;6;n;|l77`<728qC?8o4}o60b?6=9rB89l5rn563>5<6sA9>m6sa45394?7|@:?j7p`;4383>4}O;?;7>51zJ01d=zf=>36=4>{I16e>{i<=31<7?tH27b?xh3;n;|l70`<728qC?8o4}o67b?6=9rB89l5rn573>5<6sA9>m6sa44094?7|@:?j7p`;5283>4}O;>47>51zJ01d=zf=?26=4>{I16e>{i<;n;|l71c<728qC?8o4}o654?6=9rB89l5rn542>5<6sA9>m6sa47094?7|@:?j7p`;6283>4}O;=47>51zJ01d=zf=<26=4>{I16e>{ik0;6;n;|l72c<728qC?8o4}o644?6=9rB89l5rn552>5<6sA9>m6sa46094?7|@:?j7p`;7283>4}O;<47>51zJ01d=zf==26=4>{I16e>{i<>k1<7?tH27b?xh3?k0;66290:wE=:a:m0=2=83;pD>;n;|l7<0<728qC?8o4}o6;2?6=9rB89l5rn5:4>5<6sA9>m6sa49;94?7|@:?j7p`;8c83>4}O;287>51zJ01d=zf=3>6=4>{I16e>{i<0<1<7?tH27b?xh31>0;6;n;|l5f1<728qC?8o4}o4a5<6sA9>m6sa6c`94?7|@:?j7p`9bb83>4}O;51zJ01d=zf?i96=4>{I16e>{i>j91<7?tH27b?xh1k=0;6;n;|l5g=<728qC?8o4}o4`=?6=9rB89l5rn7ab>5<6sA9>m6sa6ba94?7|@:?j7p`9ce83>4}O;50;3xL63f3td=h>4?:0yK70g51zJ01d=zf?n>6=4>{I16e>{i>m=1<7?tH27b?xh1l10;6;n;|l5`f<728qC?8o4}o4g`?6=9rB89l5rn7ff>5<6sA9>m6sa6ed94?7|@:?j7p`9e183>4}O;51zJ01d=zf?o=6=4>{I16e>{i>l=1<7?tH27b?xh1m10;6;n;|l5af<728qC?8o4}o4f`?6=9rB89l5rn7gf>5<6sA9>m6sa6g294?7|@:?j7p`9f083>4}O;51zJ01d=zf?l<6=4>{I16e>{i>o21<7?tH27b?xh1n00;6;n;|l5ba<728qC?8o4}o4ea?6=9rB89l5rn7de>5<6sA9>m6sa71294?7|@:?j7p`80383>4}O;;:182M52i2we;=;50;3xL63f3td<<;4?:0yK70g51zJ01d=zf>:36=4>{I16e>{i?931<7?tH27b?xh08h0;6;n;|l44`<728qC?8o4}o53b?6=9rB89l5rn633>5<6sA9>m6sa70394?7|@:?j7p`81383>4}O;3;295~N4=h1vb:?::182M52i2we;<850;3xL63f3td<=:4?:0yK70g51zJ01d=zf>;26=4>{I16e>{i?8k1<7?tH27b?xh09k0;6;n;|l45c<728qC?8o4}o514?6=9rB89l5rn602>5<6sA9>m6sa73094?7|@:?j7p`82283>4}O;:4?:0yK70g51zJ01d=zf>826=4>{I16e>{i?;k1<7?tH27b?xh0:k0;6;n;|l474<728qC?8o4}o506?6=9rB89l5rn617>5<6sA9>m6sa72794?7|@:?j7p`83783>4}O;51zJ01d=zf>k;6=4>{I16e>{i?h31<7?tH27b?xh0ih0;6;n;|l4e`<728qC?8o4}o5bb?6=9rB89l5rn6`3>5<6sA9>m6sa7c194?7|@:?j7p`8b583>4}O;51zJ01d=zf>hi6=4>{I16e>{iihh1<7?tH27b?xhfij0;6;n;|lbf5<728qC?8o4}oca5?6=9rB89l5rn``1>5<6sA9>m6saac194?7|@:?j7p`nb583>4}O;51zJ01d=zfhho6=4>{I16e>{iiko1<7?tH27b?xhfjo0;6vF<5`9~jde5290:wE=:a:mef5=83;pD>;n;|lbg1<728qC?8o4}oc`1?6=9rB89l5rn`a5>5<6sA9>m6saab594?7|@:?j7p`nc983>4}O;51zJ01d=zfhin6=4>{I16e>{iijl1<7;n;|lb`1<728qC?8o4}ocg1?6=9rB89l5rn`f5>5<6sA9>m6saae594?7|@:?j7p`nd983>4}O;51zJ01d=zfhnn6=4>{I16e>{iiml1<7vF<5`9~jdc5290:wE=:a:me`2=83;pD>;n;|lba0<728qC?8o4}ocf2?6=9rB89l5rn`g4>5<6sA9>m6saad:94?7|@:?j7p`ne883>4}O;51zJ01d=zfhom6=4>{I16e>{iio:1<7?tH27b?xhfn80;6;n;|lbb3<728qC?8o4}oce5<6sA9>m6saagc94?7|@:?j7p`nfc83>4}O;7>51zJ01d=zfk:86=4>{I16e>{ij9>1<7?tH27b?xhe8<0;63:1=vF<5`9~jg60290:wE=:a:mf5>=83;pD>;n;|la4<<728qC?8o4}o`3e?6=9rB89l5rnc2a>5<6sA9>m6sab1a94?7|@:?j7p`m0e83>4}O;i:182M52i2wen<>50;3xL63f3tdi=<4?:0yK70g7>51zJ01d=zfk;86=4>{I16e>{ij8>1<7?tH27b?xhe9<0;63:1=vF<5`9~jg70290:wE=:a:mf4>=83;pD>;n;|la5<<728qC?8o4}o`2e?6=9rB89l5rnc3a>5<6sA9>m6sab0a94?7|@:?j7p`m1e83>4}O;e;295~N4=h1vbo?i:182M52i2wen?>50;3xL63f3tdi><4?:0yK70g7>51zJ01d=zfk886=4>{I16e>{ij;>1<7?tH27b?xhe:<0;63:1=vF<5`9~jg40290:wE=:a:mf7>=83;pD>;n;|la6<<728qC?8o4}o`1e?6=9rB89l5rnc0a>5<6sA9>m6sab3a94?7|@:?j7p`m2e83>4}O;:182M52i2wen><50;3xL63f3tdi?>4?:0yK70g51zJ01d=zfk9=6=4>{I16e>{ij:=1<7?tH27b?xhe;10;6;n;|la7f<728qC?8o4}o`0`?6=9rB89l5rnc1f>5<6sA9>m6sab2d94?7|@:?j7p`m4283>4}O;51zJ01d=zfk>i6=4>{I16e>{ij=i1<7?tH27b?xhe;n;|la14<728qC?8o4}o`66?6=9rB89l5rnc76>5<6sA9>m6sab4494?7|@:?j7p`m5683>4}O;o7>51zJ01d=zfk?o6=4>{I16e>{ij90;6;n;|la21<728qC?8o4}o`51?6=9rB89l5rnc45>5<6sA9>m6sab7594?7|@:?j7p`m6983>4}O;51zJ01d=zfmkn6=4>{I16e>{ilhl1<7?tH27b?xhcj90;6;n;|lgf3<728qC?8o4}ofa3?6=9rB89l5rne`;>5<6sA9>m6sadc;94?7|@:?j7p`kb`83>4}O;51zJ01d=zfmi;6=4>{I16e>{ilj;1<7?tH27b?xhck;0;6;n;|lgg3<728qC?8o4}of`3?6=9rB89l5rnea;>5<6sA9>m6sadb;94?7|@:?j7p`kc`83>4}O;52zJ01d=zfmn;6=4={I16e>{ilm;1<7vF<5`9~jab32909wE=:a:m`a3=838pD>;n;|lg`3<72;qC?8o4}ofg3?6=:rB89l5rnef;>5<5sA9>m6sade;94?4|@:?j7p`kd`83>7}O;52zJ01d=zfmo;6=4={I16e>{ill;1<7vF<5`9~jac3290:wE=:a:m``3=838pD>;n;|lga3<72;qC?8o4}off3?6=:rB89l5rneg;>5<5sA9>m6sadd;94?4|@:?j7p`ke`83>7}O;52zJ01d=zfml;6=4={I16e>{ilo;1<7?tH27b?xhcn:0;6;n;|lgb2<728qC?8o4}ofe5<6sA9>m6sadgc94?7|@:?j7p`kfc83>4}O;51zJ01d=zfl::6=4>{I16e>{im981<7;n;|lf42<728qC?8o4}og3=?6=9rB89l5rnd2b>5<6sA9>m6sae1`94?4|@:?j7p`j0b83>7}O;j:181M52i2wei=h50;0xL63f3tdn==4?:0yK70g7>51zJ01d=zfl;86=4>{I16e>{im8>1<7?tH27b?xhb9<0;63:1=vF<5`9~j`70290:wE=:a:ma4>=83;pD>;n;|lf5d<728qC?8o4}|~DEE|9;km648:2`d3bxFGJr:vLM^t}AB \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngd b/cpld/XC95144XL/WarpSE.ngd index d754e71..6541cf3 100644 --- a/cpld/XC95144XL/WarpSE.ngd +++ b/cpld/XC95144XL/WarpSE.ngd @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$5475=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb24;?>89B[CUE488556OPFR@?568>3HUM_O2>4?;8EZ@TJ5;>245N_GQA840912KTJ^L316<:?DYA[K6:4374A^DPF97>611JSK]M<01:a=FWOYI0?0PICWE<>GXNZH7?3j4A^DPF959W@H^J55N_GQA818c3HUM_O2;>^KAQC>;:ZOE]O20MRH\B=;=`>GXNZHT==QFBTDg?DYA[KU:=RGMUGf8EZ@TJV;9SDLZFe9B[CUEW89TEO[Id:C\BVDX9=UBNXHk;@]EWGY6=VCIYKj4A^DPFZ71W@H^Ji5N_GQA[41XAK_Mh6OPFR@\5=YNJ\Lo7LQISC]2=ZOE]On0MRH\B^03[LDRNm1JSK]M_33\MGSAl2KTJ^LP23]JFP@c3HUM_OQ=3^KAQCeGh}}ENi6O`uuMF[hs89:;j6O`uuMF[hs89:;=k5NotvLAZkrp9:;;f:ClqqIBWds<=>?5g9BkprHMVg~t=>?0768FDES=2HJOY?:;CC@P735MUG33?GSNDMU_M_IJT@P@L==E]ZUBBKA>;B68G40J12I::@QFBTD5?F47ELDi7N2I99@KAb:A11HCIWFH^J>5L8L:8G=KXAK_M86M7Ms18GDUe3JKXS`{w0123g>EF[Vg~t=>?00a8GDUXe|r;<=>=2:AF7>EKC:1H@_=4COV:?FIJE@^_II94CSGBP@B53JY87NZC6:Aoadt6n2Igil|>_^cm`567881Oi6J]C^QVGFCT[O_G;6Jnt`>3:2=Ci}k7=384DnwwK@bCT4:4?7H]P0d9FWZ6X8Vddx=>?0g9FWZ6X8Vddx=>?00f8AVY7WVkeh=>?0d9FWZ6XWhdo<=>?1d9FWZ6XWhdo<=>?2d9FWZ6XWhdo<=>?3d9FWZ6XWhdo<=>?4d9FWZ6XWhdo<=>?5d9FWZ6XWhdo<=>?659FWZ7c3LYT=RQnne2345c?e:GP[6YXign;<=>>e:GP[6YXign;<=>=1g9FWZKflmUTmij?012\I}iuW[oxyaz31?3e?@UXEhnoSRokd1234ZKg{UYi~{ct=0=5c=B[VGjhiQPaef3456XEqeyS_k|umv?7;7>3LYTAljk_^cg`5678VUjbi>?013b?@UXEhnoSRokd1234ZYffm:;<=?>9:GP[HgclVUjhi>?01]\ekb789;:m6K\_Lcg`ZYflm:;<=QPaof34576l2OXS\Q>_np3456b3LYT]R?Pos23457a3LYT]R?Pos234577n2OXS\Q>_np345669l1N_R_P1^mq4567:l1N_R_P1^mq4567;l1N_R_P1^mq4567l1N_R_P1^mq4567?l1N_R_P1^mq45670l1N_R_P1^mq45671l1N_RQnde2345:66l1N_RQnde2345:56l1N_RQnde2345:4611NT]OADDF25>C_XHDOIIQMCE]EMIC6<2OS\L@KEE]@KKUSZHCEX^?>;DZSEKBBLVCXIRHFLD48AZOE]O80I;4Es>3:0=Bz5;586K}_168AwY6<2LH@F74FBNH[LDRN;1MH>5IDB68BAEB<2LOO]=4FER1?C@?3OZLMD@FT99EVJKX]JL87K]M1:E0?BHC92C97D>=;H31?L453@997D:=;H71?L053@=?7DOLS49JEFU6<2CIYK<4IO:8MK@BZ[OO56GAIUQWEQC03@DBX^ZL8:KMMQUSK8o0ECG[S^DPIZ@Al8;:7D@FTR]EWHYANm;&Ec?=;HLJPVYA[DUMJi?"Io326>OIA]YTJ^CPFGf2)Lh5m2CEEY]PFRO\BCb5981BBDZ\_GQN[C@c:$Ce=?5FNHVP[CUJWOLo> Ga1008MKOS[VLXARHId3/Jj7753@DBX^QISL]EBa4*Ag9:>6GAIUQ\BVKXNOn9!D`;139JJLRTWOYFSKHk2,Km1`=NF@^XSK]B_Nww7c=NF@^XSK]B_Nww74`>7d9JKDESWds<=>?2d9JKDESWds<=>?3d9JKDESWds<=>?4d9JKDESWds<=>?5d9JKDESWds<=>?6d9JKDESWds<=>?7d9JKDESWds<=>?8d9JKDESWds<=>?979JKDESz?1BCOK]Rd9JKGCUZVddx=>?1g9JKGCUZVddx=>?1068MJDJ<2CDN^84IN@VB@0OHKZ;>7DALS378MJET;<1BCN];5:KLGV323@EH_;84INFLJ@bPmtz345668l1BCA>Pmtz345669l1BCA>Pmtz34566:l1BCA>Pmtz34566;l1BCA>Pmtz34566Pmtz34566=m1BCA>Pmtz34565l2CD@=Qbuy23455c3@EGOHD9Ufyu>?015g?LIK8Vg~t=>?09f8MJJ7Wds<=>?959JKI7d3@EG=R``t1235a=NGE;Tbbz?01321>OHDMY27DAAPSgb`|763@EE\_kndx]\kw6789;97DAAPSgb`|YXg{:;<=?>2:KLJUTbimsTSb|?012157=NGGZYiljv_^mq4567;880EB@_Rdcg}ZYhz9:;<9?=;HMMTWcflpUTc>?0175?LIW_JY<7DA_WBQ23>OHX^IX>45FOQUQadb~981BC]Y]e`fz[Ziu89:;=?5FOQUQadb~WVey<=>?1008MJVPZlkouRQ`r12347753@E[[_kndx]\kw67899<7DA]DSGRb>OHZMXN]Road123446h4INPGV@WXe|r;<=>>0:KLVATBYVg~t=>?0032?LIUL[OZS`{w012355763@EYH_K^_lw{456798;:7DA]DSGR[hs89:;=??>;HMQ@WCVWds<=>?1232?LIUL[OZS`{w012351773@EYH_K^_lw{4567:8:0EB\KRDS\ip~789:8==5FOSFQATYj}q:;<=:>0:KLVATBYVg~t=>?0433?LIUL[OZS`{w01232462CD^H_}7:KLV@Wu9>1BC_K^r358MJTBY{9>7DA]W0f8MJTP9Vkeh=>?0d9JKWQ6Whdo<=>?1d9JKWQ6Whdo<=>?2d9JKWQ6Whdo<=>?3d9JKWQ6Whdo<=>?4d9JKWQ6Whdo<=>?5d9JKWQ6Whdo<=>?6d9JKWQ6Whdo<=>?759JKV6d3@EXOH[9Ujbi>?010g?LIT8Vkeh=>?02a8MJU7Wds<=>?d:KLW5Yj}q:;<=?j;HMP4Zkrp9:;<<>k;HMP4Zkrp9:;Pmtz3456?l2CD_=Qbuy2345?d3@EXSK]B_GDg5f=NGZUM_@QIFe0e?LITWOYFSKHk2,Km55=NGZUM_@QIFe0.Mk7682CD_RH\M^DE`7+Nf;;;7DA\_GQN[C@c:$Ce?n5FOR]EWHYANm9m7DA\_GQN[C@c;$Ce==5FOR]EWHYANm9&Ec?>0:KLWZ@TEVLMh>#Fn333?LITWOYFSKHk3,Km7460:KLWZ@TEVLMh;#Fn233?LITWOYFSKHk6,Km046<>4INQ\BVKXNOnOH[VLXARHId6/Jj00OH]9Ufyu>?01f8MJS7Wds<=>?1d9JKP6Xe|r;<=>>0d9JKP6Xe|r;<=>>1d9JKP6Xe|r;<=>>2d9JKP6Xe|r;<=>>3d9JKP6Xe|r;<=>>4d9JKP6Xe|r;<=>>5e9JKP6Xe|r;<=>=d:KLQ5Yj}q:;<==k;HMV4Zkrp9:;<9j4INW3[hs89:;9i5FOT2\ip~789:=h6G@U1]nq}6789=o7DAZ0^ov|56781n0EB[?_lw{45671=1BCX?l;HMV5Zhh|9:;=i5FOT3\jjr789;:96G@UEQ4?LIPZ[OZj6G@WSPFUZkrp9:;<<>4INUQV@WXe|r;<=>>109JKRTUMXUfyu>?013354=NG^XYI\Qbuy234576981BCZ\]EP]nq}6789;9=<5FOVPQATYj}q:;<=?<109JKRTUMXUfyu>?013754=NG^XYI\Qbuy234572991BCZ\]EP]nq}67898:<6G@WSPFUZkrp9:;<>??;HMTVWCVWds<=>?4028MJQUZL[Taxv?012655=NG^XYI\Qbuy23450682CD[_\JQ^ov|5678>;;7DAXRSGR[hs89:;4<>4INUQV@WXe|r;<=>68:KLSWTBY{o0GHK__IKFVDTD@P=0@DL]AS[5?IIFLLN87AAL7:NLCLEFDh1G\@QWOS0\5<=K\`gn~1>1a:NWmhcu48:5m6B[ilgq8479i2F_e`k}<00==>JSadoy0<06;MVji`t;:730@Ygbes>0:<=K\`gn~1:19:NWmhcu4<427AZfmdp?2;?89OPlkbz52556B[ilgq8<8?3E^bah|[C018HQojm{^HSnc_ds34566<2F_e`k}TB]`iuYby9:;<?01620>JSadoyXNQlmq]fu5678<20@Ygbes]3<>JSadoyS<74LUknawY6801GXdcjr^32=>JSadoyS<<7;MVji`tX:11GXdcjr^1;?IRnelxT855CThofvZ3?3E^bah|P699OPlkbzV=37AZfmdp\<==K\`gn~R7=4:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>24;433E^bah|PM`fg[Zgcl9:;?0^O{kwYUmzgx1<1229OPlkbzVGjhiQPaef3456XEqeyS_k|umv?7;443E^bah|PM`fg[Zgcl9:;5>>5CThofvZKflmUTmij?012\I}iuW[oxyaz35?00?IRnelxTAljk_^cg`5678VGscQ]erwop909::1GXdcjr^Ob`aYXimn;<=>PMymq[Wct}e~7;3<<;MVji`tXEhnoSRokd1234ZKg{UYi~{ct=:=66=K\`gn~RCnde]\eab789:TAua}_Sgpqir;178=7AZfmdp\IdbcWVkoh=>?0^PfwpjsW9Uecy>?0132`>JSadoyS@okd^]b`a6789UTmcj?0122a>JSadoyS@okd^]b`a6789UTmcj?01225a=K\`gn~RCnde]\eab789:TSl`k01225`=K\`gn~RCnde]\eab789:TSl`k012254bP_`lg45629l1GXdcjr^Ob`aYXimn;<=>P_`lg456298n0@Ygbes]NeabXWhno<=>?_^cm`567>8o0@Ygbes]NeabXWhno<=>?_^cm`567>8;o7AZfmdp\IdbcWVkoh=>?0^]bja678>;n7AZfmdp\IdbcWVkoh=>?0^]bja678>;:h6B[ilgq[HgclVUjhi>?01]\ekb7892:i6B[ilgq[HgclVUjhi>?01]\ekb7892:=i5CThofvZKflmUTmij?012\[dhc89:2=h5CThofvZKflmUTmij?012\[dhc89:2=?<1<27>JSadoySRokd12349776890@Ygbes]\eab789:7=<0>3:NWmhcuWVkoh=>?0=31:442:NWmhcuWVkoh=>?0=1=57=K\`gn~RQnde2345:36880@Ygbes]\eab789:793?=;MVji`tXWhno<=>?<7<26>JSadoySRokd12349199;1GXdcjr^]b`a6789632<<4LUknawYXimn;<=>39?78Hjgc9l1Gclj>_^cm`5678j1Fmga}Vdppmjhd3DcecXjrrklj7=I8>1EIYY@RJ48JJEKAY;0C95@BTD6?JDRNL?0CIM\re9L@FUuWhdo<=>?e:MGGVtXign;<=>>3:MMA7=HZ:1D^?=4OS10?JT3;2E^X95@nbg`?JhdmVUd~=>?0e9LjfcXWfx;<=>>1:R`?U(5889:<<=PL29S555V6?:1[=5=4P0;1?U443Y8;?6^=229S66587]<:3:R136=W:190\?7=;Q10?U57;2Z8>>5_3218T6243Y9>?6^<629S724<;Q627>V3::1[8>=4P540?U37;2Z>>>5_5218T0243Y?>?6^:629S1=5V19:1[:>=4P760?U02;2Z=:>5_6608T25:87]9=3:R476=W?=90\:8<;Q547>V00:1[;4<4P918T=643Y2:?6^7229S<055_9518T<343Y3=?6^6729SEA2Wh[[ojht;4R@>3:3=UI5;;255]A=32>5813[K7=<0:;SC?5;3TF4=4>7_O35?78VD:16<1YM1915:PB8=823[K753=4R@O6?WGJKZ=0^LCLS1Z;?WGJKZ:S=55]ALAP4]4?3[KFO^>W349QEHCIm2XJAH@P_`lg4567n2XJAH@P_`lg45679m1YM@KA_^mq4567m2XJAH@P_np34566m2XJAH@P_np34565m2XJAH@P_np34564m2XJAH@P_np34563m2XJAH@P_np34562m2XJAH@P_np34561m2XJAH@P_np34560k2XJAH@Pos2345bTF[{xo7_O\rs]nq}6789o0^L]}r^ov|5678830^LQ?_N@VBd=UIV;;SBLZF`9QEZ76WFH^J45]A^3\KGSA12XJS?Q@BTD:?WGX;VEIYK74R@]7[JDRN01YMR;POCWE=>TFW?UDNXH6;SC\3ZIE]O30^LQ7_N@VB<=UIV3TCO[Ic:PFTIGDMVE@MT;4RNO@W3=UGDIX=;5]OLAP63=UGDIX?;5]OLAP02=UGDIX8U64RNO@W1^602XDAN];X3:8VJKD[=R846\@MBQ7\10b:PP[CUJWOLo>o5]S^DPIZ@Al:h0^^QISL]EBa2e3[YTJ^CPFGf5a>TTWOYFSKHk6,Kmb>TTWOYFSKHk6,Km5g=U[VLXARHId9g8VVYA[DUMJi6"Iod8VVYA[DUMJi6"Io3e?WUXNZGTJKj7-Hl1b>TTWOYFSKHk8,Km70=Umhnr:6\jae{22>Tbims9:6\jae{02>Tbims?:6\jae{62>Tbims=;6\jfEmma464RddGkkcXWfx;<=>>119QacBhflUTc>?01024>TbnMeeiRQ`r12346773[omHb`j_^mq4567<8:0^hhKoog\[jt789:>m6\jfGpliWU7j2XnjK|`mSQ35f=UmoLyc`\\002a?WcaN{ef^^>=b:PfbCthe[Y;?o5]egDqkhTT8=h0^hhIrnoQW53e3[omJabRR25f>TbnOxda_]?7c9Qac@ugdXX<5l4RddEvjkU[93=7_kiRds;?WcaZl{Bbh5]egPfuZhh|9:;=k5]egPfuZhh|9:;=h5]egPfuZiu89:;?h5]egPfuZiu89:;8;5]egWqc==Umo_ykD`9;Sgpqir43ZO_96]X<07^Y33?c8WR:46VCIYK:4Sdlwg>Ubf}UTc>?01f8W`hsWVey<=>?1e9PakrXWfx;<=>=d:QfjqYXg{:;<==k;RgmpZYhz9:;<9j4Sdlw[Ziu89:;9i5\eov\[jt789:=h6]jnu]\kw6789=o7^kat^]lv56781k0_cj]ALAPSW7<\:1_^D64TSKPPDRB<2^YYHl4TR]EWHYANm;n7Y]PFRO\BCb6%@dm7Y]PFRO\BCb6%@d:j6Z\_GQN[C@c9$Ce>o5[S^DPIZ@Al;o0X^QISL]EBa4*Agl0X^QISL]EBa4*Ag;:<6Z\_GQN[C@c:$Ce==h4TR]EWHYANm8&Ec Ga4g9WWZ@TEVLMh?#Fn4d8PVYA[DUMJi<"Io4e?QUXNZGTJKj=-Hl4b>RTWOYFSKHk2,Km?1018Plkbz]ITo`~Pep234546;2^bah|[C^antZcv89:;?<=4ThofvQEXkdzTi|>?01627>Rnelx_ORmbp^gr4567=>1_e`k}_133?Qojm{U;SRoad123447<\`gn~R>P_`lg456798;0Xdcjr^2\[dhc89:;>?369WmhcuW8;;7Ygbes]2[Zgil9:;<?1038PlkbzV;TSl`k012362=SadoyS???;UknawY5WVkeh=>?0038PlkbzV8TSl`k0123547<\`gn~R1_e`k}_233?Qojm{U8SRoad123447<\`gn~R=P_`lg456798;0Xdcjr^1\[dhc89:;>?<4ThofvZKflmUTmij?012\I}iuW[oxyaz31?01?Qojm{UFmijP_`fg4567WDrd~R\jstnw8785:2^bah|PM`fg[Zgcl9:;?01]\ekb789::h6Zfmdp\IdbcWVkoh=>?0^]bja6789;:o6Zfmdp\IdbcWVkoh=>?0^]bja6788;o7Ygbes]NeabXWhno<=>?_^cm`56798;:7Ygbes]\eab789:7=3?>;UknawYXimn;<=>32?32?Qojm{UTmij?012?7;b<\`gn~Road1234`=SadoySl`k012353=RF\YNHl5ZSDP\EIOF[j1^_H\PVHQJFIC43_IH56XFEV]W]UC33_ZJ~n5YP@p\jjr789;o7[^Nr^llp56798<0[l|SD3g?]OKAGR&TIL/0/3#WQSE(9$:,L]LIH18\JT33QEY>;5WSUNJF2=_[]ULBI94XRV\RFE23QUDBH;4X^VQM3=_WSOYC;5Wdc]J`g=_laU[~dcFnwa8\anXX{cfXt~jf:ZglZVuad\n~~g`n028\akXEh`d~[k}shmm55=_ldUFeca}Vdppmjh13Qe7<3?<;Yqw0>\BZF90ocz=;bq0?cue<2cdn`:4in`p=>hFLf@H>j;oCGkprKM9UDNXH>0:lB@jssDL:Tc>?0132?kGCg|~GI=Q`r12344763gKOcxzCE1]lv5678;;:7cOKotvOA5Yhz9:;<>74n@FlqqJB9h1eMIaztMG25`=iIMe~xAK>_N@VB6=iIZ=0bL]PFR@`?kGTWOYISDLZF69mEVYNGKn0bL]PIN@\KGSAMh1eM^QFOCmvp3=iIZe~x45aARmvpZH7i2dJ_b{{_O22a>hF[fSca{0122b>hF[fSca{0122546m7cO\otv\jjr789;>j6`NSnww[kis89:::k5aARmvpZhh|9:;=:h4n@QlqqYig}:;<<6i;oCPkprXff~;<=?67:lBkprHM11eMb{{OD3`?kGh}}ENSBLZF49mF@TU12dII_\PFR@f?kDBZ[UM_OQ@BTD25>hEM[XTJ^LP_np34566:2dII_\PFR@\[jt789::=<5aBDPQ[CUEWVey<=>>139mF@TUWOYISRa}01225440:lAVZOHJVg~t=>?0233?kDUW@EIS`{w0123046hDIZUDNXHl;oABWZhh|9:;=i5aC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Mf:lGPDELWOYISBLZF`9m@QGDCVCDNk5aDUC@OZOHJVCIYKk4nEVBGNYffm:;<=h4nEVBGNYffm:;<=?i;oFWEFMXign;<=>=f:lGPDELWhdo<=>?3d9m@QGDCVddx=>?1g9m@QGDCVddx=>?1048jAoiDLh0bIgaLD]LFP@c3gNbbAKPos2345chCagENSl`k0123b>hCagENSl`k01235c=iL`dDIRoad12347`1eHb{{OD:8jAir|FO:o6`KotvLAZIE]Ol0bIaztNG\ekb789;:<6`KotvLAZgil9:;=>2028jAir|FOTmcj?01301>hNXE8j7cG_L3]JFP@13gC[@?|;;oNGW==iDMYTJ^Lk;oNGWZ@TJVCIYK64nMFP[LIEm2dGH^QFOC]LFP@Bj2dGH^QFOCmvpf=iDMYTmcj?010g?kJC[Vkeh=>?20f8jIBTWhdo<=>=2e9mHAUXign;<=<8j4nMFP[dhc89:9:i5aLEQ\ekb7898hK_LUjbi>?01f8jIQBWhdo<=>?1e9mHRCXign;<=>=d:lOS@Yffm:;<==k;oNTAZgil9:;<9=4nNG7?kIB9=1eCH<7;oMF[JDRN11eC{k}l`{24>hH~lxgmtQaou2344763gE}ibny^llp56798;:7cAyesnb}Zhh|9:;=??>;oMuawjfqVddx=>?1232?kIqm{fjuR``t123511hUIZ?0b_O\189mVDUXGK_M86`]ER`8jWCTW@EIYKKl;oPFWZhh|9:;=i5aRDQ\jjr789;:;6`]ERmvp3=iZFGH_:5aRNO@W4dhUGD]NSBLZF59mQAU?3g_O_RH\Be9mQAUXNZHTEO[I8:lV@VYNGKo0bXJ\_HMA[JDRNLh0bXJ\_HMAkprd3g_O_Road1235a=i]MYTmcj?0132`>hRLZUjbi>?000g?kSC[Vkeh=>?12f8jPBTWhdo<=>>4e9mQAUXign;<=?:d:lV@VYffm:;<<8k;oWGWZgil9:;=:j4nTFP[dhc89::4i5aUEQ\ekb789;2o6`ZDR]mkq6788n0bXJ\_omw45669=1eYZK:;oWTA4ehR_LUjbi>?013g?kSPMVkeh=>?03f8jPQBWhdo<=>?3e9mQRCXign;<=>;4:lUID>hQEHUTc>?015g?kPJIVUd~=>?09a8jSKFWhdo<=>?d:lUIDYffm:;<=?k;oTNEZgil9:;hQXHUBCOQFBTD`?kPWIVkeh=>?0e9mRUGXign;<=>>d:lUTDYffm:;<=m4nWRB[kis89::h6`YP@]mkq6788;87cYJ7:lTAZ@TJj1e[HQISC]JFP@?3gmonxgcd29qeh><=vc109{g<36<=rgx|??0,33<>~nd`dsyc?7;ynm|Zgr|fU;Sujm/bqw*}ddltJK|<7k2:BC|61?2O0?6068b337|f:2=6<5a39592>"40;08;45rS8a97=?=::m1159e2033Z;n57::e;59562e99=1m:87;R;`>13b2>0:?9l>068b33g:0yP=a<40009984>35`242:0806c}T1m08444=548271d68>0j;;?4$257>4ce3_93974=r:=;1<6s+2`09037<,l?1<6*j3;657>"b<3;:m6l<7983>1d=i=0i?vF<739'711=;>20V5753z33>45=9=0v(7n:188k1362900e9<<:188k17a2900c5h50;9l042=831b?o750;9l046=831d?n950;9l7gb=831bmh4?::kfg?6=3`9hi7>5;n1:g?6=3f9jh7>5;h1fe?6=3f>:>7>5;h667?6=3f>997>5;n632?6=3`3:6=44i9`94?=h;0:1<75`38494?=n;lo1<75f3c`94?=h<8i1<75`3e494?=h;o:1<75`42a94?=h;mk1<75`3d194?=n<=h:k?1<7*=a581eg=i:h91>65`2c694?"5i=09mo5a2`197>=h:k91<7*=a581eg=i:h91865`2c094?"5i=09mo5a2`191>=h:k;1<7*=a581eg=i:h91:65`2c294?"5i=09mo5a2`193>=h:hl1<7*=a581eg=i:h91465`2`g94?"5i=09mo5a2`19=>=h:hn1<7*=a581eg=i:h91m65`2`;94?"5i=09mo5a2`19f>=n=j0;6)l=51:9j1<<72-8j87;m;o0b7?4<3`?36=4+2`691g=i:h91?65f5483>!4f<3?i7cl:55c9m6d5==21b9>4?:%0b0?3e3g8j?784;h71>5<#:h>19o5a2`193>=n=80;6)l=59:9j0c<72-8j87;m;o0b7?g<3`>n6=4+2`691g=i:h91n65f4e83>!4f<3?i7cl:55c9m6d5=l21b:o4?:%0b0?3e3g8j?7k4;h4:>5<#:h>19o5a2`19b>=n>>0;6)l:55c9m6d5=9;10e;?50;&1e1<2j2d9m>4>3:9j1c<72-8j87;m;o0b7?7332c>h7>5$3c7>0d5<#:h>19o5a2`1953=5<#:h>1??<4n3c0>4=5<6290;w)=;7;d;?M50k2B8;?5+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|`03`<7280;6=u+3559725<@:=h7E=82:&0<1<5o>13:17b=:188m73=83.9m94=4:l1e6<732c9?7>5$3c7>72o583:1(?o;:368j7g42:10e4;;:k2`?6=,;k?6?:4n3c0>0=h5i:0=76g>b;29 7g32;>0b?o<:698m4g=83.9m94=4:l1e65$3c7>72o6?3:1(?o;:368j7g42k10e<850;&1e1<5<2d9m>4l;:k21?6=,;k?6?:4n3c0>a=h5i:0n76g=c;29 7g32;>0b?o<:g98f61c290:6=4?{%173?`?3A94=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c89778t$264>c6<@:=h7E=82:&242>d5i?0;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<8083>4<729q/?5:539c8k737290/?5:52428?xu5i?0;6?uQ2`4897g12;?;7p}n2;296~Xf:279m;4>049~w7c>3ty247>52z\:<>;5i?0246s|8`83>7}Y0h16>l85989~wc>=838p1?o9:23g?85?938><6srb3`:>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;hj6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3`a>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`22=<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?:n:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5m:0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=e583>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5m<0;684?:1y'711=<;1C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>oal3:1(>6;:026?>i5<<0;6)=74;064>=zj8:86=48:183!53?3>?7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th:?54?:283>5}#;==1>9j4H25`?M50:2.8494=4b9'5`>=3883>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd6;h0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl>3c83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb01`>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f45c290?6=4?{%173?43m2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>i5<<0;6)=74;064>=zj=:h6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb52g>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=:n6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn9>i:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5ll0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=dg83>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd5m90;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=e783>6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`1a2<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f7c?290?6=4?{%173?263A90498mcd=83.8494>0498mce=83.8494>0498k722290/?5:52428?xu6l3:1>vP>d:?2463ty9?7>56z\17>;5j00m563=e48ee>;68:0mi63>3`8e=>;5m?0mm6s|2483>3}Y:<16>oo5f89>6`2=n016===5fg9>56>=nk16>h>5f89~w=5=839pR5=4=36b>cd<5=:o6k74}r;:>5<4sW3270<;8;:b?827i32j7p}>0383>7}Y99801<><:366?xu6;>0;6?uQ1258945c2;>>7p}>3983>7}:9:21>9;4=01g>cd52z?27<<5<<16=>l5fc9~w45f2909w0?;6;m0mo6s|12`94?4|589i6?::;<30g?`e3ty:?n4?:3y>56e=:=?01<=k:gc8yv71?3:1>vP>669>53?=:=?0q~?98;296~;6>109885217;9bg=z{;>36=4={<0727?>7p}=b683>1}Y;;l0R?l8;<14`?`034>;m77n;|q1f=<72:qU>o64=3`a>72234;=57hn;|q1f<<72;q6>o75257897de2ok0q~;5m109885rs3fg>5<5s48oh7<;5:?1a3ik50;0x97bb2;>>706`1=nk1v?k>:187[4b92798l4ia:?1a0<5<<16=>l5f`9~w7c52909w0;5m<0mn6s|2d194?4|5;o86?::;<0f1?`d3ty9i94?:3y>6`2=:=?01?k::gf8yv4b>3:1>v3=e78100=::l21jo5rs3g4>5<5s48n;7<;5:?1a=7}:<9k1555241d9613;o7>52z?74f<5<<168=k5fc9~w16c2909w0:?d;071>;38o0mn6s|41g94?4|5=:n6?::;<63b?`f3ty?=:4?:2y]041<5=:j6l<4=52`>c?>n7>52z\71g=:9?21j45r}c66b?6=;:0?<7;;{I146>"4<>09n;5U888146=9;0v(2900c9=;:188m6?>2900c9?i:188k1732900c>m8:188m=5=831b?o950;9l04e=831b>im50;9l6f?=831d?kh50;9l6de=83.9m94=ac9m6d5=821d>lo50;&1e1<5ik1e>l=51:9l6g3=83.9m94=ac9m6d5=:21d>o:50;&1e1<5ik1e>l=53:9l6g5=83.9m94=ac9m6d5=<21d>o<50;&1e1<5ik1e>l=55:9l6g7=83.9m94=ac9m6d5=>21d>o>50;&1e1<5ik1e>l=57:9l6d`=83.9m94=ac9m6d5=021d>lk50;&1e1<5ik1e>l=59:9l6db=83.9m94=ac9m6d5=i21d>l750;&1e1<5ik1e>l=5b:9j67<72-8j87<>;o0b7?6<3`8;6=4+2`6964=i:h91=65f1d83>!4f<38:7cl:5209m6d5=;21b=n4?:%0b0?463g8j?7:4;h3a>5<#:h>1><5a2`191>=n9h0;6)l=57:9j5=<72-8j87<>;o0b7?><3`;<6=4+2`6964=i:h91565f1783>!4f<38:7cl:5209m6d5=j21b>i4?:%0b0?463g8j?7m4;h0`>5<#:h>1><5a2`19`>=n:k0;6)l=5f:9j6<<72-8j87<>;o0b7?7732c947>5$3c7>775<#:h>1><5a2`1957=h5i:0:?65f1g83>!4f<38:7cd4?m0;6<4?:1y'711=n11C?:m4H251?!5?<393m6*>e98727=hn>0;6)=74;064>=zj;?:6=4::385!53?3l;7E=8c:J037=#99=1i6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>=831b5l4?::k;e?6=3`k96=44o3c5>5<328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb2:2>5<6290;w)=74;1;e>i5=90;6)=74;064>=z{;k=6=4={_0b2>;5i?099=5rs`094?4|Vh801?o9:026?xu>i3:1>vP6a:?1e3<5m01v4650;0xZ<><5;k=6464}r:b>5<5sW2j70v3=a7805a=:;1;1>8>4}|`1ba<72<096;u+3559b5=O;>i0D>9=;%3f5;h;b>5<>i5i?0;66l=a783>3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4080;6<4?:1y'7=2=;1k0c?;?:18'7=2=:<:07p}=a783>7}Y:h<01?o9:373?xuf:3:1>vPn2:?1e3<68<1v4o50;0xZ{t0h0;6?uQ8`9>6d0=101vk650;0x97g12:;o70=71;064>{zj1<1<7=52;6x 6202lo0D>9l;I146>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f<2=8391>7:t$264>`c<@:=h7E=82:&2425<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>9l;I146>"68>0n7)?j8;656>o?i3:17do=:188k7g12900n?o9:186>7<1s-9?;7h>;%3f7>5;h;b>5<3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4?o0;6<4?:1y'7=2=:=h0c?;;:18'7=2=:<:07p}n2;296~Xf:27m=7??5:p{t1h0;6?uQ9`9>b4<5m01v?o::181[4f=27m=7=>d:p6d0=838pR?o9;7373ty8;>4?:3y>b4<>0278;k4=559~yg4=83;1<7>t$252><=#;==1j55+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|q1e3<72;qU>l84=3c5>7g13tyj>7>52z\b6>;5i?0j>6s|8`83>7}Y0h16>l858`9~w0<72:q6>l852`7897g120k01?4i7:&034<6hk4H25`?M50:2.:i54;639j7>5;n0b2?6=3k8j:7>55;092~"4<>0m=6*>e98727=h:h<1<75f2`794?=ni;0;66g6a;29?l>f2900nk?50;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a72`=83;1<7>t$2:7>72e3f8>87>5$2:7>73732wxm?4?:3y]e7=:n80:<85rs9c94?4|V1k01k?5989~w52z\1e0=:n808=i5rs3c5>5<5sW8j:63i1;064>{t;>91<7l1>8:4}|`1>5<6290;w)=81;;8 6202o20(>6;:2:b?!7b03>=>6ai7;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=i;1v5o50;0xZ=g<5;k=65o4}r794?5|5;k=6?o:;<0b2??f3481j:5+3639g>{zj;oj6=4<:387!53?3on7E=8c:J037=#99=1i6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn?km:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb3g`>5<42;0?w)=;7;gf?M50k2B8;?5+1159a>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f7cc29086?4;{%173?cb3A97>5;n0b2?6=3k8j:7>55;092~"4<>0m=6*>e98727=h:h<1<75f2`794?=ni;0;66g6a;29?l>f2900nk?50;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a72`=83;1<7>t$2:7>72e3f8>87>5$2:7>73732wxm?4?:3y]e7=:n80:<85rs9c94?4|V1k01k?5989~w52z\1e0=:n808=i5rs3c5>5<5sW8j:63i1;064>{t;>91<7l1>8:4}|`1>5<6290;w)=81;;8 6202o20(>6;:2:b?!7b03>=>6ai7;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=i;1v5o50;0xZ=g<5;k=65o4}r794?5|5;k=6?o:;<0b2??f3481j:5+3639g>{zj;on6=4<:387!53?3on7E=8c:J037=#99=1i6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn?h>:180>7<3s-9?;7kj;I14g>N4?;1/==95f:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb53g>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=9>6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb523>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj:ij6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb370>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;hn6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3aa>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=8;6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn9>8:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f16?29086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj;lm6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?mj:180>5<7s-9?;7<;d:J03f=O;>80(>6;:36`?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb514>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=936=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb51:>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj=9j6=4::183!53?3>97E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th?5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`746<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`740<72<0;6=u+355907=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:keg?6=,:2?6<>:;:ke`?6=,:2?6<>:;:m100<72-9387<:0:9~f7`429096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn?h;:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`:2?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a=2<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd5n90;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj:::6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>>=:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f66429096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>>;:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`750<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`04<<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>>m:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f66d29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>>k:180>5<7s-9?;7<;d:J03f=O;>80(>6;:36`?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb22f>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`04c<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f67729086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj::36=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th9o54?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?m?:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`1g7<72;0;6=u+355954?<@:=h7E=82:&0<14?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`1g1<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd5k<0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj;i=6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821v5=50;5xZ=5<51<1m?522439e7=::<91j4523159b<=:;9k1jo522b09b<=z{1<1<75<5s43?6?o9;<1`e?`>3ty297>52z?:0?g5343<6?::;|q:2?6=:r72:7<;5:?:3?`e3ty257>5ez\:=>;5=803m63=bb8;e>;5nm03m6376;:b?8?321k01?ml:9c897cf21k01?km:9c897cd21k01?kk:9c897cb21k01?h>:9c897ee2o30q~<:1;296~;5=809m;522b19b<=z{;?96=4={<065???348>?7<;5:p6d?=838pR?o6;_0g?xu5ih0;6?uQ2`c8Z4e52z\1ea=Y9<1v?oj:181[4fm2T:n6s|2`d94?5|V8o0R?oi;_0bg>{t:k:1<75<5sW8i?6P>9:p6g2=838pR?l;;_3g?xu5j<0;6?uQ2c78Z4g54z?1ff<5i?168>o5f`9>053=nh16?=75f89~w7dc2909w07223ty9o=4?:3y>6f6=:=?01?m9:gc8yv4d93:1>v3=c08100=::j?1jl5rs3a1>5<5s48h>7<;5:?1g1n=50;0x97e42;>>706kl4}r0`1?6=:r79o84=449>6f0=nk1v?m8:18184em3l270{t:j31<7;t^3a:?850l3l<70<:1;;b?84ek33j709;4}r0`g?6=:r79on4=a79>043=n01v?mk:18184dk3k970{t:mi1<77}::lk1>l84=3gg>d453z?1ad757=nk1v?kl:18784bj3k970;5kl0mn63<008ee>{t:ln1<7=t=3gg>7g1348m97hn;<0`5?`e3ty9ih4?:5y>6`e=i;16>hk52`4897eb2ok01495f`9~w7ca2909w0cg<5;i36ko4=3a3>cd<5;i:6ko4}r0e6?6=:r79j<4n2:?1b0<5<<1v?h<:18184a;38?963=f58ef>{t:o>1<7722348m97hm;|q1ba<72;q6>kj52`48966d2o30q~63=fg8100=z{::;6=4={<0e`???349;97<;5:p757=838p1>>>:366?857=3lj7p}<0383>7}:;981>9;4=227>cg52z?046<5<<16?=:5fc9~w6632909w0=?4;071>;48<0mn6s|31494?0|5;l86k74=849bg=::o:1jo5231:9613<5;i36kl4=3a3>cg52z?042<5<<16?=j5f`9~w66>2909w0=?9;071>;48m0mn6s|31c94?4|5::j6?::;<13a?`f3ty875d=:=?01>>j:g`8yv57k3:1>v3<0b8100=:;921jo5rs22g>5<5s49;h7<;5:?04c>70=>0;da?xu48o0;6?u231d9613<5:;;6ko4}r124?6=:r78==4=449>75>=nh1v>?=:187[56:279jk4ib:?047pR>?m;<0eb?`f349;?7h6;<13b?`f3ty8544?:4y]7cg<5=9<6k74=521>c?52z\0g2=:;j=1>l84}r1`=?6=:r78o:4n2:?0gd<5<<1v>kk:181[5bl27?<94i9:p7c`=838pR>hi;<634?43=2wx8=?50;0x91672o3019>::366?xu38;0;6?u24109613<5=:>6kl4}r637?6=:r7?<>4=449>053=nj1v9>;:181827<38?963;048e`>{t<9<1<76?::;|q75f<72;qU87223ty?=k4?:3y]04`<5=8;6?::;|q767<72;qU8?<4=51:>c?887>52z\771=:<:?1>9;4}r602?6=:r7??84i9:?77d<5<<1v9=8:181824?38?963;3`8ef>{t<:21<772234>8m7hl;|q77<<72;q68>752578915f2on0q~::b;291~X3=k168?>5f`9>051=n0168>65f89>055=n01vqo=7d;29a?7228ipD>9=;%173?`13-;n;7=<3:m715<722c:::4?::m0gg<722c::l4?::k0=<<722e3?7>5;hg`>5<5<5<5<5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo:8:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb573>5<32;0>w)=;7;d1?M50k2B8;?5af582?!7b03>=>6g7a;29?lg52900e?o::188k7g12900n?o9:186>7<1s-9?;7h>;%3f7>5;h;b>5<3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4?o0;6<4?:1y'7=2=:=h0c?;;:18'7=2=:<:07p}n2;296~Xf:27m=7??5:p{t1h0;6?uQ9`9>b4<5m01v?o::181[4f=27m=7=>d:p6d0=838pR?o9;7373ty8;>4?:3y>b4<>0278;k4=559~yg7=83;1<7>t$252>==#;==1j55+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|q1e3<72;qU>l84=3c5>7g13ty9m84?:3y]6d3<5;k=6?o:;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v94?:3y>6d0=1h16=7h8;%145?d52;294~"4<>0:=45G36a8L6153-938768;%3f5$2:7>46232e9884?:%1;0?4282B84>54}c:6>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f1d=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi?i;50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a00g=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi854?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`0`4<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`0`6<72=0;6=u+355904=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:keg?6=,:2?6<>:;:m100<72-9387<:0:9~f13129086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd3=>0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl;5983>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb3d4>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;l36=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3d:>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj;lj6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb3da>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f7`d290?6=4?{%173?263A90498mcd=83.8494>0498mce=83.8494>0498k722290/?5:52428?xu3?3:1>v3;7;0b2>;?=3lj7p};9;296~;3?32j70:7:366?xu3i3:1>v3;7;c1?82e2;>>7p}73;290~X?;27397<;5:?0`6<03li7p}>6183>7}Y9?:01?hn:g;8yv71?3:1>vP>669>6c1=n01v<8n:181[71i279j54i9:p6ae=838pR?jl;<0e=?`>3ty9j;4?:2y>7a7=n0168895f89>6ce=:=?0q~0988522g`9bd=z{;l36=4={<0e7}::ok1>9;4=3d`>ce52z?1bg<5<<16>km5fc9~w6?>290?wS=69:?715<5i<16494i9:?7f?`>3ty8oo4?:3y]7fd<5:ii6?o9;|q0`5<72;q6?i;5f89>7a5=:=?0q~=k1;296~;4l80988523e19bd=z{:n96=4={<1g6?43=278h>4ic:p7a2=838p1>mm:`0896b22;>>7p};5183>7}Y<<:019;?:3c5?xu3=<0;6?u244c9b<=:<<21>9;4}r662?6=:r7?9;4=449>00>=nh1v9;8:181822?38?963;598ef>{t<<31<7d4<5=?j6?::;|a7=`=83;=65:591yK724<,:><6<=;;%3f3?54;2c257>5;h304?6=3`;=;7>5;h32g?6=3`;?47>5;n35e?6=3`9257>5;h:0>5<5<5<5<6=44i3f`>5<5<>d4?m0;6<4?:1y'711=n11C?:m4H251?!5?<393m6*>e98727=hn>0;6)=74;064>=zj:h:6=4::385!53?3l;7E=8c:J037=#9l218;<4i8:94?=n1h0;66g7a;29?lg52900c?o9:188f7g1290=6=4?{%1;0?`43`;;97>5$2:7>46232c247>5$2:7><><3`326=4+3969=<=5$2:7>7c>32e99=4?:%1;0?42821vn>6>:182>5<7s-9387=7a:m115<72-9387<:0:9~w7g12909wS:;|q:e?6=:rT2m63=a781a<=z{021<7f348j:776;|qe7=7=:<:0qpl<2483>0<52?q/?995f19K72e<@:=97)??7;g8 4c?2=<97d77:188m5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi?n4?:481>3}#;==1j=5G36a8L6153-;n47:92:k:>d5i?0;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<8083>4<729q/?5:539c8k737290/?5:52428?xu5i?0;6?uQ2`4897g12;?;7p}n2;296~Xf:279m;4>049~w7c>3ty247>52z\:<>;5i?0246s|8`83>7}Y0h16>l85989~wc>=838p1?o9:23g?85?938><6srb31f>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqoo?:180>7<3s-9?;7kj;I14g>N4?;1/=h654708m=g=831bm?4?::m1e3<722h9m;4?:481>3}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk;=<7>55;092~"4<>0m<6F<7b9K724<,8o3698=;h;;>5<>of:3:17b3:1:7>50z&0<15$2:7>5$2:7>67c32c9i44?:%1;0?4b121d>8>50;&0<1<5=910qo=71;295?6=8r.8494<8`9l606=83.8494=5198yv4f>3:1>vP=a79>6d0=:<:0q~o=:181[g5348j:7??5:p=d<72;qU5l522`496`?3337p}7a;296~X?i279m;469:pb=<72;q6>l8530f896>62;?;7psm17c94?3=:3:8:g28L61d3A9<>6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi=8m50;796?0|,:><6k>4H25`?M50:2.:i54;639j==<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}c3;N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e9=k1<7=52;6x 6202lo0D>9l;I146>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f7c=8391>7:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb0a3>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?;b;297?4=80(f2900el<50;9l6d0=831i>l850;796?0|,:><6k?4$0g;>1053f8j:7>5;h0b1?6=3`k96=44i8c94?=n0h0;66li1;292?6=8r.8494i3:k240<72-9387??5:9j==<72-938777;:k:=?6=,:2?6474;h12`?6=,:2?6>?k;:k1a<<72-938750z&0<1<58:50;&0<1<5=910q~o=:181[g534l:6<>:;|q;e?6=:rT3m63i1;;:?xu>i3:1>vP6a:?e5?4b12wx>l;50;0xZ7g234l:6>?k;|q1e3<72;qU>l84=g3960652z?e5???349"4<>0m46*<85803k97p}7a;296~X?i279m;47a:p1?6=;r79m;4=a49>6d0=1h16>7h8;%145?e1}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>9l;I146>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f44a29086?4;{%173?cb3A97>5;n0b2?6=3k8j:7>55;092~"4<>0m=6*>e98727=h:h<1<75f2`794?=ni;0;66g6a;29?l>f2900nk?50;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a72`=83;1<7>t$2:7>72e3f8>87>5$2:7>73732wxm?4?:3y]e7=:n80:<85rs9c94?4|V1k01k?5989~w52z\1e0=:n808=i5rs3c5>5<5sW8j:63i1;064>{t;>91<7l1>8:4}|`1>5<6290;w)=81;;8 6202o20(>6;:2:b?!7b03>=>6ai7;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=i;1v5o50;0xZ=g<5;k=65o4}r794?5|5;k=6?o:;<0b2??f3481j:5+3639g>{zj:9?6=4<:387!53?3on7E=8c:J037=#99=1i6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn>=7:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb2fa>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj8?n6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb0f0>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj:h?6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb2g94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a713=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi?9850;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=ke;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm3ed94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;l:1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm3d394?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1f6?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a527=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg70:3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?83;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm32c94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;:i1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi?>j50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg54n3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo=;0;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm35394?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c176?6=;3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg53;3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e;:h1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi?>850;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=<7;297?6=8r.88:4=4e9K72e<@:=97)=74;07g>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1a2?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a7g1=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi?o650;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm17a94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9?n1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm17g94?2=83:p(>:8:36f?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;hd`>5<#;1>1==;4;n071?6=,:2?6?;?;:a534=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg71;3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?94;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg71=3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e9?<1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=i950;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?ke;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7cn3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?j0;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7b93:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?j2;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7b;3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?j4;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7b=3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=i750;694?6|,:><69?4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo?ka;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1e`94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5ae=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg7cl3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=:l50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a52e=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=:j50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?8e;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm16d94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e91:1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm19394?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9181<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=:850;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?87;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm16:94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a52?=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg70i3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=5l50;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm19a94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e91n1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm19g94?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c3;b?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5<6=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg73l3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e9<>1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm14794?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9<<1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=8950;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a50>=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=8750;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a50g=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg72j3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;e;290?6=8r.88:4;1:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07b<;5;29 6>32;?;76sm15d94?2=83:p(>:8:538L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965ffb83>!5?<3;;965`25794?"40=099=54}c364?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a507=8391<7>t$264>72c3A96;:373?>{e9<81<7=50;2x 6202=:0D>9l;I146>"40=08j6*>e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?7>54;294~"4<>098h5G36a8L6153-9387<;c:&2a=<3>;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo?l2;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1b;94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9jk1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm1b`94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5fe=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=nj50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5fc=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=nh50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?k0;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7d;3:187>50z&002<392B8;n5G3608 6>32:l0(6;:373?>{e9j>1<7:50;2x 6202=;0D>9l;I146>"40=08j6*>e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=nnj0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=n850;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1b594?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c3`i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg55?3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo==8;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg5513:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo==a;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg55j3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e;;i1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi??j50;694?6|,:><69?4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo==e;297?6=8r.88:4=4e9K72e<@:=97)=74;07g>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}r0f>5<5sW8n70278?n4ia:?22f7f<>0278i7<;5:p<6<72jqU4>523379e7=:9?k1m?521729e7=:;k>1j4521639bg=:;:n1jo523c59b<=:9?n1j4521769b<=:91k1jl5233c9b<=z{031<7?;{_;:?85e932j70==5;:b?85d21k01?=j:9c89d6=0h16=;>58`9>53g=0h16=i?58`9>50e=0h16=5658`9>51g=0h16>h47a:?2g5e5<5i?16?h4ia:?22`e5c:?26c3li70?ke;d:?870j3l27p}>3183>7}Y9::01>l9:g`8yv74?3:1:vP>369>7ac=nh16=:?5f`9>76b=nh16=5o5fc9>77b=nk1v<:7:181[73027:8l4n2:p51?=838p1<:n:3c5?871:3lj7p}>4c83>1}Y9=h01<:m:3c5?873l3lj70?;e;da?xu69;4}r37`?6=:r7:8i4=449>505=nk1v<:j:181873m38?963>508ee>{t9=l1<772234;>=7hm;|q215<72;q6=8>5257894342oi0q~?:1;296~;6=80988521409bd=z{8?96=4={<366?43=27:9>4ia:p502=838p1<;;:366?873m3lj7p}>5483>7}:99;4=06f>ce:7>52z?213<5<<16=9h5fc9~w4302909w0?:7;071>;650?=:=?01<;=:g`8yv72i3:1>v3>5`8100=:9<:1jl5rs07a>5<5s4;>n7<;5:?2159;4}r354?6=:rT::=5217296d052z?225<>027::;4=449~w4052909w0?92;071>;6><0mm6s|17194?4|58<86?::;<351?`e3ty::94?:3y>532=:=?01<89:g`8yv71=3:1>v3>648100=:9?<1jl5rs044>5<3sW;=;63>628e=>;60l0mm63<2b8ee>{t9?k1<77223ty::n4?:3y>53e=:=?01<8j:gc8yv71l3:1>v3>6e8100=:9?o1jn5rs04e>5<4sW;=j63>6g81e3=:9>?1jl5rs053>5<0s4;:366?870;3lj7p}>7383>7}:9>81>9;4=050>cd52z?22c;6?>0mm6s|16494?4|58==6?::;<34521=:=?01<9n:gc8yv7003:1>v3>798100=:9>31jo5rs05:>5<5s4;<57<;5:?23d>70?72;db?xu6?j0;6?u216a9613<58296kl4}r34`?6=:r7:;i4=449>52>=nh1v<9j:181870m38?963>778ee>{t9>l1<772234;<:7hm;|q2<5<72;q6=5>52578941>2ok0q~?71;296~;60809885216;9bf=z{8296=4={<3;6?43=27:;:4ib:p5=>=839p1<67:3c5?87b83l270?8d;da?xu6000;6?u219c9613<583;6kl4}r3;e?6=:r7:4o4=449>5=c=nk1v<6m:18187?k38?963>8g8ee>{t91i1<772234;3j7hm;|q2;6k909m;521b09bd=:9j91jo5rs0a2>5<5s4;h<7o=;<3`>70?l8;da?xu6k:0;6?u21b19613<58i=6ko4}r3`0?6=:r7:o94=449>5f0=nk1vc98eg>{t9j<1<772234;h;7hn;|q2g2<72;q6=n95257894e?2ok0q~?l9;296~;6k00988521b19bd=z{8ij6=4={<3`e?43=27:o>4ic:p5fd=838p1cb83>7}:9ji1>9;4=0a7>cg52z?2ga<5<<16=n:5fb9~w4eb2909w0?le;071>;6k>0mn6s|1bd94?4|58im6?::;<3`1?`f3ty:h=4?:3y>5a6=:=?01v3>d081e3=:9jl1jl5rs0f1>5<5s4;o=7o=;<3g7?43=2wx=i;50;1xZ4b234;o970;6?u21e59613<58nj6ko4}r3g5ad=nh1vdc8ef>{t9mk1<772234;oh7hn;|q2`g<72;q6=il5257894bd2oh0q~?kc;296~;6lj0988521ef9bg=z{8nn6=4={<3ga?43=27:i84ia:p5a`=838p1e183>7}:9l:1>9;4=0f;>cd52z?2a4<5<<16=i75f`9~w4c52909w0?j2;071>;6l00mo6s|1d194?4|58o86?::;<3gg?`f3ty:i94?:3y>5`2=:=?01v3>e48100=:9mk1jo5rs31f>5<2s49h6l<4=31f>7g134;o=777;<36g???349n6kl4}r00b?6=;r79?h4n2:?2<=<>027:5=4=449~w7252902w0=8d;d4?85e933j70==5;;b?85d20k01<8?:8c8940f20k01:8c8943d20k01<67:8c8yv4ck3:1>vP=db9>7`6=n01v><::185855=38j:63>738e=>;4;l0m563;6>;0mn63>8e8e=>{t;;<1<7<><5:8n6?::;|q062<72;q6??952578964e2ok0q~==8;296~;4:109885233`9bg=z{:826=4={<11=?43=278>i4ic:p77g=838p1>7}:;;h1>9;4=20`>cd52z?06f<5<<16??j5f`9~w64c2909w0==d;071>;4:l0mm6s|32694?77s49887;50;0x96532h801>=8:366?xu4;?0;6?u23249613<5:9<6kl4}r10h4n2:?07=<5i?16?985f`9>76e=nk16?9>5f`9>761=nh16=i95fc9>5`2=n016=:;5fc9>5=7=n016=5l5f`9>502=n016=885f`9>5fg=n016=nl5f`9>771=n01v>=6:18185403k970={t;:k1<7722349?<7hm;|q07f<72;q6?>m5257896252ok0q~==i:366?853;3li7p}<4183>7}:;=:1>9;4=21a>cg52z?004<5<<16?9<5fc9~w6252909w0=;2;071>;4<:0mm6s|35194?4|5:>86?::;<10f?`e3ty8894?:3y>713=:=?01>:9:g`8yv5>13:1>vP<989>7a`=n01v>l>:181[5e9278n<4=a79~w6d42909w0=m1;c1?85e<38?96s|3c794?4|5:h:6464=2`;>7223ty8n;4?:3y>7g0=:=?01>l7:gc8yv5e?3:1>v35<5sW9om63349n>7<;5:p7ac=838p1>jj:366?85b:3lj7p}7}:;ml1>9;4=2g2>cg52z?0a5<5<<16?h?5fc9~w6c62909w0=j1;071>;4m;0mn6s|3df94?5|V:oo70?:e;d:?872?3l27p};2383>6}Y<;8017ac=nk16=h<5f89>52c=nk1vqo=7e;2953wE=82:&002<6;:1/=h953218k4572900e9;?:188k6?a2900e>mm:188k47d2900elk50;9j041=831d=>k50;9j=4<722c::k4?::k;f?6=3f9nh7>5;h36b?6=3`=i6=44o501>5<5<5<:8:g:8L61d3A9<>6*<8580N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{ek10;6>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c897i0D>9=;%3f5;hc1>5<5<22;0=w)=;7;d2?!7b03>=>6a=a783>>o5i<0;66gn2;29?l?f2900e5o50;9ab4<72?0;6=u+3969b6=n99?1<7*<858240==n100;6)=74;;:?>o49m0;6)=74;12`>=n:l31<7*<8581a<=5}#;1>1>9l4o377>5<#;1>1>8>4;|qb6?6=:rTj>63i1;331>{t0h0;6?uQ8`9>b4<>12wx5l4?:3y]=d=:n809i45rs3c6>5<5sW8j963i1;12`>{t:h<1<77}:n802463<7g8111=zuk81<7?50;2x 616201/?995f99'7=2=;1k0(u22`496d3<5;k=64o4=38e3>"4?80h7psmc183>6<52=q/?995ed9K72e<@:=97)?j8;656>o?i3:17do=:188k7g12900n?o9:186>7<1s-9?;7h>;%3f7>5;h;b>5<3<729q/?5:5f29j553=83.8494>0498m<>=83.849468:9j=<<72-938776;:k05a<72-9387=>d:9j6`?=83.8494=e898k737290/?5:52428?xd4?o0;6<4?:1y'7=2=:=h0c?;;:18'7=2=:<:07p}n2;296~Xf:27m=7??5:p{t1h0;6?uQ9`9>b4<5m01v?o::181[4f=27m=7=>d:p6d0=838pR?o9;7373ty8;>4?:3y>b4<>0278;k4=559~yg4=83;1<7>t$252><=#;==1j55+39697=g<,8o3698=;nd4>5<#;1>1>8>4;|q1e3<72;qU>l84=3c5>7g13tyj>7>52z\b6>;5i?0j>6s|8`83>7}Y0h16>l858`9~w0<72:q6>l852`7897g120k01?4i7:&0341}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c8977:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb0;2>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?j6;297?4=80(f2900el<50;9l6d0=831i>l850;796?0|,:><6k?4$0g;>1053f8j:7>5;h0b1?6=3`k96=44i8c94?=n0h0;66li1;292?6=8r.8494i3:k240<72-9387??5:9j==<72-938777;:k:=?6=,:2?6474;h12`?6=,:2?6>?k;:k1a<<72-938750z&0<1<58:50;&0<1<5=910q~o=:181[g534l:6<>:;|q;e?6=:rT3m63i1;;:?xu>i3:1>vP6a:?e5?4b12wx>l;50;0xZ7g234l:6>?k;|q1e3<72;qU>l84=g3960652z?e5???349"4<>0m46*<85803k97p}7a;296~X?i279m;47a:p1?6=;r79m;4=a49>6d0=1h16>7h8;%145?e4?:281>1}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c8977:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb2gg>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo=6f;297?4=80(f2900el<50;9l6d0=831i>l850;796?0|,:><6k?4$0g;>1053f8j:7>5;h0b1?6=3`k96=44i8c94?=n0h0;66li1;292?6=8r.8494i3:k240<72-9387??5:9j==<72-938777;:k:=?6=,:2?6474;h12`?6=,:2?6>?k;:k1a<<72-938750z&0<1<58:50;&0<1<5=910q~o=:181[g534l:6<>:;|q;e?6=:rT3m63i1;;:?xu>i3:1>vP6a:?e5?4b12wx>l;50;0xZ7g234l:6>?k;|q1e3<72;qU>l84=g3960652z?e5???349"4<>0m46*<85803k97p}7a;296~X?i279m;47a:p1?6=;r79m;4=a49>6d0=1h16>7h8;%145?e1}#;==1ih5G36a8L6153-;n47:92:k;e?6=3`k96=44o3c5>5<i5i?0;66g=a483>>of:3:17d7n:188m=g=831ij<4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`03c<7280;6=u+396961d7>52z\b6>;a93;;96s|8`83>7}Y0h16j<469:p=d<72;qU5l52f081a<=z{;k>6=4={_0b1>;a939:h6s|2`494?4|V;k=70h>:373?xu4?:0;6?u2f08:<>;4?o09995r}c094?7=83:p(>9>:89'711=n11/?5:539c8 4c?2=<97bh8:18'7=2=:<:07p}=a783>7}Y:h<01?o9:3c5?xuf:3:1>vPn2:?1e36}::h<1>l;4=3c5>0;6>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c8977:t$264>`c<@:=h7E=82:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb013>5<32;0>w)=;7;ge?M50k2B8;?5+1d:9034>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl<:182>5<7s-9<=7o4$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7f348j:76n;|q5>5<5s48j:7c1<,:=:6i5r}c30a?6=<3819v*<468fb>N4?j1C?:<4$0g;>1053`3j6=44i9c94?=ni;0;66a=a783>>d5i?0;684=:7y'711=n81/=h654708k7g12900e?o::188md4=831b5l4?::k;e?6=3kl:6=49:183!5?<3l87d??5;29 6>328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb25e>5<6290;w)=74;07f>i5==0;6)=74;064>=z{h81<7f34l:6474}r;b>5<5sW3j70h>:3g:?xu5i<0;6?uQ2`789c7=;8n0q~:8:8961a2;??7psm3;295?6=8r.8;<4n;%173?`?3-9387=7a:&2a=<3>;1dj:4?:%1;0?42821v?o9:181[4f>279m;4=a79~wd4=838pRl<4=3c5>d433j7p}7a;296~X?i279m;47a:p2?6=:r79m;4=a49>7?`03-9<=7j4}|`b2?6=;3818v*<468fa>N4?j1C?:<4$024>`=#9l218;<4i9c94?=ni;0;66a=a783>>d5i?0;684=:7y'711=n81/=h654708k7g12900e?o::188md4=831b5l4?::k;e?6=3kl:6=49:183!5?<3l87d??5;29 6>328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb25e>5<6290;w)=74;07f>i5==0;6)=74;064>=z{h81<7f34l:6474}r;b>5<5sW3j70h>:3g:?xu5i<0;6?uQ2`789c7=;8n0q~:8:8961a2;??7psm2;295?6=8r.8;<46;%173?`?3-9387=7a:&2a=<3>;1dj:4?:%1;0?42821v?o9:181[4f>279m;4=a79~wd4=838pRl<4=3c5>d432j7p}::18084f>38j963=a78:e>;52o=0(>9>:b9~yg7f93:1?7<54z&0023}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk;j>7>53;090~"4<>0ni6F<7b9K724<,8:<6h5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?n7;297?4=80(<>8:d9'5`>=5<6=44i`094?=n1h0;66g7a;29?g`6290=6=4?{%1;0?`43`;;97>5$2:7>46232c247>5$2:7><><3`326=4+3969=<=5$2:7>7c>32e99=4?:%1;0?42821vn>9i:182>5<7s-9387<;b:m111<72-9387<:0:9~wd4=838pRl<4=g39553l;4=g3974b52z\1e3=:n8099=5rs250>5<5s4l:6464=25e>7333twi>7>51;294~"4?8027)=;7;d;?!5?<393m6*>e98727=hn>0;6)=74;064>=z{;k=6=4={_0b2>;5i?09m;5rs`094?4|Vh801?o9:`08yv>f2909wS6n;<0b2?>f3ty>6=4<{<0b2?4f=279m;46a:?1>c1<,:=:6n5r}c3bb?6=;3818v*<468fa>N4?j1C?:<4$024>`=#9l218;<4i9c94?=ni;0;66a=a783>>d5i?0;684=:7y'711=n81/=h654708k7g12900e?o::188md4=831b5l4?::k;e?6=3kl:6=49:183!5?<3l87d??5;29 6>328:>76g68;29 6>320207d76:18'7=2=1010e>?k:18'7=2=;8n07d32;o276a=5183>!5?<38><65rb25e>5<6290;w)=74;07f>i5==0;6)=74;064>=z{h81<7f34l:6474}r;b>5<5sW3j70h>:3g:?xu5i<0;6?uQ2`789c7=;8n0q~:8:8961a2;??7psm2;295?6=8r.8;<46;%173?`?3-9387=7a:&2a=<3>;1dj:4?:%1;0?42821v?o9:181[4f>279m;4=a79~wd4=838pRl<4=3c5>d432j7p}::18084f>38j963=a78:e>;52o=0(>9>:b9~yg7e83:1?7<54z&0023}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk;i=7>53;090~"4<>0ni6F<7b9K724<,8:<6h5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqo?m8;297?4=80(<>8:g9'5`>=5<6=44i`094?=n1h0;66g7a;29?g`6290=6=4?{%1;0?`43`;;97>5$2:7>46232c247>5$2:7><><3`326=4+3969=<=5$2:7>7c>32e99=4?:%1;0?42821vn>9i:182>5<7s-9387<;b:m111<72-9387<:0:9~wd4=838pRl<4=g39553l;4=g3974b52z\1e3=:n8099=5rs250>5<5s4l:6464=25e>7333twi>7>51;294~"4?8027)=;7;d;?!5?<393m6*>e98727=hn>0;6)=74;064>=z{;k=6=4={_0b2>;5i?09m;5rs`094?4|Vh801?o9:`08yv>f2909wS6n;<0b2?>f3ty>6=4<{<0b2?4f=279m;46a:?1>c1<,:=:6n5r}caf>5<42808wE=82:&002<6mj1bm94?::kb1?6=3f9:o7>5;c1;i0D>9=;%1;0?50i2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yvg32909wSo;;<1;52z\b1>;4010mm6s|30a94?4|V:;h70=78;071>{zjjn1<7=51;1xL6153-9?;7?jc:kb0?6=3`k>6=44o23`>5<9n;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vl:50;0xZd2<5:236kl4}rc6>5<5sWk>70=78;db?xu49j0;6?uQ30a896>?2;>>7psmcb83>6<62:qC?:<4$264>4cd3`k?6=44i`794?=h;8i1<75m39:94?5=83:p(>:8:25a?M50k2B8;?5+396972g<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:pe1<72;qUm95239:9bg=z{h?1<7?2ok0q~=>c;296~X49j16?5652578yxd4m=0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl>8483>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd60?0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl>8683>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2f:>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f61029096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn<==:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f6`b29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn9=<:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f12729096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vnno50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:agg<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~ff0=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wio:4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vnn<50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:ag6<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~fg>=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wih=4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vni<50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=n1;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7>;3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?64;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg7>=3:187>50z&002<392B8;n5G3608 6>32:l0(6;:373?>{e9kk1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=ol50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5ge=8391<7>t$264>72c3A96;:373?>{e9kn1<7=50;2x 6202=:0D>9l;I146>"40=08j6*>e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>098i5G36a8L6153-9387<;c:&2a=<3>;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo?m3;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1c694?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e9k?1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm1c494?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c3a3?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5d?=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=lo50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5dd=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg7fk3:1?7>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi=lk50;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm1`694?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a5d3=8381<7>t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=l850;694?6|,:><69?4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21d>9;50;&0<1<5=910qo:;b;291?6=8r.88:4;2:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07dhk:18'7=2=99?07b<;5;29 6>32;?;76sm45a94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e<=n1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm45g94?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c67b?6=;3:1N4?j1C?:<4$2:7>6`<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:ae=<72<0;6=u+355961`<@:=h7E=82:&0<1<50498mcd=83.8494>0498mce=83.8494>0498mcb=83.8494>0498k722290/?5:52428?xdf13:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{eih0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907plnb;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76smab83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb`f94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a7c2=8391<7>t$264>72c3A96;:373?>{e;o?1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm3g494?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1e3?6=:3:1i0D>9=;%1;0?>03-;n47:92:ke=?6=,:2?6<>:;:m100<72-9387<:0:J0<6=53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi?k750;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=ia;297?6=8r.88:4=4e9K72e<@:=97)=74;07g>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c1ef?6=<3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg5ak3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{e<;31<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi8?o50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a07d=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg25k3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo:=d;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm43g94?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c61b?6=;3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg2483:187>50z&002<5e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=nnj0;6)=74;331>=h:=?1<7*<858115=8=7>53;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi89<50;794?6|,:><69<4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21bjn4?:%1;0?77=21bji4?:%1;0?77=21d>9;50;&0<1<5=910qo:;3;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg23<3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo:;5;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg23>3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo:;7;297?6=8r.88:4;0:J03f=O;>80(>6;:2d8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm45:94?5=83:p(>:8:36g?M50k2B8;?5+396961e<,8o3698=;hdb>5<#;1>1==;4;hda>5<#;1>1==;4;n071?6=,:2?6?;?;:a01?=83>1<7>t$264>17<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9jbf<72-9387??5:9l613=83.8494=5198yg7383:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;1;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg73:3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;3;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg73<3:1>7>50z&002<6901C?:m4H251?!5?<32<7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>N40:10qo?;5;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg73>3:1:7>50z&002<3;2B8;n5G3608 6>32:l0(6;:373?>{e9==1<7:50;2x 6202;>n7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3`lh6=4+3969553<3f8?97>5$2:7>73732wi=4950;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=4o50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=4m50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5t$264>47>3A91/=h654708mc?=83.8494>0498k722290/?5:52428L6>432wi=4k50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a5<`=8391<7>t$264>16<@:=h7E=82:&0<1<4n2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198yg7f83:147>50z&002<3=2B8;n5G3608 6>32:l0(6;:026?>i5<<0;6)=74;064>=zj8336=4;:183!53?38?i6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232cmo7>5$2:7>46232e9884?:%1;0?42821vn><50;794?6|,:><6?:i;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07dhk:18'7=2=99?07b<;5;29 6>32;?;76sm3583>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2794?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;?0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl<7;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298yg5?29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>750;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qo=n:186>5<7s-9?;7:=;I14g>N4?;1/?5:53g9'5`>="6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c10>5<4290;w)=;7;07`>N4?j1C?:<4$2:7>72d3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f47b29086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj88<6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb00;>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj8826=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th:>l4?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`26g<72;0;6=u+355954?<@:=h7E=82:&0<1n4?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`26a<72;0;6=u+355954?<@:=h7E=82:&0<1h4?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`25c<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f44729086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd6:80;6;4?:1y'711=<:1C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>oal3:1(>6;:026?>oam3:1(>6;:026?>i5<<0;6)=74;064>=zj8896=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn<<<:180>5<7s-9?;7<;d:J03f=O;>80(>6;:36`?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb007>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`260<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd6:?0;694?:1y'711=:=o0D>9l;I146>"40=098n5+1d:9034>6=4+3969606<3th8m>4?:283>5}#;==1>9j4H25`?M50:2.8494=4b9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4i?0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4i10;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4ih0;6>4?:1y'711=:=n0D>9l;I146>"40=098n5+1d:9034>6=4+3969606<3th8mo4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>ol:187>5<7s-9?;7:>;I14g>N4?;1/?5:53g9'5`>=6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2g4>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`0a=<72:0;6=u+355961b<@:=h7E=82:&0<1<50498mcd=83.8494>0498k722290/?5:52428?xd4m00;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zjm>1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876smd683>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rbe:94?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{el00;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907plka;296?6=8r.88:4>189K72e<@:=97)=74;:4?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><6F<8298ygbe29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vnim50;194?6|,:><69>4H25`?M50:2.8494;1bjl4?:%1;0?77=21bjo4?:%1;0?77=21d>9;50;&0<1<5=910qojk:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>="6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}cf6>5<3290;w)=;7;62?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854iga94?"40=0:<854o366>5<#;1>1>8>4;|`g2?6=;3:1i0D>9=;%1;0?43k2.:i54;639jbd<72-9387??5:9jbg<72-9387??5:9l613=83.8494=5198ygdf29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vnol50;694?6|,:><6?:j;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07dhl:18'7=2=99?07b<;5;29 6>32;?;76smbb83>7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xdel3:1?7>50z&002<382B8;n5G3608 6>32:l0(6;:373?>{ejl0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zjkl1<7=50;2x 6202;>o7E=8c:J037=#;1>1>9m4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wx>h4?:2y]6`=::l09m;523`8ee>{t;80;6?u22d8b6>;4;38?96s|3383>7}:;;098852328ee>{t;=0;6?u2358100=:;00mm6s|3483>7}:;<098852388ef>{t;?0;6?u2378100=:;h0mn6s|3683>7}:;>0988523`8eg>{t;10;6?u2398100=:;h0mh6s|3883>7}:;00988523c8ee>{t;h0;6?u23`8100=:;k0mn6s|3c83>7}:;k098852328ef>{t?k0;6:uQ7c9>5<3=nk16=ol5f89>5g5=nk16?94ia:?26<93:18vP61:?77gc?7g134;2?7h6;<3ae?`e34;i97h6;<3bg?`f34;j97h6;c?<5:l<6k74=50`>c?<58896ko4=2c0>cd7g134ki6ko4=2g;>cgd4<5hn1>9;4}rc;>5<5s4k36?::;cd72234kh6ko4}rcb>5<5s4kj6?::;cd72234ko6ko4}rc`>5<5s4kh6?::;cdfdf3<5i?16on4n4:?g4?`e34>?n7hl;<676?`f34>??7h6;<374?`>34n<6ko4=e:9b<=:jj0m56s|b683><}:j?03m63<748;e>;6;l03m63>318;e>;b?32j70m7:9c89f2=0h16o=47a:?af3fafg<5<<16nh4ia:pff<72;q6nn4=449>f`fa<5<<16nk4ia:pf`<72;q6nh4=449>fc4?:9y>g5<5i?16on4n5:?g4?`f34>?o7h6;<672?`>34;?>7h6;c?<5kh1jl5rsb394?4|5j:1m?52c28100=z{j81<71>l84=bf9e0=:l;0mm63;4c8e`>;3<;0mn63;458e=>;6<:0m563ka;d:?8de2oh0q~m::1818e32h801n952578yve12909w0m9:366?8e02oh0q~l::18;8e?2;k=70mj:`78912c2o3019:::g;894212oh01i95fc9>`<7223tyhm7>52z?`e?43=27hn7hm;|q`b?6=;r7hh7o;;72234n96kl4}rf2>5<5s4in6l:4=e09613c?<5j<1j452c38e=>;c>38?96s|d583>7}:l=098852db8ef>{tl<0;6?u2d48100=:l?0mn6s|d683>7}:l>098852dd8ee>{tl10;6?u2d98100=:lm0mm6s|d883>7}:l0098852de8ef>{tlh0;6?u2d`8100=:l<0mm6s|dc83>7}:lk098852d48eg>{tlj0;6?u2db8100=:l?0mm6s|de83>7}:lm098852dd8ef>{tll0;6?u2dd8100=:l<0mn6s|dg83>7}:kj08=n52c28ee>{tm90;6?u2ce805f=:k>0mm6s|e083>7}:kl08=n52cc8ee>{tm>0;6>u2e681e3=:lj0mm63md;db?xu69j0;68uQ10a8947d2;k=70:;b;da?823:3lh70?=1;da?xu69m0;6?u210a9e7=:9;<1>9;4}r32a?6=:r7:=h4=449>570=nk1v238ef>{t9;:1<772234;9?7hn;|q264<72;q6=??5257894442oh0q~?=2;296~;6:;0988521369bg=z{8886=4={<317?43=27:>;4ic:p572=838p1<<;:366?875=3li7p}>2483>7}:9;?1>9;4=005>cg52z?262<5<<16=;69o0mn6s|13;94?4|58826?::;<314?`f3ty:>l4?:3y>57g=:=?01<v3>2c8100=:9;;1jl5rs00`>5<5s4;9o7<;5:?264>70?=1;dg?xu6:l0;6?u213g9613<588:6kk4}r304?6==rT:?=5212296d0<58k26k74=0c7>cg<588<6k74}r305?6=:r7:?=4n2:?277<5<<1v<=j:186[74m27:?h4=a79>5dg=n016=l:5fc9>57>=n01v<=i:181874m3k970?;7;071>{t9=:1<772234;?:7hn;|q204<72;q6=9?5257894212oi0q~?;2;296~;6<;0988521549ba=z{8>86=4={<377?43=27:8;4ie:p512=838p1<:;:366?873?3lj7p}>4483>7}:9=?1>9;4=064>ce52z?203<5<<16=995fc9~w43a2909wS?:f:?0bf12o301>h9:gc8914e2ok0q~?74;29<~;60>0988521869b<=:9kk1jl521c69b<=:;<0m563>2`8e=>;4i:0mm63{t91?1<772234;3;7hn;|q2<3<72;q6=585257894>02oh0q~?61;297~;61809m;521`29bd=:;l=1jo5rs0;1>5<5s4;297<;5:?2=2>70?65;db?xu61=0;6?u21869613<583>6km4}r3:2?6=:r7:5<4n2:?2==<5<<1v<78:18187>?38?963>9g8ef>{t9031<772234;j<7hm;|q2=d<72;q6=4o5257894g72oi0q~?6b;296~;61k0988521`29ba=z{83h6=4={<3:g?43=27:m=4if:p59d83>7}:90o1>9;4=0c3>4673ty:5k4?:3y>5<`=:=?01<77:gc8yv7f83:1>v3>a18100=:9021jn5rs0c2>5<2s4;j=734;9i7h6;|q2e7<725d4=:h<01<7l:g;8960=n016=?j5f89~w4g42909w0?n2;c1?87f>38?96s|1`694?4|58k?6?::;<3b2?`f3ty:m84?:3y>5d3=:=?01a681e3=:;m31jl521`f9bd=:9h<1jo52a98e`>;4nh0mm63;2g8ee>;61m0m563<2;db?875k3l270?=4;db?85f13l27p}>a983>7}:9h=1m?521`g961352z?2e<<5<<16=ll5f`9~w4gf2909w0?na;071>;6ik0mn6s|1``94?4|58ki6?::;<3bg?`e3ty:mn4?:3y>5de=:=?01v3>ae8100=:9ho1jo5rs0ce>5cd<588>6ko4=2c;>c?59z?2ece=3ty:n<4?:8y>5g6=i;16=o?52`489d>=nh16?k65f`9>07b=nh16=475f89>775g0=nh1vb78ef>{t9k<1<772234;i;7hm;|q2f=<72mq6=o652`4894dc2ok0107d=nk16=4k5f89>5<`=nh16?94ib:?034;957hn;<31f?`>349j97h6;|q2f<<72;q6=o65a39>5gc=:=?0q~?ma;296~;6jh0988521ca9bd=z{8hi6=4={<3af?43=27:nn4ib:p5ge=838p1be83>7}:9kn1>9;4=0`f>cd52z\2`1=:<:;1jl5rs0f6>5<5sW;o963>e78b6>{t9l<1<7=t=0g5>7g134;397h6;cd7>52z?03a9::3c5?823j3lj70:;2;dg?xu4??0;6?u23679e7=:;>=1>9;4}r1:b?6=55z?0=c?47a:?0aao=:18185>n3k970=n4;071>{t;h91<7722349jm7hn;|q0e0<72;q6?l;5257896gf2oh0q~=n6;296~;4i?0988523`a9bd=z{:k<6=4={<1b3?43=278mo4ia:p7d>=838p1>o7:366?85fj3li7p}7}:;h31>9;4=2c7>cd52z?0ed<5<<16?lm5fc9~w6ge2909w0=nb;071>;4ij0mo6s|3`a94?4|5:kh6?::;<1b0?`f3ty8n=4?:3y]7g6<58996k74}r1`f?6=:rT8oo521569b<=z{:n=6=4={_1g2>;4l?09m;5rs2f;>5<5s49o:7o=;<1g=?43=2wx?h=50;0xZ6c4349n87<;5:p7`0=838p1>k;:g;896c>2;>>7p}7}:;l=1>9;4=2g;>cd52z?0a=<5<<16?h75fc9~w6cc2908wS=jd:?0aa<5i?16?k;5f89~w6`42909w0=ie;d:?85ak38?96s|3g694?4|5:l?6?::;<1ef?`e3ty8j84?:3y>7c3=:=?01>h7:g`8yv5a>3:1>v35<5s49m;7<;5:?0b<>70=ia;da?xu4n00;6?u23g;9613<5:li6km4}r1ee?6=:r78jl4=449>7cd=nh1v>hm:18185aj38?963{t;on1<7d4<5:ln6?::;|q752<72;qU8<94=066>c?9>7>53z\767=:<;81>l84=50b>c?947>52z?7762909w0:=9;071>;3;90mn6s|43c94?4|5=8j6?::;<61`?`e3ty?>o4?:3y>07d=:=?019v3;2b8100=:<;o1jo5rs50g>5<5s4>9h7<;5:?76c>70:<0;d`?xu3:o0;6?u243d9613<5=9;6ko4}r604?6=:r7??=4=449>067=nk1v9==:181825:3k970:<3;071>{t<:h1<7=t^51a?824j38j:63>408e=>{t<:l1<7d4<5=>;6?::;|q704<72;q68>l5999>01?=:=?0q~:;2;296~;3<;09885245:9bd=z{=>86=4={<677?43=27?8:4ia:p012=838p19:;:366?823?3li7p};4483>7}:<=?1>9;4=56:>cg?:7>52z?703<5<<168975fb9~w1202909w0:;7;071>;3<10mn6s|45:94?4|5=>36?::;<67=?`e3ty?8l4?:3y>016=n01689h52578yv23j3:1>v3;4c8100=:<=l1jl5rs56`>5<5s4>?o7<;5:?70`>70:;e;da?xu3m6kl4}r664?6=:rT?9=523659b<=zuk93n7>58;4`>3`|@:=97)=;7;;a?!7b?398?6a<1`83>>o>13:17b=>1;29?j5el3:17doj:188m6cf2900c9?m:188k2d=831i?:j50;394?6|,:><6k64H25`?M50:2.8494<8`9'5`>=r.88:4i0:J03f=O;>80(<>8:d9'5`>=5;hc1>5<5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:2:6=4>:183!5?<393m6a=5183>!5?<38><65rs3c5>5<5sW8j:63=a78115=z{h81<77p}6a;296~X>i279m;4=e89~w<>=838pR464=3c5><>3327p}i8;296~;5i?08=i5239396063}#;==1j=5G36a8L6153-;;;7k4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e;<21<7;52;4x 6202o:0D>9l;I146>"68>0n7)?j8;656>o>03:17d7n:188m=g=831bm?4?::m1e3<722h9m;4?:783>5}#;1>1j>5f11794?"40=0:<854i8:94?"40=02465f9883>!5?<33276g<1e83>!5?<39:h65f2d;94?"40=09i454o373>5<#;1>1>8>4;|`0<4<7280;6=u+39697=g63=a78240=z{0k1<70279m;468:p67c3493=7<:0:~f634290>6?49{%173?`73A95;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}c035?6==381:v*<468e4>N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e:981<7;52;4x 6202o:0D>9l;I146>"6m10?:?5f9983>>o>i3:17d6n:188md4=831d>l850;9a6d0=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?5?50;394?6|,:2?6>6n;n064?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4282wxm?4?:3y]e7=::h<1==;4}r;b>5<5sW3j70{t110;6?uQ999>6d0=111v5o50;0xZ=g<5;k=6474}rd;>5<5s48j:7=>d:?0<4<5=91vqor.88:4i0:J03f=O;>80(7>5;n0b2?6=3k8j:7>56;294~"40=0m?6g>0483>!5?<3;;965f9983>!5?<33376g69;29 6>320307d=>d;29 6>32:;o76g=e883>!5?<38n565`24294?"40=099=54}c1;5?6=93:152z\1e3=::h<1>8>4}rc1>5<5sWk970{t1h0;6?uQ9`9>6d0=:l30q~77:181[??348j:777;|q;e?6=:rT3m63=a78:=>{tn10;6?u22`4974b<5:2:6?;?;|a651=83?1>78t$264>c6<@:=h7E=82:&2a=<3>;1b554?::k:e?6=3`2j6=44i`094?=h:h<1<75m2`494?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;1;1<7?50;2x 6>32:2j7b<:0;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=99?0q~7n:181[?f348j:739:h63<808115=zuk8;47>55;092~"4<>0m<6F<7b9K724<,8o3698=;h;;>5<>of:3:17b3:1:7>50z&0<15$2:7>5$2:7>67c32c9i44?:%1;0?4b121d>8>50;&0<1<5=910qo=71;295?6=8r.8494<8`9l606=83.8494=5198yv4f>3:1>vP=a79>6d0=:<:0q~o=:181[g5348j:7??5:p=d<72;qU5l522`496`?3337p}7a;296~X?i279m;469:pb=<72;q6>l8530f896>62;?;7psm21;94?3=:3:8:g28L61d3A9<>6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi>=l50;796?0|,:><6k>4H25`?M50:2.:i54;639j==<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}c03g?6==381:v*<468e4>N4?j1C?:<4$0g;>1053`336=44i8c94?=n0h0;66gn2;29?j4f>3:17o?k;:k1a<<72-938750z&0<1<40h1d>8>50;&0<1<5=910q~l852428yvg52909wSo=;<0b2?77=2wx5l4?:3y]=d=::h<1>h74}r;;>5<5sW3370vP7a:?1e3<>12wxj54?:3y>6d0=;8n01>6>:373?x{e:9n1<7;52;4x 6202o:0D>9l;I146>"6m10?:?5f9983>>o>i3:17d6n:188md4=831d>l850;9a6d0=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?5?50;394?6|,:2?6>6n;n064?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4282wxm?4?:3y]e7=::h<1==;4}r;b>5<5sW3j70{t110;6?uQ999>6d0=111v5o50;0xZ=g<5;k=6474}rd;>5<5s48j:7=>d:?0<4<5=91vqor.88:4i0:J03f=O;>80(7>5;n0b2?6=3k8j:7>56;294~"40=0m?6g>0483>!5?<3;;965f9983>!5?<33376g69;29 6>320307d=>d;29 6>32:;o76g=e883>!5?<38n565`24294?"40=099=54}c1;5?6=93:152z\1e3=::h<1>8>4}rc1>5<5sWk970{t1h0;6?uQ9`9>6d0=:l30q~77:181[??348j:777;|q;e?6=:rT3m63=a78:=>{tn10;6?u22`4974b<5:2:6?;?;|a652=83?1>78t$264>c6<@:=h7E=82:&2a=<3>;1b554?::k:e?6=3`2j6=44i`094?=h:h<1<75m2`494?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;1;1<7?50;2x 6>32:2j7b<:0;29 6>32;?;76s|2`494?4|V;k=70{ti;0;6?uQa39>6d0=99?0q~7n:181[?f348j:739:h63<808115=zuk8;97>55;092~"4<>0m<6F<7b9K724<,8o3698=;h;;>5<>of:3:17b3:1:7>50z&0<15$2:7>5$2:7>67c32c9i44?:%1;0?4b121d>8>50;&0<1<5=910qo=71;295?6=8r.8494<8`9l606=83.8494=5198yv4f>3:1>vP=a79>6d0=:<:0q~o=:181[g5348j:7??5:p=d<72;qU5l522`496`?3337p}7a;296~X?i279m;469:pb=<72;q6>l8530f896>62;?;7psm1gc94?3=:3:8:g28L61d3A9<>6*>e98727=n110;66g6a;29?l>f2900el<50;9l6d0=831i>l850;494?6|,:2?6k=4i026>5<#;1>1==;4;h;;>5<#;1>15554i8;94?"40=02565f30f94?"40=08=i54i3g:>5<#;1>1>h74;n064?6=,:2?6?;?;:a7=7=83;1<7>t$2:7>6>f3f8><7>5$2:7>73732wx>l850;0xZ7g1348j:7<:0:pe7<72;qUm?522`4955338n56s|9983>7}Y1116>l85999~w=g=838pR5o4=3c5>5;c0b2?6=>3:1o68<0;6)=74;331>=n110;6)=74;;;?>o>13:1(>6;:8;8?l56l3:1(>6;:23g?>o5m00;6)=74;0f=>=h:<:1<7*<858115=51;294~"40=084l5`24294?"40=099=54}r0b2?6=:rT9m;522`496063;;96s|9`83>7}Y1h16>l852d;8yv??2909wS77;<0b2???3ty3m7>52z\;e>;5i?0256s|f983>7}::h<1?7373twi?<6k>4H25`?M50:2.:i54;639j==<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm39394?7=83:p(>6;:2:b?j4283:1(>6;:373?>{t:h<1<738><6s|a383>7}Yi;16>l851178yv?f2909wS7n;<0b2?4b12wx554?:3y]===::h<1555rs9c94?4|V1k01?o9:8;8yv`?2909w0;408099=5r}cga>5<42;0?w)=;7;gf?M50k2B8;?5+1d:9034>i5i?0;66l=a783>0<52?q/?995f09'5`>=5;cd2>5<1290;w)=74;d0?l77=3:1(>6;:026?>o>03:1(>6;:8:8?l?>290/?5:59898m67c290/?5:530f8?l4b13:1(>6;:3g:?>i5=90;6)=74;064>=zj:=m6=4>:183!5?<38?n6a=5583>!5?<38><65rs`094?4|Vh801k?51178yv>f2909wS6n;7}Y:h?01k?530f8yv4f>3:1>vP=a79>b4<5=91v>9<:1818`620201>9i:377?x{e:3:1=7>50z&034<>3-9?;7h7;%1;0?5?i2.:i54;639lb2<72-9387<:0:9~w7g12909wS5<5sW2j70;5i?02m63=:g58 6162j1vqokn:180>7<3s-9?;7kj;I14g>N4?;1/=h654708m=g=831bm?4?::m1e3<722h9m;4?:481>3}#;==1j<5+1d:90345<>o?i3:17oh>:185>5<7s-9387h<;h331?6=,:2?6<>:;:k:5<#;1>15454i23g>5<#;1>1?5<5sW2j70h>:8;8yv?f2909wS7n;7c>3ty9m84?:3y]6d3<5o;1?<><5:=m6?;;;|a6?6=93:1"6m10?:?5`f683>!5?<38><65rs3c5>5<5sW8j:63=a781e3=z{h81<7f348j:76n;|q6>5<4s48j:7i2796k94$252>f=zuk9ih7>53;090~"4<>0ni6F<7b9K724<,8o3698=;h:b>5<3:17d56;294~"40=0m?6g>0483>!5?<3;;965f9983>!5?<33376g69;29 6>320307d=>d;29 6>32:;o76g=e883>!5?<38n565`24294?"40=099=54}c14b?6=93:11<7*<858115=7p}7a;296~X?i27m=776;|q:e?6=:rT2m63i1;0f=>{t:h?1<77}Y:h<01k?52428yv50;3:1>v3i1;;;?850n38>86srb383>4<729q/?:?59:&002=l852`48yvg52909wSo=;<0b2?g53ty3m7>52z\;e>;5i?03m6s|5;297~;5i?09m8522`49=d=::3l<7)=81;a8yxd39k0;6>4=:5y'711=ml1C?:m4H251?!7b03>=>6g7a;29?lg52900c?o9:188f7g1290>6?49{%173?`63-;n47:92:m1e3<722c9m84?::kb6?6=3`3j6=44i9c94?=en80;6;4?:1y'7=2=n:1b==;50;&0<1<68<10e4650;&0<1<>021b544?:%1;0??>32c8=i4?:%1;0?56l21b>h750;&0<1<5m010c?;?:18'7=2=:<:07pl<7g83>4<729q/?5:525`8k733290/?5:52428?xuf:3:1>vPn2:?e5?77=2wx4l4?:3y]7}Y1h16j<4=e89~w7g22909wSl850;0xZ7g134l:6?;?;|q036<72;q6j<468:?03c<5==1vqo<50;394?6|,:=:645+3559b==#;1>1?5o4$0g;>1053fl<6=4+3969606<3ty9m;4?:3y]6d0<5;k=6?o9;|qb6?6=:rTj>63=a78b6>{t0h0;6?uQ8`9>6d0=0h1v84?:2y>6d0=:h?01?o9:8c897i0D>9=;%3f5;hc1>5<5<22;0=w)=;7;d2?!7b03>=>6a=a783>>o5i<0;66gn2;29?l?f2900e5o50;9ab4<72?0;6=u+3969b6=n99?1<7*<858240==n100;6)=74;;:?>o49m0;6)=74;12`>=n:l31<7*<8581a<=5}#;1>1>9l4o377>5<#;1>1>8>4;|qb6?6=:rTj>63i1;331>{t0h0;6?uQ8`9>b4<>12wx5l4?:3y]=d=:n809i45rs3c6>5<5sW8j963i1;12`>{t:h<1<77}:n802463<7g8111=zuk81<7?50;2x 616201/?995f99'7=2=;1k0(u22`496d3<5;k=64o4=38e3>"4?80h7psm3da94?5=:3>p(>:8:dg8L61d3A9<>6*>e98727=n0h0;66gn2;29?j4f>3:17or.88:4i1:&2a=<3>;1d>l850;9j6d3=831bm?4?::k:e?6=3`2j6=44bg394?0=83:p(>6;:g18m462290/?5:51178?l??290/?5:59998m290/?5:52d;8?j4283:1(>6;:373?>{e;>l1<7?50;2x 6>32;>i7b<:4;29 6>32;?;76s|a383>7}Yi;16j<4>049~w=g=838pR5o4=g39=<=z{0k1<7<6k64$2:7>6>f3-;n47:92:me3?6=,:2?6?;?;:p6d0=838pR?o9;<0b2?4f>2wxm?4?:3y]e7=::h<1m?5rs9c94?4|V1k01?o9:9c8yv3=839p1?o9:3c6?84f>33j70<5f69'727=k2wvn<>n:180>7<3s-9?;7kj;I14g>N4?;1/==95e:&2a=<3>;1b4l4?::kb6?6=3f8j:7>5;c0b2?6==381:v*<468e5>"6m10?:?5`2`494?=n:h?1<75fa383>>o>i3:17d6n:188fc7=83<1<7>t$2:7>c56=4+3969553<3`336=4+3969====n;8n1<7*<85805a=<7>5$2:7>73732wi?:h50;394?6|,:2?6?:m;n060?6=,:2?6?;?;:pe7<72;qUm?52f08240=z{1k1<7h74}r0b1?6=:rT9m852f0805a=z{;k=6=4={_0b2>;a938><6s|36194?4|5o;1555236d9602:183!509330(>:8:g:8 6>32:2j7)?j8;656>ia?3:1(>6;:373?>{t:h<1<738j:6s|a383>7}Yi;16>l85a39~w=g=838pR5o4=3c5>=g7g2348j:77n;<09b2=#;>;1o6srb02f>5<42;0?w)=;7;gf?M50k2B8;?5+1159a>"6m10?:?5f8`83>>of:3:17b3:197<56z&002l;50;9je7<722c2m7>5;h:b>5<32o90e<>::18'7=2=99?07d77:18'7=2=1110e4750;&0<1<>121b?32;?;76sm36d94?7=83:p(>6;:36a?j42<3:1(>6;:373?>{ti;0;6?uQa39>b4<68<1v5o50;0xZ=g<5o;1545rs8c94?4|V0k01k?52d;8yv4f=3:1>vP=a49>b4<49m1v?o9:181[4f>27m=7<:0:p725=838p1k?5999>72`=:<>0qpl=:182>5<7s-9<=774$264>c><,:2?6>6n;%3f5$2:7>73732wx>l850;0xZ7g1348j:7701/?:?5c:~f60129086<4<{I146>"4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;8::180>4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;j:180>4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<=29086<4<{I146>"4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;4<4sA9<>6*<4682af=ni=0;66gn5;29?j56k3:17o=78;297?6=8r.88:4<7c9K72e<@:=97)=74;14e>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}rc7>5<5sWk?70=78;da?xuf=3:1>vPn5:?0<="4<>0:in5fa583>>of=3:17b=>c;29?g5?03:1?7>50z&002<4?k1C?:m4H251?!5?<39e98727=nnh0;6)=74;331>=nnk0;6)=74;331>=h:=?1<7*<858115=?l;<1;50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:af4<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f60a29086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd49=0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl=1b83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb33f>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`165<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f74529086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd5:=0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj;8=6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th9>54?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn?5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb30f>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`175<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f77f29096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn>8=:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f60429086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd4=l0;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl<5g83>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb27b>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj:?i6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th8984?:383>5}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`013<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn>87:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb035>5<5290;w)=;7;32=>N4?j1C?:<4$2:7>=1<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;I1;7>=zj8;<6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th:<44?:583>5}#;==18<5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232cmo7>5$2:7>46232e9884?:%1;0?42821vn>:j:180>5<7s-9?;7:?;I14g>N4?;1/?5:53g9'5`>=7<729q/?99510;8L61d3A9<>6*<858;3>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=5G3918?xd4=90;6?4?:1y'711=9830D>9l;I146>"40=03;6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=O;1907pl<5083>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb271>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`2bf<7200;6=u+355903=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:keg?6=,:2?6<>:;:ke`?6=,:2?6<>:;:kea?6=,:2?6<>:;:keb?6=,:2?6<>:;:k245<72-9387??5:9j557=83.8494>0498k722290/?5:52428?xd6nm0;684?:1y'711=<;1C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>oak3:1(>6;:026?>oal3:1(>6;:026?>i5<<0;6)=74;064>=zj8ln6=4=:183!53?3;:56F<7b9K724<,:2?6594$0g;>1053`l26=4+3969553<3f8?97>5$2:7>7373A93?65rb0de>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`145<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f47729096=4?{%173?7612B8;n5G3608 6>321=0(290/?5:51178?j43=3:1(>6;:373?M5?;21vn:181>5<7s-9?;7?>9:J03f=O;>80(>6;:958 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;7E=73:9~f47529086=4?{%173?273A90498mcd=83.8494>0498k722290/?5:52428?xd69:0;6>4?:1y'711=<91C?:m4H251?!5?<39m7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj8;?6=4<:183!53?38?h6F<7b9K724<,:2?6?:l;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn<>l:187>5<7s-9?;7:>;I14g>N4?;1/?5:53g9'5`>=0e83>6<729q/?99525f8L61d3A9<>6*<85810f=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`053<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`05=<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==18<5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232cmo7>5$2:7>46232e9884?:%1;0?42821vn:j50;094?6|,:><6N4?;1/?5:5869'5`>=6<;:a3`<72;0;6=u+355954?<@:=h7E=82:&0<153;294~"4<>0?<6F<7b9K724<,:2?6>h4$0g;>1053`lj6=4+3969553<3`li6=4+3969553<3f8?97>5$2:7>73732wi4=4?:283>5}#;==18=5G36a8L6153-9387=i;%3f5$2:7>46232cmn7>5$2:7>46232e9884?:%1;0?42821vn5?50;194?6|,:><6?:k;I14g>N4?;1/?5:525a8 4c?2=<97dhn:18'7=2=99?07dhm:18'7=2=99?07b<;5;29 6>32;?;76sm8383>6<729q/?995419K72e<@:=97)=74;1e?!7b03>=>6gia;29 6>328:>76gib;29 6>328:>76a=4483>!5?<38><65rb2a3>5<4290;w)=;7;63?M50k2B8;?5+39697c=#9l218;<4igc94?"40=0:<854ig`94?"40=0:<854o366>5<#;1>1>8>4;|`0g4<72;0;6=u+355954?<@:=h7E=82:&0<15}#;==1=<74H25`?M50:2.849477:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=91C?5=4;|`0g6<72:0;6=u+355905=O;>i0D>9=;%1;0?5a3-;n47:92:kee?6=,:2?6<>:;:kef?6=,:2?6<>:;:m100<72-9387<:0:9~f6e329086=4?{%173?43l2B8;n5G3608 6>32;>h7)?j8;656>oai3:1(>6;:026?>oaj3:1(>6;:026?>i5<<0;6)=74;064>=zj:i>6=4<:183!53?3>;7E=8c:J037=#;1>1?k5+1d:9034>6=4+3969606<3th8o;4?:283>5}#;==1>9j4H25`?M50:2.8494=4b9'5`>=52z?4f?g534296?::;|q4`?6=:r752z?4a?43=273<7hm;|q4b?6=:r752z?;4?43=273=7hm;|q;5?6=:r73=7<;5:?;6?`e3ty257>51ey]=<=:;?:14l5234a9b;:b?81e21k01>kl:9c8946f21k01<>j:9c8yvgb2909wSoj;d44777;<`2>722349=j7hm;<33=?`f3tyi<7>52z?a4?43=27i=7hm;|qf7g134h;6k74}rg:>5<5s4oi6?o9;<`2>cg54z?24<<5<<16=544=nh16==m5f`9~w46f290;69>0mm63>118e=>;68m0mm6372;db?85d83lj70=l1;d:?xu68k0;6?u211c9e7=:99n1>9;4}r33g?6=:r7:55b=nk1v<>j:184877m38j:63>178e=>;69:0mm63>0b8eg>;0l3l2706?:gc896e22ok0q~??f;296~;68l0j>63>158100=z{8;;6=4={<324?43=27:=?4ib:p547=838p1:366?876;3li7p}>1383>7}:9881>9;4=037>cg52z?256<5<<16=<:5fc9~w4722909w0?>6;071>;69>0mn6s|10:94?4|5=;i6l<4=034>7223ty:jl4?:3y>5cg=:h<01<>6:ga8yv7aj3:1>v3>f`8b6>;58909885rs0d`>5<5s4;mo7<;5:?145>70?if;db?xu6nl0;6?u21gg9613<58lm6kl4}r3eb?6=:r7:jk4=449>656=nk1v;59j0mn63=1`8e=>;6nl0m56s|1g394?2|5;:96?o9;<025?g2348:o7hn;<3eg?`f3ty:ih4?:5y>655=:h<01?>j:`78974b2ok015<4s48;97p1?>9:3c5?846:3k>70<>e;db?87ak3li7p}>f283>1}::9=1>l84=330>d3<5;8;6ko4=0d`>ce54z?14=<5i?16><:5a49>674=nh16=km5fd9~w4`2290?w0;59<0j963=258ee>;6nj0mh6s|1g494?2|5;:j6?o9;<022?g23489:7hn;<3eg?`a3ty:j:4?:5y>65d=:h<01??8:`78974?2ok01cg<58lh6<>?;|q2b<<72=q6>=j52`48977>2h?01?b;297~;59;0j863=1b8100=::8o1jo5rs33g>5<4s48:?7o;;<02a?43=279>=4ib:p64`=839p1??;:`6897472;>>70<=2;da?xu5:80;6>u22079e1=::;81>9;4=307>cd53z?15394=449>670=nk1v?<::180846?3k?70<=6;071>;5:10mn6s|23594?5|5;;36l:4=30;>7223489m7hm;|q16<<72:q6><75a59>67g=:=?01?;5:j09885223g9bg=z{;8o6=4<{<03b?g33489i7<;5:?175?h50;0x97772h>01?=?:366?xu5;80;6?u22139e7=::8k1>9;4}r006?6=:r79<>4n2:?14`<49j1v?=<:181847<3k970{t::>1<7d4<5;;;6>?l;|q170<72;q6>=<5a39>647=;8i0q~<<6;296~;58?0j>63=13805f=z{;9<6=4={<033?g5348:?7=>c:p66>=838p1?>7:`0897732:;h7p}=3883>7}::931m?52207974e52z?14d67d3ty9?i4?:3y>65b=i;16><7530a8yv43:3:1=8u236f9b2=:;?:15l5234a9=d=:;<215l523419=d=::9;15l522109=d=::9<15l522159=d=::9215l5221;9=d=::9k15l5221`9=d=::9i15l5221f9=d=::9915l522169=d=::9?15l521gc9=d=:;=i15l523039=d=z{:;:6=4={_125>;49809m;5rs230>5<5s49:=7o=;<120?43=2wx?<;50;0x96732o301>?6:366?xu49?0;6?u23049613<5:;26kl4}r123?6=:r78=:4=449>74?=nh1v>?7:181856038?963<188eg>{t;=i1<7=t=26`>7g1349=j7hn;<33=?`e3ty88i4?:3y>71e=i;16?8<52578yv53m3:1>v3<4d8100=:;<81jl5rs26e>5<5s49?j7<;5:?01450;0x96372;>>70=:1;da?xu4=80;6?u23439613<5:?96kl4}r174=a79>732=i=16?885f89>73>=nk16?9k5f`9~w6332909w0=:3;c1?852?38?96s|34794?4|5:?>6?::;<163?`f3ty89;4?:3y>700=:=?01>;8:g`8yv5313:19v3<5981e3=:;?>1m85237:9bd=:;=l1j4523059b<=z{:?26=4={<16n7<;5:p70g=838p1>;n:366?852j3li7p}<4`83>0}:;l84=246>d3<5:c?<5:;=6k74}r16`?6=:r789n4n2:?01c<5<<1v>;j:181852m38?963<5g8ef>{t;=h1<7;t^23b?851838j:63<678b1>;4{t;?;1<7d4<5:<86?::;|q027<72;q6?;<5257896042oh0q~=97;297~;4><0j863<698100=:;?k1jo5rs24:>5<5s49=:7o;;<15e?43=2wx?;l50;0x96032:;h70=:b;db?xu4>j0;6?u2377974e<5:?m6ko4}r15`?6=:r78:;4<1b9>735=nh1v>8j:1827~;58802463=038:<>;58?02463=068:<>;58102463=088:<>;58h02463=0c8:<>;58j02463=0e8:<>;58:02463=058:<>;58<02463>f`8:<>;4>o0988523709b<=:;5<2sW9ih63;4k=0mm6s|3cd94?4|5:ho6l<4=2a5>7223ty8o=4?:3y>7f6=:=?01>m9:gc8yv5d93:1>v35<5s49h>7<;5:?0g6>70=l4;da?xu4k=0;6?u23b69613<5:i>6kl4}r1`1?6=:r78o84=449>7f0=nk1v>kn:181[5bi278in4n2:p7`e=839p1>kl:3c5?877k3li70=l2;d:?xu39k0;6?uQ40`8917e2;k=7psm38594?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;ho1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm38194?4=83:p(>:8:03:?M50k2B8;?5+3969<2=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4H2:0?>{e;0>1<7<50;2x 62028;27E=8c:J037=#;1>14:5+1d:9034>6=4+3969606<@:2876sm38794?5=83:p(>:8:528L61d3A9<>6*<8580b>"6m10?:?5ff`83>!5?<3;;965ffc83>!5?<3;;965`25794?"40=099=54}c62e?6=:3:1i0D>9=;%1;0?>03-;n47:92:ke=?6=,:2?6<>:;:m100<72-9387<:0:J0<6=52;294~"4<>0:<95G36a8L6153-938768;I302>"6jo0:<85+1d:9034>6=4+3969606<3th?>94?:383>5}#;==1==:4H25`?M50:2.849477:J273=#9kl1==;4$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi?oo50;094?6|,:><6<>;;I14g>N4?;1/?5:5869K560<,8hm6<>:;%3f5$2:7>46232e9884?:%1;0?42821vnh850;094?6|,:><6<>;;I14g>N4?;1/?5:5869K560<,8hm6<>:;%3f5$2:7>46232e9884?:%1;0?42821vnhj50;094?6|,:><6<>;;I14g>N4?;1/?5:5869K560<,8hm6<>:;%3f5$2:7>46232e9884?:%1;0?42821vn>mi:181>5<7s-9?;7??4:J03f=O;>80(>6;:958L4513-;ij7??5:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=jb;296?6=8r.88:4>059K72e<@:=97)=74;:4?M74>2.:nk4>049'5`>=7<729q/?9951168L61d3A9<>6*<858;3>N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm9383>7<729q/?9951168L61d3A9<>6*<858;3>N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm8b83>7<729q/?9951168L61d3A9<>6*<858;3>N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm3dd94?4=83:p(>:8:027?M50k2B8;?5+3969<2=O9:<0(=>6gi9;29 6>328:>76a=4483>!5?<38><65rb57g>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c5:>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c5;>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c54>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c55>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c56>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c57>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c50>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c51>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c52>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c53>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4e>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4f>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4g>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c4`>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c5b>5<5290;w)=;7;330>N4?j1C?:<4$2:7>=1<@89=7)?mf;331>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c62i0D>9=;%3f5;hcf>5<>6=44o03a>5<N6;?1/=oh51178 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm25594?5=939p(>:8:364?M74>2.:nk4=519'5`>=>6=4+3969606<@:9976s|f883>7}Yn016?5>5f89~wdc=838pRlk4=2:3>6573ty9884?:3y]613<5:2;6?::;|p613=838pR?::;<330?43=2wx=7>50z&002<50498k722290/?5:52428?xd4km0;6?4?:1y'711=:=<0D>9l;I146>"40=03;6F>379'5g`=:<:0(290/?5:51178?j43=3:1(>6;:373?>{e<<81<7<50;2x 6202;>=7E=8c:J037=#;1>14:5G1248 4da2;?;7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>=zj=8:6=4=:183!53?38?:6F<7b9K724<,:2?6594H015?!7en38><6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=<6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=::7>52;294~"4<>098;5G36a8L6153-938768;I302>"6jo099=5+1d:9034>6=4+3969606<3th?=<4?:383>5}#;==1>984H25`?M50:2.849477:J273=#9kl1>8>4$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi?n650;094?6|,:><6?:9;I14g>N4?;1/?5:5869K560<,8hm6?;?;%3f5$2:7>46232e9884?:%1;0?42821vn>lj:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=6d;297?7=;r.88:4=469K72e<@:=97E?<6:&2fc<5=91/=h654708mc?=831bmh4?::m100<722h84=4?:283>5}#;1>1?>?4ig;94?"40=0:<854i213>5<#;1>15k54o366>5<#;1>1>8>4H211?>{tn00;6?uQf89>7=6=n01vlk50;0xZdc<5:2;6>=?;|q100<72;qU>9;4=2:3>7223twi?lh50;094?6|,:><6?:9;I14g>N4?;1/?5:5869K560<,8hm6?;?;%3f5$2:7>46232e9884?:%1;0?42821vn9?<:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo:=6;297?7=;r.88:4=469K72e<@:=97E?<6:&2fc<5=91/=h654708mc?=831bmh4?::m100<722h84=4?:283>5}#;1>1?>?4ig;94?"40=0:<854i213>5<#;1>15k54o366>5<#;1>1>8>4H211?>{tn00;6?uQf89>7=6=n01vlk50;0xZdc<5:2;6>=?;|q100<72;qU>9;4=2:3>7223twi8=750;094?6|,:><6?:9;I14g>N4?;1/?5:5869K560<,8hm6?;?;%3f5$2:7>46232e9884?:%1;0?42821vn>7>:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=68;296?6=8r.88:4=479K72e<@:=97)=74;:4?M74>2.:nk4=519'5`>=7<729q/?9952548L61d3A9<>6*<858;3>N6;?1/=oh52428 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm3e594?4=83:p(>:8:365?M50k2B8;?5+3969<2=O9:<0(=>6gi9;29 6>328:>76a=4483>!5?<38><65rb2d2>5<42808w)=;7;073>N4?j1C?:<4H015?!7en38><6*>e98727=nn00;66gne;29?j43=3:17o=70;297?6=8r.8494<309jb<<72-9387??5:9j766=83.84946f:9l613=83.8494=519K764<3tym57>52z\e=>;4090m56s|ad83>7}Yil16?5>53228yv43=3:1>vP=449>7=6=:=?0qpl;3e83>6<62:q/?9952558L61d3A9<>6F>379'5g`=:<:0(2900elk50;9l613=831i?5>50;194?6|,:2?6>=>;hd:>5<#;1>1==;4;h104?6=,:2?64h4;n071?6=,:2?6?;?;I106>=z{o31<772o30q~oj:181[gb3493<7=<0:p613=838pR?::;<1;4?43=2wvn>jl:181>5<7s-9?;7<;6:J03f=O;>80(>6;:958L4513-;ij7<:0:&2a=<3>;1bj44?:%1;0?77=21d>9;50;&0<1<5=910qo=j5;296?6=8r.88:4=479K72e<@:=97)=74;:4?M74>2.:nk4=519'5`>=7<729q/?9952548L61d3A9<>6*<858;3>N6;?1/=oh52428 4c?2=<97dh6:18'7=2=99?07b<;5;29 6>32;?;76sm2e394?4=83:p(>:8:365?M50k2B8;?5+3969<2=O9:<0(=>6gi9;29 6>328:>76a=4483>!5?<38><65rb3f3>5<5290;w)=;7;072>N4?j1C?:<4$2:7>=1<@89=7)?mf;064>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c0ge?6=:3:1i0D>9=;%1;0?>03A;8:6*>bg8115=#9l218;<4ig;94?"40=0:<854o366>5<#;1>1>8>4;|`1`<<72;0;6=u+3559610<@:=h7E=82:&0<15<#;1>1==;4;n071?6=,:2?6?;?;:a6a>=8381<7>t$264>7213A91C=>84$0`e>7373-;n47:92:ke=?6=,:2?6<>:;:m100<72-9387<:0:9~f7b029096=4?{%173?43>2B8;n5G3608 6>321=0D<=9;%3ab?4282.:i54;639jb<<72-9387??5:9l613=83.8494=5198yg4c>3:1>7>50z&002<50498k722290/?5:52428?xd5l<0;6?4?:1y'711=:=<0D>9l;I146>"40=03;6F>379'5g`=:<:0(290/?5:51178?j43=3:1(>6;:373?>{e:m>1<7<50;2x 6202;>=7E=8c:J037=#;1>14:5G1248 4da2;?;7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>=zj;n86=4=:183!53?38?:6F<7b9K724<,:2?6594H015?!7en38><6*>e98727=nn00;6)=74;331>=h:=?1<7*<858115=7>52;294~"4<>098;5G36a8L6153-938768;I302>"6jo099=5+1d:9034>6=4+3969606<3th9ok4?:383>5}#;==1>984H25`?M50:2.849477:J273=#9kl1>8>4$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi8n4?:083>5}#;1>1>l?4H251?M77>2.:nk4>049'5`g=:0:0e?o>:18'7=2=:h;07pl;d;295?6=8r.8494=a09K724<@8:=7)?mf;331>"6mh09555f2`394?"40=09m<54}c6f>5<6290;w)=74;0b5>N4?;1C==84$0`e>4623-;nm7<:6:k1e4<72-9387t$2:7>7g63A9<>6F>079'5g`=99?0(6;:3c2?>{e=90;6<4?:1y'7=2=:h;0D>9=;I332>"6jo0:<85+1dc960>=7>51;294~"40=09m<5G3608L4613-;ij7??5:&2ad<5=01b>l?50;&0<1<5i810qo;=:182>5<7s-9387m6g=a083>!5?<38j=65rb4194?7=83:p(>6;:3c2?M50:2B:<;5+1cd9553<,8oj6?;m;h0b5?6=,:2?6?o>;:a11<7280;6=u+39696d7<@:=97E??6:&2fc<68<1/=ho524a8m7g6290/?5:52`38?xd2=3:1=7>50z&0<1<5i81C?:<4H025?!7en3;;96*>e`811a=n:h;1<7*<8581e4=:183!5?<38j=6F<739K550<,8hm6<>:;%3fe?42m2c9m<4?:%1;0?4f921vn8750;394?6|,:2?6?o>;I146>N68?1/=oh51178 4cf2;?m7d32;k:76sm5`83>4<729q/?5:52`38L6153A;;:6*>bg8240=#9lk1>;?4i3c2>5<#;1>1>l?4;|`6g?6=93:180D<>9;%3ab?77=2.:il4=659j6d7=83.8494=a098yg0e290:6=4?{%1;0?4f92B8;?5G1148 4da28:>7)?ja;0;3>o5i80;6)=74;0b5>=zj1h1<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7053`8j=7>5$2:7>7g632wi4i4?:083>5}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:>o0c?o>:18'7=2=:h;07pl7f;295?6=8r.8494=a09K724<@8:=7)?mf;064>"6mh09;i5`2`394?"40=09m<54}c;2>5<6290;w)=74;0b5>N4?;1C==84$0`e>4623-;nm7<93:k1e4<72-9387t$2:7>7g63A9<>6F>079'5g`=99?0(6;:3c2?>{emj0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo0:<85+1dc96305}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:>30c?o>:18'7=2=:h;07pl=a`83>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>:l4o3c2>5<#;1>1>l?4;|`1ef<7280;6=u+39696d7<@:=97E??6:&2fc<5=91/=ho52908k7g6290/?5:52`38?xd5im0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc96215}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:>?0c?o>:18'7=2=:h;07pl=ag83>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>:=4o3c2>5<#;1>1>l?4;|`1f5<7280;6=u+39696d7<@:=97E??6:&2fc<5=91/=ho52608k7g6290/?5:52`38?xd5j80;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc96225}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:><0c?o>:18'7=2=:h;07pl=b283>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>:64o3c2>5<#;1>1>l?4;|`1f1<7280;6=u+39696d7<@:=97E??6:&2fc<5=91/=ho526c8k7g6290/?5:52`38?xd5j<0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc962e5}#;1>1>l?4H251?M77>2.:nk4=519'5`g=:0>0c?o>:18'7=2=:h;07pl<9783>4<729q/?5:52`38L6153A;;:6*>bg8115=#9lk1>4=4o3c2>5<#;1>1>l?4;|`0=d<7280;6=u+39696d7<@:=97E??6:&2fc<68<1/=ho527`8m7g6290/?5:52`38?xd41j0;6<4?:1y'7=2=:h;0D>9=;I332>"6jo099=5+1dc96<46;:3c2?M50:2B:<;5+1cd9606<,8oj6?79;n0b5?6=,:2?6?o>;:a7g7=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e;k31<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7>d3`8j=7>5$2:7>7g632wi?oj50;394?6|,:2?6?o>;I146>N68?1/=oh52428 4cf2;227b32;k:76sm3b594?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?8i;n0b5?6=,:2?6?o>;:a7fe=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e;jo1<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7>b3`8j=7>5$2:7>7g632wi?i850;394?6|,:2?6?o>;I146>N68?1/=oh52428 4cf2;3>7b32;k:76sm3ec94?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?76;n0b5?6=,:2?6?o>;:a7`5=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e;lk1<7?50;2x 6>32;k:7E=82:J243=#9kl1==;4$0gb>7?e3`8j=7>5$2:7>7g632wi?hk50;394?6|,:2?6?o>;I146>N68?1/=oh51178 4cf2;32;k:76sm3g294?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?6i;n0b5?6=,:2?6?o>;I106>=zj=:=6=4>:183!5?<38j=6F<739K550<,8hm6?;?;%3fe?4082e9m<4?:%1;0?4f921vn9??:182>5<7s-9387!5?<38j=65rb531>5<6290;w)=74;0b5>N4?;1C==84$0`e>7373-;nm7<75:m1e4<72-9387i5i80;6)=74;0b5>=zj=;<6=4>:183!5?<38j=6F<739K550<,8oj6?7n;*0b5?6=,:2?6?o>;:a04e=83;1<7>t$2:7>7g63A9<>6F>079'5g`=:<:0(6;:3c2?>{e<8l1<7?50;2x 6>32;k:7E=82:J243=#9kl1>8>4$0gb>70c3f8j=7>5$2:7>7g632wi8?=50;394?6|,:2?6?o>;I146>N68?1/=oh51178 4cf2;32;k:76sm43794?7=83:p(>6;:3c2?M50:2B:<;5+1cd9606<,8oj6?7>;n0b5?6=,:2?6?o>;I106>=zj=9h6=4>:183!5?<38j=6F<739K550<,8hm6?;?;%3fe?4?j2e9m<4?:%1;0?4f92B8??54}c665?6=93:180D<>9;%3ab?4282.:il4=9b9l6d7=83.8494=a098yg22;3:1=7>50z&0<1<5i81C?:<4H025?!7en3;;96*>e`81>o7>51;294~"40=09m<5G3608L4613-;ij7??5:&2ad<5>11b>l?50;&0<1<5i810qo=mb;295?6=8r.8494=a09K724<@8:=7)=80;107>"6jo0:<85+1dc96=g5}#;1>14:5+3559552<,8hm6<>:;%144?54;2.9ho4<329K724<@89=7)?j8;656>oa13:1(>6;:026?>i5<<0;6)=74;064>=zj?31<7?50;2x 6>32;k:7E=82:J243=#;>:1?>=4$0`e>4623-;nm7<8f:k1e4<72-9387t$2:7>=1<,:><6<>;;%3ab?77=2.8;=4<329'6ad=;:90D>9=;I302>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}c44>5<6290;w)=74;0b5>N4?;1C==84$253>6543-;ij7??5:&2ad<5?81b>l?50;&0<1<5i810qo87:181>5<7s-938768;%173?77<2.:nk4>049'726=;:90(?jm:210?M50:2B:?;5+1d:9034>6=4+3969606<3th=97>51;294~"40=09m<5G3608L4613-9<<7=<3:&2fc<68<1/=ho527;8m7g6290/?5:52`38?xd1>3:1>7>50z&0<1059'5g`=99?0(>9?:210?!4cj398?6F<739K560<,8o3698=;hd:>5<#;1>1==;4;n071?6=,:2?6?;?;:a26<7280;6=u+39696d7<@:=97E??6:&035<4;:1/=oh51178 4cf2;<;7d32;k:76sm6583>7<729q/?5:5869'711=99>0(80D<=9;%3f5$2:7>46232e9884?:%1;0?42821vn;?50;394?6|,:2?6?o>;I146>N68?1/?:>53218 4da28:>7)?ja;0b4>o5i80;6)=74;0b5>=zj?81<7<50;2x 6>321=0(>:8:027?!7en3;;96*<718076=#:mh1?>=4H251?M74>2.:i54;639jb<<72-9387??5:9l613=83.8494=5198yg3a290:6=4?{%1;0?4f92B8;?5G1148 6172:987)?mf;331>"6mh095k5f2`394?"40=09m<54}c43>5<5290;w)=74;:4?!53?3;;86*>bg8240=#;>:1?>=4$3fa>6543A9<>6F>379'5`>="6jo0:<85+1dc96i7>52;294~"40=03;6*<468241=#9kl1==;4$253>6543-8on7=<3:J037=O9:<0(290/?5:51178?j43=3:1(>6;:373?>{e=?0;6<4?:1y'7=2=:h;0D>9=;I332>"4?908?>5+1cd9553<,8oj6?7k;h0b5?6=,:2?6?o>;:a12<72;0;6=u+3969<2=#;==1==:4$0`e>4623-9<<7=<3:&1`g<4;:1C?:<4H015?!7b03>=>6gi9;29 6>328:>76a=4483>!5?<38><65rb200>5<6290;w)=74;0b5>N4?;1C==84$253>6543-;ij7??5:&2ad<50?1b>l?50;&0<1<5i810qo==4;296?6=8r.849477:&002<68=1/=oh51178 6172:987)N4?;1C=>84$0g;>1053`l26=4+3969553<3f8?97>5$2:7>73732wi??>50;394?6|,:2?6?o>;I146>N68?1/?:>53218 4da28:>7)?ja;0;4>o5i80;6)=74;0b5>=zj:8:6=4=:183!5?<32<7)=;7;330>"6jo0:<85+3629765<,;ni6>=<;I146>N6;?1/=h654708mc?=83.8494>0498k722290/?5:52428?xd49l0;6<4?:1y'7=2=:h;0D>9=;I332>"4?908?>5+1cd9553<,8oj6?6>;h0b5?6=,:2?6?o>;:a74`=8381<7>t$2:7>=1<,:><6<>;;%3ab?77=2.8;=4<329'6ad=;:90D>9=;I302>"6m10?:?5ff883>!5?<3;;965`25794?"40=099=54}r0e>5<5s493i73ty8<7>52z?07<1=n01v9m50;1xZ1e<5?i1j4524b81e4=z{=n1<7=t^5f893b=n0168i4=a09~w1c=839pR9k4=7g9b<=:0c<5i81v8>50;1xZ06<5>:1j4525181e4=z{<;1<7=t^438927=n0169<4=a09~w04=839pR8<4=609b<=:=;09m<5rs4194?5|V<901:=5f89>16<5i81v8:50;1xZ02<5>>1j4525581e4=z{=839pR864=649b<=:=109m<5rs4;94?5|V<301:95f89>1<<5i81v8o50;1xZ0g<5>21j4525`81e4=z{k09m<5rs7a94?5|5:2h6<;4=57e>43<5?i1>9;4}r4g>5<4s493o7?9;<66b?71347:?71c<6?27=i7<;5:p2c<72:q6?5m5199>00`=9116:k4=449~w26=839p1>6l:0;8913a28301:>52578yv162908w0=7c;3b?822n3;j709>:366?xu0:3:1?v3<8b82f>;3=o0:n6382;071>{t?:0;6>u239a95f=:<>1<7=t=2:`>4b<5=?m66=4<{<1;g?7b34>>j7?j;<56>7223ty<:7>53z?07=e=:;1688h5239>32<5<<1v:650;0x96>d2;901:652578yv1>2909w0=7c;06?81>2;>>7p}8a;297~;40j09h63;5g81`>;0i38?96s|7c83>2}:;1o1;o5239`93g=:;ho1j45238f9e`=:<;<1mh523g39e`=:<:n1mh5rs9194?2|5:2h65=4=57e>=5<5:2o65=4=2:e>=5d2o3015l52`38yv>d2909w0=7e;:a?8>d2;>>7p}7d;297~X?l273i7<;5:?;`?4f92wx4h4?:2y>72b=n>168<65f89><`7333493h73ty2=7>53z\:5>;>:3l2707>:3c2?xu>:3:1>v3<8d8:5>;>:38?96s|ad83>6}Yil16i;4i9:?ba?4f92wxi;4?:2y>7=c=il16?5l5ad9>a3<5<<1vhm50;1xZ`e<5ln1j452eb81e4=z{ln1<78t=2:`>`e<5:2m6474=2:a>9;4}r336?6=:r784n4>039>7=b=9980q~?>c;296~;40o0:=n5239g954e52z?0v3<8b8272=:;1l1=>94}r30a?6=:r784k4>499>7=c=9:o0q~?;b;296~;40o0:8o5239g950`52z?0916?5h51728yv71?3:1?v3<8b8222=:;1n1=;94=2:e>4003ty::l4?:3y>7=b=9?k01>6i:04b?xu6>o0;6?u239d953`<5:2n6<8i;|q2g5<72;q6?5h51b2896>b28n?7p}>d483>7}:;1l1=i;4=2:f>4b23ty98?4?:2y>04>=:=?01>6l:534?85?m3>:;6s|2`;94?5|V;k270;5i009m<5rs3cb>5<4sW8jm63=d18100=::hk1>l?4}r0bg?6=;rT9mn522e39613<5;kh6?o>;|q1ea<72:qU>lj4=3f1>722348jh7lh52`38yv4e83:1?vP=b19>6a3=:=?01?l?:3c2?xu5j80;6>uQ2c3897b12;>>70{t:k81<7=t^3`1?84c?38?963=b381e4=z{;h86=4<{_0a7>;5l10988522c196d753z\1f1=::m31>9;4=3`7>7g63ty9n84?:2y]6g3<5;nj6?::;<0a1?4f92wx>o950;0x96>d2;h<70::f;0a3>{t:k21<77d?3493h73ty9h=4?:3y>00`=:hk01?j?:g;8yv4c93:1>v3;5g81ef=::m;1j45rs3f1>5<5s4>>j7i=50;0x913a2;kn706a3=n01v?j9:181822n38i=63=d78e=>{t:m=1<77d5348o;7h6;|q1`=<72;q688h52c1897b?2o30q~6l:3f`?822n38oo63<8g81`f=z{;o:6=4={<1;g?4b92784i4=db9~w6762909w0::f;126>;40k08=<5rs23b>5<5s4>>j7=>b:?0;41809885238296d752z?0=0<5<<16?4?5f89~w6?52909w0=63;071>;41<0mm6s|38194?4|5:3?6?::;<1:1?`e3ty85;4?:2y]7<0<5:336?::;<1:2?4f92wx?4650;0x96?02;>>70=68;d:?xu41h0;6>uQ38c896?e2o301>7n:3c2?xu41k0;69u244d9776;<1;b?5>12785o4=449~w6?d2908wS=6c:?0=a<5<<16?4m52`38yv5>m3:1>v3<8d80=c=:;0n1j45rs2cg>5<4sW9jh63l?4}r1bb?6=:r78mh4=449>7d`=n01v>l>:180[5e9278n?4=449>7g7=:h;0q~=m2;296~;40o08n<523c09b<=z{:h26=4<{_1a=>;4jh0m563v3<8c80fa=:;ko1j45rs2a4>5<4sW9h;63l?4}r1`7f>=n01v>ml:180[5dk278oi4=449>7fe=:h;0q~=ld;296~;40m08oo523bf9b<=z{:in6=4<{_1`a>;4ko0m563v3<8d80`3=:;m=1j45rs2fb>5<4sW9om63l?4}r1gg?6=:r784k47ae=n01v>k<:180[5b;278i84=449>7`5=:h;0q~=j5;296~;40l08i>523d79b<=z{:oj6=4<{_1fe>;4mk0m563;40o08ii523dd961353z\0b5=:;o;1>9;4=2d3>7g63ty8j?4?:3y>7=c=;ln01>h>:g;8yv27>3:1?vP;079>05?=:=?019>9:3c2?xu3800;6?u244d9050<5=:26k74}r624?6=;rT?==524039613<5=;;6?o>;|q754<72;q688h53gd891762o30q~:>2;297~X39;168<=5257891752;k:7p};1283>7}:<:4=530>c?:87>53z\751=:<8<1>9;4=537>7g63ty?=;4?:3y>00`=<8>019?9:g;8yv26?3:1?vP;169>04>=98h019?8:3c2?xu3900;6?u240c9613<5=;36lk4}r62f?6=:r784o4;1c9>04g=n01v9?l:180[26k27?=h4=449>04e=:h;0q~:>e;296~;3=o0?=n5240g9b<=z{=;m6=4<{_62b>;3:809885240d96d79=7>52z?71c<39o168??5f89~w1442908wS:=3:?761>4=a09~w1432908w0::f;616>;40o0?>?524369613997>53z\760=:<;<1>9;4=506>7g63ty?>:4?:3y>7=c=<;8019<9:g;8yv24k3:1?vP;3b9>06b=:=?019=l:3c2?xu3;l0;6?u239g906d<5=9o6k74}r665?6=;rT?9<524409613<5=?:6?o>;|q717<72;q6?5j5442891352o30q~::3;297~X3=:1688:5f89>005=:h;0q~::4;296~;40l0?9=524469613>o7>53z\71f=:<>h7>54z?0a2=?i70::d;071>{t;kh1<7=t^2`a?85ej38j=63{t>00;6>uQ689>2<<5i816:l4i9:p22<72:qU::526681e4=:>10m56s|6483>6}Y><16:84=a09>234?:2y]26=:>:09m<52658e=>{t>80;6>uQ609>24<5i816:?4i9:p1c<72:qU9k525g81e4=:>90m56s|5e83>6}Y=m169i4=a09>1`{t;;91<7=t^200?855;38j=63<258e=>{t;;:1<7=t^203?855838j=63<208e=>{t;8o1<7=t^23f?856m38j=63<1g8e=>{t;ki1<7?t=2``>7223ty=m7>51z?5e?43=2wx:54?:0y>2=<5<<1v;850;3x930=:=?0q~8;:1828032;>>7p}92;295~;1:38?96s|6183>4}:>909885rs4g94?7|59;4}r74>5<6s4?<6?::;|q061<728q6??:52578yv5593:1=v3<208100=z{:;m6=4>{<12b?43=2wvb<;i0;290~N4?;1vb<;i1;290~N4?;1vb<;i2;297~N4?;1vb<;i3;295~N4?;1B>l?51z39y_`228q:il4r}o36b1<728qC?:<4I3c2>4}62tPm97?t1dc9yxh6=o?1<7?tH251?L4f93;p=7sUf4824cf2twe=8h9:182M50:2C9m<4>{08~^c3=9r;nm7srn07e3?6=9rB8;?5F2`395~7=uSl>6e`8~yk72n10;65<6sA9<>6G=a0824jn4?:0yK724:|Xe1?7|9lk1qp`>5gf94?7|@:=97D51zJ037=N:h;1=v?5}[d6>4}6mh0vqc?90183>4}O;>80E?o>:0y2>x\a=3;p=ho5}|l2257=83;pD>9=;H0b5?7|93wQj84>{0gb>x{i9?:96=4<{I146>{i9?:86=4<{I146>{i9?:?6=4<{I146>{i9?:>6=4<{I146>{i9?:=6=4<{I146>{i9?:<6=4<{I146>{i9?:36=4<{I146>{i9?:26=4<{I146>{i9?:j6=4;{I146>{i9?:i6=4:{I146>{i9?:h6=4={I146>{i9?:o6=4={I146>{i9?:n6=4={I146>{i9?:m6=4={I146>{i9?;;6=4<{I146>{i9?;:6=46{I146>{i9?;96=4m{I146>{i9?;86=4>{I146>O5i80:w<4rZg795~7bi3wvb<8>4;295`}O;>80qc?91483>4}O;>80E?o>:0y1>x\a=3;p=ho5}|l2240=839pD>9=;|l2241=83;pD>9=;H0b5?7|:3wQj84>{0gb>x{i9?;36=4>{I146>{i9?;26=4>{I146>O5i80:w<4rZg795~7bi3wvb<8>a;291~N4?;1vb<8>b;295~N4?;1B>l?51z39y_`228q:il4r}o355f<72>qC?:<4}o355a<728qC?:<4I3c2>4}62tPm97?t1dc9yxh6>8o1<78;{I146>{i9?;m6=4<{I146>{i9?8;6=48{I146>{i9?8:6=4:{I146>{i9?896=4<{I146>{i9?886=48{I146>{i9?8?6=4:{I146>{i9?8>6=4;{I146>{i9?8=6=4;{I146>{i9?8<6=4;{I146>{i9?836=48{I146>{i9?826=4:{I146>{i9?8j6=48{I146>{i9?8i6=4:{I146>{i9?8h6=4>{I146>O5i80:w?4rZg795~7bi3wvb<8=d;295~N4?;1B>l?51z09y_`228q:il4r}o356`<728qC?:<4I3c2>4}52tPm97?t1dc9yxh6>;l1<7?tH251?L4f93;p>7sUf4824cf2twe=;=?:182M50:2C9m<4>{38~^c3=9r;nm7srn0405?6=9rB8;?5F2`395~4=uSl>6e`8~yk71;;0;6=50;3xL6153@8j=7?t2;Yb0<6s8oj6psa1717>5<6sA9<>6G=a082762594?7|@:=97D2909wE=82:m535f290>wE=82:m5320290?wE=82:m532?2908wE=82:m532>290?wE=82:m532f290>wE=82:m532d290:wE=82:K1e4<6s;0vVk;51z3fe?{zf851zJ037=zf851zJ037=zf851zJ037=zf8<><7>51zJ037=N:h;1=v<5}[d6>4}6mh0vqc?95083>4}O;>80qc?95383>4}O;>80E?o>:0y2>x\a=3;p=ho5}|l2205=839=;|l2202=838pD>9=;H0b5?7|;3wQj84>{0gb>x{i9??>6=46{I146>{i9??=6=4>{I146>O5i80:w?4rZg795~7bi3wvb<8:7;295~N4?;1vb<8:8;295~N4?;1B>l?51z09y_`228q:il4r}o351<<72:qC?:<4}o351d<728qC?:<4I3c2>4}62tPm97?t1dc9yxh6>7sUf4824cf2twe=;;k:187M50:2we=;;j:182M50:2C9m<4>{38~^c3=9r;nm7srn046b?6=6e`8~yk71>80;69uG3608yk71>;0;65<3sA9<>6sa1745>5<6sA9<>6G=a0827vF<739~j40103:1=vF<739J6d7=9r81qWh::0y2ad:|Xe1?7|9lk1qp`>67`94?2|@:=97p`>67a94?7|@:=97D55zJ037=zf8<<<7>51zJ037=N:h;1=v<5}[d6>4}6mh0vqc?97083>7}O;>80qc?97383>4}O;>80E?o>:0y1>x\a=3;p=ho5}|l2225=838pD>9=;|l2222=83;pD>9=;H0b5?7|:3wQj84>{0gb>x{i9?=>6=4={I146>{i9?==6=4>{I146>O5i80:w?4rZg795~7bi3wvb<887;296~N4?;1vb<888;297~N4?;1B>l?53z195?5=uSl>6e`8~yk71?00;6>uG3608yk71?h0;69uG3608yk71?k0;65<5sA9<>6sa175e>5<6sA9<>6G=a0824vF<739J6d7=9r91qWh::0y2ad4?:3yK72469694?2|@:=97p`>69794?7|@:=97D1290?wE=82:m53>0290:wE=82:K1e4<6s80vVk;51z3fe?{zf8<347>52zJ037=zf8<357>51zJ037=N:h;1=v?5}[d6>4}6mh0vqc?98`83>0}O;>80qc?=1;295~N4?;1vb<<=:182M50:2we=?=50;3xL6153td:>94?:0yK72451zJ037=zf88<6=4>{I146>{i9;21<7=tH251?xh6:00;6>uG3608yk75k3:1=vF<739~j44c290:wE=82:m57`=83;pD>9=;|l275<728qC?:<4}o305?6=9rB8;?5rn011>5<6sA9<>6sa12694?7|@:=97p`>3483>4}O;>80qc?<6;295~N4?;1vb<=8:182M50:2we=>650;3xL6153td:?44?:3yK72452zJ037=zf89i6=4>{I146>{i9:i1<7?tH251?xh6;m0;69=;|l0e6<728qC?:<4}o1b1?6=:rB8;?5rn2c5>5<5sA9<>6sa3c094?4|@:=97p`7}O;>80qc=m4;296~N4?;1vb>l::182M50:2we?o850;3xL6153td8n:4?:0yK72451zJ037=zf:h26=4>{I146>{i;kk1<7?tH251?xh4jk0;69=;|l0g4<725<2sA9<>6sa3b694?5|@:=97p`0}O;>80qc=l6;297~N4?;1vb>m8:180M50:2we?n650;1xL6153td8o44?:0yK72451zJ037=zf:ii6=4={I146>{i;ji1<79=;|l0`4<728qC?:<4}o1g6?6=9rB8;?5rn2f0>5<6sA9<>6sa3e694?7|@:=97p`4}O;>80qc=k6;295~N4?;1vb>j8:182M50:2we?i650;3xL6153td8h44?:0yK72451zJ037=zf:ni6=4>{I146>{i;l:1<7=tH251?xh4m:0;69=;|l0a=<728qC?:<4}o1fa?6=9rB8;?5rn2ge>5<6sA9<>6sa3g294?7|@:=97p`4}O;>80qc:6f;297~N4?;1vb9o?:180M50:2we8l?50;1xL6153td?m>4?:0yK724jm7>51zJ037=zf=ki6=4>{I146>{i9=;|l7f6<728qC?:<4}o6a0?6=9rB8;?5rn5`6>5<4sA9<>6sa4c594?7|@:=97p`;b983>4}O;>80qc:m9;295~N4?;1vb9ln:180M50:2we4:?50;0xL6153td3;94?:2yK72453zJ037=zf1=<6=4<{I146>{i0>h1<7=tH251?xh??m0;6>uG3608yk>0m3:1=vF<739~j=1a290:wE=82:m<=6=83;pD>9=;|l;<4<728qC?:<4}o:;6?6=9rB8;?5rn9:0>5<6sA9<>6sa89694?7|@:=97p`78483>4}O;>80qc676;295~N4?;1vb568:182M50:2we45650;3xL6153td3444?:0yK72451zJ037=zf12i6=4>{I146>{i01i1<7?n3:1>vF<739~j=?7290:wE=82:m<<7=83;pD>9=;|l;=7<728qC?:<4}o::7?6=9rB8;?5rn9;5>5<5sA9<>6sa88594?7|@:=97p`79983>4}O;>80qc66a;295~N4?;1vb57m:182M50:2we44m50;3xL6153td35i4?:2yK72451zJ037=zf13m6=4>{I146>{i0h:1<7?tH251?xh?i80;6f:3:1=vF<739~j=g4290:wE=82:m9=;|l;e0<728qC?:<4}o:b2?6=9rB8;?5rn9c4>5<6sA9<>6sa8`:94?7|@:=97p`7a883>4}O;>80qc6na;295~N4?;1vb5om:181M50:2we4lm50;3xL6153td3mi4?:0yK72451zJ037=zf1km6=4>{I146>{i0k:1<7?tH251?xh?j80;6e;3:1?vF<739~j=d3290:wE=82:m9=;|l;f3<728qC?:<4}o:a3?6=9rB8;?5rn9`;>5<6sA9<>6sa8c;94?7|@:=97p`7b`83>4}O;>80qc6mb;295~N4?;1vb5ll:182M50:2we4oj50;3xL6153td3nh4?:0yK72451zJ037=zf1i;6=4>{I146>{i0j;1<7?tH251?xh?k;0;6?uG3608yk>d;3:1>vF<739~j=e22908wE=82:m9=;|l;g2<728qC?:<4}o:`5<6sA9<>6sa8bc94?7|@:=97p`7cc83>4}O;>80qc6lc;295~N4?;1vb5mk:182M50:2we4nk50;3xL6153td3ok4?:0yK72451zJ037=zf1n:6=4>{I146>{i0m81<7?tH251?xh?l:0;6c<3:1:vF<739~j=b2290?wE=82:m9=;|l;`=<72;qC?:<4}o:g=?6=:rB8;?5rn9fb>5<6sA9<>6sa8e`94?7|@:=97p`7db83>4}O;>80qc6kd;295~N4?;1vb5jj:182M50:2we4ih50;3xL6153td3i=4?:0yK72453zJ037=zf1o96=4<{I146>{i0l91<7?tH251?xh?m=0;68uG3608yk>b=3:1?vF<739~j=c1290:wE=82:m<`1=83;pD>9=;|l;a=<728qC?:<4}o:f=?6=9rB8;?5rn9gb>5<6sA9<>6sa8d`94?7|@:=97p`7eb83>4}O;>80qc6jd;295~N4?;1vb5kj:182M50:2we4hh50;3xL6153td3j?4?:3yK72452zJ037=zf1l?6=4>{I146>{i0o?1<7?tH251?xh?n>0;6a03:1=vF<739~j=`>290:wE=82:m9=;|l;bg<728qC?:<4}oga`?6=;rB8;?5rnd`f>5<6sA9<>6saecd94?7|@:=97p`jc183>4}O;>80qckl1;295~N4?;1vbhm=:182M50:2wein=50;3xL6153tdno94?:0yK72451zJ037=zfli=6=4>{I146>{imjk1<7=tH251?xhbkk0;6>uG3608ykcdk3:1?vF<739~j`ec290:wE=82:mafc=83;pD>9=;|lfgc<728qC?:<4}ogg4?6=9rB8;?5rndf2>5<6sA9<>6saee194?2|@:=97p`jd583>47|@:=97p`jd483>6}O;>80qckk6;295~N4?;1vbhj8:182M50:2weii650;3xL6153tdnh44?:0yK72451zJ037=zflni6=4;{I146>{immi1<7=tH251?xhblm0;69=;|lfa4<72=qC?:<4}ogf6?6=;rB8;?5rndg0>5<6sA9<>6saed694?4|@:=97p`je483>7}O;>80qckj6;295~N4?;1vbhk8:182M50:2weih650;3xL6153tdni44?:0yK72451zJ037=zfloi6=4>{I146>{imli1<7?tH251?xhbmm0;69=;|lfb4<72;qC?:<4}oge6?6=:rB8;?5rndd0>5<5sA9<>6saeg694?5|@:=97p`jf783>6}O;>80qcki7;295~N4?;1vbhh7:182M50:2weik750;3xL6153tdnjl4?:0yK72451zJ037=zfllh6=4>{I146>{imon1<7?tH251?xhbnl0;69=;|le47<728qC?:<4}od37?6=9rB8;?5rng27>5<6sA9<>6saf1794?7|@:=97p`i0783>4}O;>80qch?8;297~N4?;1vbk>n:180M50:2wej=l50;3xL6153tdm51zJ037=zfo:n6=4>{I146>{in9l1<7?tH251?xha990;69=;|le53<728qC?:<4}od23?6=9rB8;?5rng3;>5<4sA9<>6saf0;94?7|@:=97p`i1`83>4}O;>80qch>b;295~N4?;1vbk?l:180M50:2wej51zJ037=zfo8;6=4>{I146>{in;;1<7?tH251?xha:;0;69=;|le63<72:qC?:<4}od13?6==rB8;?5rng0;>5<4sA9<>6saf3;94?7|@:=97p`i2`83>4}O;>80qch=b;297~N4?;1vbkh4?:0yK72451zJ037=zfo9;6=4>{I146>{in:;1<7?tH251?xha;;0;6>uG3608yk`4;3:19vF<739~jc53290>wE=82:mb63=839pD>9=;|le73<728qC?:<4}od03?6=9rB8;?5rng1;>5<6sA9<>6saf2;94?7|@:=97p`i3`83>6}O;>80qchj50;3xL6153tdm?h4?:0yK72451zJ037=zfo>96=4<{I146>{in=91<7uG3608yk`3=3:1?vF<739~jc202902wE=82:mb1>=839pD>9=;|le0<<728qC?:<4}od7e?6=9rB8;?5rng6a>5<6sA9<>6saf5a94?7|@:=97p`i4e83>4}O;>80qch;e;295~N4?;1vbk:i:182M50:2wej8>50;3xL6153tdm9<4?:0yK72497>53zJ037=zfo?<6=4>{I146>{in<21<7?tH251?xha=00;69=;|le1`<728qC?:<4}od6b?6=9rB8;?5rng43>5<6sA9<>6saf7394?7|@:=97p`i6383>4}O;>80qch93;295~N4?;1vbk8;:180M50:2wej;950;3xL6153tdm:54?:0yK72451zJ037=zfo{I146>{in?h1<7?tH251?xha>j0;69=;|le35<72:qC?:<4}od46?6=:rB8;?5rng50>5<5sA9<>6saf6694?7|@:=97p`i7483>4}O;>80qch86;295~N4?;1vbk98:182M50:2wej:650;3xL6153tdm;44?:0yK72451zJ037=zfo=i6=4>{I146>{in>i1<7?tH251?xha?m0;6290:wE=82:m56ed290wE=82:m56ea2908wE=82:m56b7290:wE=82:m56b62908wE=82:m56b52908wE=82:m56b4290:wE=82:m56b32908wE=82:m56b22908wE=82:m56b1290:wE=82:m56b0290:wE=82:m56b?290:wE=82:m56b>290:wE=82:m56bf290:wE=82:m56be2908wE=82:m56bd2909wE=82:m56bc2909wE=82:m56bb290:wE=82:m56ba290:wE=82:m56c7290:wE=82:m56c6290:wE=82:m56c52908wE=82:m56c42908wE=82:m56c32908wE=82:m56c22908wE=82:m56c12908wE=82:m56c02908wE=82:m56c?2908wE=82:m56c>2908wE=82:m56cf2908wE=82:m56ce2908wE=82:m56cd2908wE=82:m56cc2908wE=82:m56cb2908wE=82:m56ca2909wE=82:m56`72909wE=82:m56`62909wE=82:m56`52909wE=82:m56`42909wE=82:m56`32909wE=82:m56`22909wE=82:m56`12909wE=82:m56`02909wE=82:m56`?2909wE=82:m56`>2909wE=82:m56`f2909wE=82:m56`e2908wE=82:m56`d2908wE=82:m56`c2908wE=82:m56`b2908wE=82:m56`a2908wE=82:m51672908wE=82:m51662908wE=82:m51652908wE=82:m51642908wE=82:m51632908wE=82:m51622908wE=82:m51612908wE=82:m5160290:9vF<739~j42713:1>vF<739~j427i3:1=vF<739~j427j3:1=vF<739~j427k3:1=vF<739~j427l3:1=vF<739~j427m3:1>vF<739~j427n3:1>vF<739~j42683:1=vF<739~j42693:1=vF<739~j426:3:1=vF<739~j426;3:1=vF<739~j426<3:1?vF<739~j426=3:1>vF<739~j426>3:1=vF<739~j426?3:1=vF<739~j42603:1?vF<739~j42613:1>vF<739~j426i3:1=vF<739~j426j3:1?vF<739~j426k3:1>vF<739~j426l3:1=vF<739~j426n3:1>vF<739~j42583:1=vF<739~j42593:1>vF<739~j425:3:1>vF<739~j425;3:1>vF<739~j425<3:1>vF<739~j425=3:1>vF<739~j425>3:1jvF<739~j42503:1?vF<739~j42513:1=vF<739~j425i3:1=vF<739~j425j3:1=vF<739~j425k3:1=vF<739~j425l3:1=vF<739~j425m3:1=vF<739~j42483:1?vF<739~j<1=839pqc7>:182xhfk3:1=vsa19c94?7|ug;2j7>51zm5g2=83;pqc?k3;297~{i9jn1<7?t}o3e6?6=;rwe=hm50;3xyk4693:1?vsa21`94?7|ug88<7>53zm67g=83;pqc<;f;297~{i:=31<7?t}o05a?6=;rwe>;650;3xyk4?l3:1?vsa29594?7|ug8jo7>53zm6d0=83;pqch:50;3xyk2583:1?vsa40c94?7|ug>8j7>53zm06?=83;pqc:90;296~{i<<31<7?t}o6f51zm106=839pqc;;a;295~{i=??1<7?t}o74e?6=9rwe95h50;3xyk3f<3:1=vsa5c;94?7|ug?o47>53zm1a4=83;pqc;i7;297~{i=o;1<7?t}o422?6=;rwe:<>50;3xyk04=3:1?vsa63d94?7|ug<>87>53zm21c=83;pqc883;297~{i>?n1<7?t}o4:6?6=;rwe:5m50;3xyk0e93:1?vsa6``94?7|ug53zm2fg=83;pqc7=c;295~{i1=h1<7=t}o;71?6=9rwe5;o50;1xyk?1<3:1=vsa99;94?5|ug33?7>51zm=d>=839pqc7n2;295~{i1j=1<7=t}o;`5?6=9rwe5h850;1xyk?b83:1=vsaa1794?5|ug3mj7>51zme72=839pqco>e;295~{ii=91<7=t}oc0`?6=9rwem;<50;1xykg2k3:1=vsaa9394?5|ugk51zmed6=839pqco6a;295~{iikl1<7=t}oca=?6=9rwemik50;1xykgc03:1=vsaagf94?5|ugkm;7>51zmf4e=839pqcl>6;295~{ij:n1<751zmf51zmg4>=839pqcm>2;295~{ik:=1<7=t}oa05?6=9rweo8850;1xyke283:1=vsaf`294?7|ugli97>51zmbfg=83;pqchkf;295~{ino>1<7?t}o334<<728qvb<>>e;295~{i99986=4>{|l241>=83;pqc??5e83>4}zf8:<>7>51zm55>0290:wp`>08a94?7|ug;;n<4?:0y~j46d>3:1=vsa11fa>5<6std:50;3xyk768<0;6{|l25=e=839pqc?>8783>4}zf8;jn7>53zm54g2290:wp`>1bc94?5|ug;:o94?:0y~j47b13:1?vsa10g0>5<6std:>=650;1xyk758;0;6:182xh6>1h1<7?tZg795~7bi3wC>9:4}o35{0gb>xN5<=1vb<87d;295~\a=3;p=ho5}I070>{i9?2n6=4>{[d6>4}6mh0vD?:;;|l22=`=83;pVk;51z3fe?{O:=>0qc?99183>4}]n<0:w51zXe1?7|9lk1qE<;4:m53?5290:wWh::0y2ad?7p`>68194?7|Ro?1=v?ja;K612=3:1=vTi5;3x5`g=uA8?86sa17;5>5<6sSl>6e`8~L7233td::4950;3xyk71110;60h1<7?t}o35=f<728qvb<86d;295~{i9?3n6=4>{|l22<`=83;pqc?9a183>4}zf851zm53g5290:wpsr}AB@4?c:33:8h=k88CDG}7uIJ[wpNO \ No newline at end of file +$5fb5=23-;B8<;4$0K770=#9@?>96*>N506?!7I<=?0(<@;8008 Wcqazcdb7.>.37:$!><.V;B8 X9G>9S964&^3M01Y302,T=C:7_53844=69;1:>7AZTQWW>WG;980;2<>4178JJUSS2H69?76111915?OIX\^1M1<>:0<20>442@D[YY4N_GQA875=97l0?7GAPTV9WR:4284>7L2>0?78E9766<1J0<<15:C?56823H6:83;4A=36:0=F48<596O316<6?D:607?0M1?6>59B84823H69<394A=02>4823H69=3;4A=01:2=F4;9143;4A=00:1=F4;4?7L2<>59B81833H6>295N<7<7?D:06=1J050;;@>::1=FDL:>7LBJ0L78EIC7[j1J@H>Pmtz3456c3HFNGKM9Ufyu>?016g?DJB8Vg~t=>?04f8EIC7Wds<=>?6e9BH@6Xe|r;<=>8d:COA5Yj}q:;<=6k;@NF4Zkrp9:;<4:4AMG2g>GKM8UTc>?00f8EIC6WVey<=>>129BJA2GIL>>0MCJ74:CPvcb24;?>89B[CUE488556OPFR@?568>3HUM_O2>4?;8EZ@TJ5;>245N_GQA840912KTJ^L316<:?DYA[K6:4374A^DPF97>611JSK]M<0<:?DYA[K69<374A^DPF946601JSK]M<30=f>GXNZH7>>4>>89B[CUE4;9546OPFR@?6;>GXNZH7:364A^DPF91902KTJ^L38?:8EZ@TJ535h6OPFR@\55YNJ\Lo7LQISC]25ZOE]On0MRH\B^31[LDRNm1JSK]M_01\MGSAl2KTJ^LP15]JFP@c3HUM_OQ>5^KAQCbGXNZHT=5QFBTDg?DYA[KU:5RGMUGa8EZ@TJV;TEO[Id:C\BVDX:9UBNXHk;@]EWGY59VCIYKj4A^DPFZ45W@H^Ji5N_GQA[75XAK_Mo6OPFR@\6ZOE]Oi0MRH\B^1\MGSAk2KTJ^LP4^KAQCeGXNZHT:RGMUGa8EZ@TJV=TEO[Ic:C\BVDX0VCIYKm4A^DPFZ?XAK_M:6O`uuMFa>Gh}}ENS`{w0123b>Gh}}ENS`{w01235c=Fg|~DIRczx12347`0NLM[5:@BGQ723KKHX?;4B@AWv6=E]O;;7O[FLE]WEWAB\HXHD55MUR]JJCI63J>0O<8B9:A22HYNJ\L=7NE?E{90OL]m;BCP[hs89:;o6MNS^ov|56788i0OL]Pmtz34565k2IJ_Rczx12346eEF[Vg~t=>?04a8GDUXe|r;<=>9c:ABWZkrp9:;<:m4C@Q\ip~789:3>6MJ3:AOO6=DD[90OCZ6;BMNILRSMM=0O_KNTDF1?FU43J^G:6Mce`p2b>Ekmhx:SRoad12344=Cm2NYOR]ZCBGPWCSK?2Njxl2?>69Geqg;97<0Hb{{ODf8@jssGLUd~=>?0d9GkprHMVey<=>?1d9GkprHMVey<=>?2d9GkprHMVey<=>?3d9GkprHMVey<=>?4d9GkprHMVey<=>?5d9GkprHMVey<=>?609F1>CAief=7HHnlm35?@@fde8>7H]30?78AV:66<1N_1<15:GP86833LYTh5JS^2\[dhc89:;?h5JS^2\[dhc89:;8h5JS^2\[dhc89:;9h5JS^2\[dhc89:;:95JS^3g?@UX9VUjbi>?01g8AVY6WVkeh=>?00g8AVY6WVkeh=>?0368AVY5l2OXS?QPaof3456b3LYT>RQnne23457b3LYT>RQnne2345433LYT?i5JS^1\[dhc89:;i6K\_2]\ekb789::i6K\_2]\ekb789:9=k5JS^Ob`aYXimn;<=>PMymq[Wct}e~7=3?i;DQ\IdbcWVkoh=>?0^O{kwYUmzgx1<11g9FWZKflmUTmij?012\I}iuW[oxyaz33?3:?@UXEhnoSRokd1234ZYffm:;<=?n;DQ\IdbcWVkoh=>?0^]bja6789;:56K\_Lcg`ZYflm:;<=QPaof34576i2OXS@okd^]b`a6789UTmcj?0132`>CTWXU:Sb|?012f?@UXYV;Tc>?013e?@UXYV;Tc>?0133b>CTWXU:Sb|?01225`=B[V[T=Ra}01236`=B[V[T=Ra}01237`=B[V[T=Ra}01230`=B[V[T=Ra}01231`=B[V[T=Ra}01232`=B[V[T=Ra}01233`=B[V[T=Ra}0123<`=B[V[T=Ra}0123=`=B[VUjhi>?01>2:`=B[VUjhi>?01>1:`=B[VUjhi>?01>0:==BPYKEHHJ>1:G[TDHCMMUIOIQIIMG20>C_XHDOIIQLOOQWVDOI\Z;:7HV_AOFF@ZOTMVLB@H84E^KAQC449Fv979<2OyS=:4Es]20>@DDB30JNBD_H@VB7=AL:1MHN:4FEAF0>@CKY90JI^=;GD;?CV@I@DBX55IRNO\QF@43OYI=6I<;FLG5>O53@:97D?=;H01?L553@>97D;=;H41?L133@KH_85FABQ20>OE]O80EC64IODFVWCC12CEEY][AUG4?LHN\Z^H46GAIUQWG4c2:KMMQUXNZGTJKj>-Hl1a>OIA]YTJ^CPFGf154=NF@^XSK]B_GDg6(Oi9;1BBDZ\_GQN[C@c:$Ce=<<4IOKWWZ@TEVLMh?#Fn331?LHN\ZUM_@QIFe0.Mk56:2CEEY]PFRO\BCb5%@d?=?5FNHVP[CUJWOLo> Ga5d9JJLRTWOYFSB{{3g9JJLRTWOYFSB{{30d8MKOS[VLXARAzt2024>OIA]YTo`~Pep23465h5FO@AW[hs89:;?h5FO@AW[hs89:;8h5FO@AW[hs89:;9h5FO@AW[hs89:;:h5FO@AW[hs89:;;h5FO@AW[hs89:;4h5FO@AW[hs89:;5;5FO@AWv3=NGKOY^h5FOCGQVZhh|9:;=k5FOCGQVZhh|9:;=<:4IN@N0>OHJZ<0EBLZFD48MJEHEY>0EBM\5:KLGV713@EH_<>9;HM@W4713@EH_<<9;HM@W4513@EH_<:9;HM@W4323@EH_?;4INAP70=NGJY?96G@CR76?LID[??0EBM\749JKFU?=2CDO^79;HMGKKCc3@EOCCKPos2345cl;HMO4Zkrp9:;h6G@L1]nq}67898o7DAC0^ov|5678:n0EBB?_lw{4567Pmtz34562l2CD@=Qbuy23450c3@EGOHD8i0EBB>_omw4566l2CD@?0031?LIIX[ojhtQPos234546:2CDB]\jae{\[jt789:8=?5FOORQadb~WVey<=>?4008MJHWZlkouRQ`r123400?0031?LIW_[ojhtQPos234546:2CD\Z\jae{\[jt789:8;6G@REPFUc=NG[NYI\Qnne2345773@EYH_K^_`lg456798:0EB\KRDS\ekb789:9==5FOSFQATYffm:;<==i;HMQ@WCVWds<=>?119JKWBUMXUfyu>?01325>OHZMXN]Rczx123446692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;<<<>1:KLVATBYVg~t=>?00125>OHZMXN]Rczx123442682CD^I\JQ^ov|5678;;;7DA]DSGR[hs89:;?<>4INPGV@WXe|r;<=>;119JKWBUMXUfyu>?01724>OHZMXN]Rczx12343773@EYH_K^_lw{4567?8:0EB\KRDS\ip~789:3==5FOSFQATYj}q:;<=77;HMQ@WCVz?1BC_K^r69JKWCVz8=0EB\JQs04?LIUMXx896G@RV3g?LIU_8Ujbi>?01g8MJTP9Vkeh=>?00g8MJTP9Vkeh=>?03g8MJTP9Vkeh=>?02g8MJTP9Vkeh=>?05g8MJTP9Vkeh=>?04g8MJTP9Vkeh=>?07g8MJTP9Vkeh=>?0668MJU7k2CD_=Qnne2345bOH[9Ujbi>?011`?LIT8Vg~t=>?0e9JKV6Xe|r;<=>>e:KLW5Yj}q:;<=??d:KLW5Yj}q:;<=j4INQ3[hs89:;8i5FOR2\ip~789:>h6G@S1]nq}6789n0EB]?_lw{45670m1BC^>Pmtz3456>k2CD_RH\M^DE`4eOH[VLXARHId3/Jj46m4INQ\BVKXNOn8j6G@S^DPIZ@Al:'Bb<>4INQ\BVKXNOn8!D`>119JKVYA[DUMJi="Io024>OH[VLXARHId2/Jj6773@EXSK]B_GDg7(Oi<8:0EB]PFRO\BCb4%@d>==5FOR]EWHYANm9&Ec8l;HMP[CUJWOLo8n5FOR]EWHYANm?h7DA\_GQN[C@c>o1BC^QISL]EBa0*Ag;;7DA\_GQN[C@c>$Ce=<>4INQ\BVKXNOn=!D`=119JKVYA[DUMJi8"Io124>OH[VLXARHId7/Jj1773@EXSK]B_GDg2(Oi=j1BC^QISL]EBa1a3@EXSK]B_GDg3(Oi991BC^QISL]EBa1*Ag;:<6G@S^DPIZ@Al>'Bb???;HMP[CUJWOLo; Ga3028MJUXNZGTJKj8-Hl755=NGZUM_@QIFe5.Mk313@E_U]K;;HMV4f=NG\:Taxv?012g?LIR8Vg~t=>?00g8MJS7Wds<=>?11g8MJS7Wds<=>?10g8MJS7Wds<=>?13g8MJS7Wds<=>?12g8MJS7Wds<=>?15g8MJS7Wds<=>?14f8MJS7Wds<=>?2e9JKP6Xe|r;<=>0EB[>c:KLQ4Yig}:;<OH_[XN]k5FOVPQATYj}q:;<=??;HMTVWCVWds<=>?1038MJQUZL[Taxv?0122447>3038MJQUZL[Taxv?01220470:KLSWTBYVg~t=>?0533?LIPZ[OZS`{w0123146?999JKRTUMXxn7FKJP^JJAWGUKAS<7AGMR@PZ2>JHIMOO?6B@C69OKBODIEk0@]CPXNP1[4?`9OPlkbz5;;2l5CThofv9766h1GXdcjr=31:<=K\`gn~1?19:NWmhcu4;427AZfmdp?7;?89OPlkbz5?556B[ilgq838>3E^bah|37?;8HQojm{63245CThofv9?902F_e`k}TB30?IRnelx_ORmbp^gr45679=1GXdcjrUA\ghvXmx:;<=?>4:NWmhcu\JUha}Qjq12347733E^bah|[C^antZcv89:;?<:4LUknawRDWjg{Sh?012751=K\`gn~YMPclr\at6789?37AZfmdp\4==K\`gn~R?6;MVji`tX9930@Ygbes]25<=K\`gn~R?=8:NWmhcuW;20@Ygbes]0<>JSadoyS964LUknawY202F_e`k}_7:8HQojm{U<46B[ilgq[=>?01]N|jtXZly~`y2=>318HQojm{UFmijP_`fg4567WDrd~R\jstnw8685;2F_e`k}_Lcg`ZYflm:;<=QBxnp\V`urd}6?2?=4LUknawYJimnTSljk0123[H~hzVXnxb{<4<17>JSadoyS@okd^]b`a6789UFtb|PRdqvhq:16;90@Ygbes]NeabXWhno<=>?_LzlvZTb{|f0:0=3:NWmhcuWDkohRQnde2345YJpfxT^h}zlu>;:75?01]Qavsk|V:Tbbz?01225a=K\`gn~RCnde]\eab789:TSl`k01235`=K\`gn~RCnde]\eab789:TSl`k012354bP_`lg45639l1GXdcjr^Ob`aYXimn;<=>P_`lg456398n0@Ygbes]NeabXWhno<=>?_^cm`567=8o0@Ygbes]NeabXWhno<=>?_^cm`567=8;o7AZfmdp\IdbcWVkoh=>?0^]bja678?;n7AZfmdp\IdbcWVkoh=>?0^]bja678?;:h6B[ilgq[HgclVUjhi>?01]\ekb789=:i6B[ilgq[HgclVUjhi>?01]\ekb789=:=i5CThofvZKflmUTmij?012\[dhc89:3=h5CThofvZKflmUTmij?012\[dhc89:3=2:NWmhcuWVkoh=>?0=2=56=K\`gn~RQnde2345:687;87AZfmdp\[dbc89:;0?01>26;753E^bah|P_`fg4567484:>6B[ilgq[Zgcl9:;<1<1139OPlkbzVUjhi>?01>0:442:NWmhcuWVkoh=>?0=4=57=K\`gn~RQnde2345:06880@Ygbes]\eab789:743?=;MVji`tXWhno<=>?<8<6?Iifl8o0@bok1^]bja6789i0Ald`rWgqwliik2Gbbb|Yesqjkk4IE]OO>7BJLSsf8KAETzVkeh=>?0d9L@FUuWhdo<=>?129LJ@4IU<:1DYY:4Ooafg>IiklUTc>?01f8KkebWVey<=>?1e9LjfcXWfx;<=>=1:R`?U(5889:<<=PL29S555V6?:1[=5=4P0;1?U443Y8;?6^=229S66587]<:3:R136=W:190\?7=;Q10?U57;2Z8>>5_3218T6243Y9>?6^<629S724<;Q627>V3::1[8>=4P540?U37;2Z>>>5_5218T0243Y?>?6^:629S1=5V19:1[:>=4P760?U02;2Z=:>5_6608T25:87]9=3:R476=W?=90\:8<;Q547>V00:1[;4<4P918T=643Y2:?6^7229S<055_9518T<343Y3=?6^6729SEA2TF48:546\N<0394;02:0=UI58596\N<2<5?WG;;7;=7_O33?05?WG;;79=7_O33?66?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDKD[>1YM@M\0Y:8VDKD[9R:46\NMBQ3\7>i;SCNAKYXign;<=>>d:PBI@HXWfx;<=>j;SCNAKYXg{:;<=?j;SCNAKYXg{:;<=0:PBIWcflpUd~=>?0533?WGJZlkouRa}01231==UIDYmz6;SCNWqgu|8?0^L]JLe9QEVCKWds<=>?e:PBW@JXe|r;<=>>e:PBW@JXe|r;<=>=5:PBWw`?3[KX~kQA089QEVtaWG::96\NSspg?WGTz{Ufyu>?01g8VDUuzVg~t=>?00g8VDUuzVg~t=>?03g8VDUuzVg~t=>?02;8VDY7WFH^Jn5]A^2\ip~789:o7_OP0^ov|56788n0^LQ?_lw{4567:m1YMR>Pmtz34564l2XJS=Qbuy23452f3[KT==Q@BTDg?WGX99Ufyu>?01g8VDY68Vg~t=>?00g8VDY68Vg~t=>?03g8VDY68Vg~t=>?02g8VDY68Vg~t=>?05c8VDY69VEIYK74R@]2[JDRNj1YMR?Pmtz3456c3[KT=Rczx12344bTFW8Ufyu>?016:?WGX:VEIYKm4R@]1[hs89:;h6\N_3]nq}6789;o7_OP2^ov|5678;n0^LQ=_lw{4567;m1YMRQ@BTD:?WGX?0e9QEZ3Xe|r;<=>>d:PB[0Yj}q:;<=j4R@]6[hs89:;845]A^4\KGSAk2XJS;Qbuy2345bTFW?Ufyu>?011g?WGX>Vg~t=>?05;8VDY0WFH^Jn5]A^5\ip~789:o7_OP7^ov|56788n0^LQ8_lw{4567:m1YMR9Pmtz34564l2XJS:Qbuy23452>3[KT4RAMUGa8VDY?Wds<=>?d:PB[=Yj}q:;<=?k;SC\TFW0Ufyu>?010g?WGX1Vg~t=>?02f8VDY>Wds<=>?4b9QAUJFKLUDGLW:;SMNGV084RNO@W11S?55]OLAP0]213[EFO^;9;SMNGV013[EFO^9<;SQWf>TTWOYFSKHk1c9QWZ@TEVLMh?l4RR]EWHYANm9i7_]PFRO\BCb3m2XXSK]B_GDg0(Oin2XXSK]B_GDg0(Oi9o1Y_RH\M^DE`1+Nf;l0^^QISL]EBa2*Ag9m7_]PFRO\BCb3%@d?n6\\_GQN[C@c=k1Y_RH\M^DE`3c$Ce;o5]S^DPIZ@Al>o0^^QISL]EBa1*Agl0^^QISL]EBa1*Ag;i7_]PFRO\BCb?m2XXSK]B_GDg<(Oin2XXSK]B_GDg<(Oi9o1Y_RH\M^DE`=+Nf;l0^^QISL]EBa>*Ag9m7_]PFRO\BCb?%@d?96\jae{5?Wcflp;=7_kndx05?Wcflp9=7_kndx65?Wcflp?=7_kndx45?Wcflp==7_kndx:4?WcaLfdn==5]egFlj`YXign;<=>>1:PfbAiimVUjbi>?013e?WcaLfdnSRa}012355=UmoNdbhQPos23457682XnjIaae^]lv5678;;;7_kiDnlf[Ziu89:;?<>4RddGkkcXWfx;<=>;119QacBhflUTc>?017b?WcaN{ef^^>m;SgeBwijZZ::o6\jfGpliWU799i0^hhIrnoQW576k2XnjK|`mSQ357dTbnOxda_]?8c9Qac@ugdXX<484RddQat>>f:PfbWcvWge<=>>1e9QacTbyVey<=>?e:PfbWcvWfx;<=>>e:PfbWcvWfx;<=>=e:PfbWcvWfx;<=>;6:PfbPt`02XnjX|hIo48V`urd}90_HZ:;RU?5;g<[^6:2RGMUG78WR:56h1X[1<1_H@VB2=T_591=3;4SV>0:d=T_595SDLZF59Pakrd3ZoexRQ`r1234a=Tmg~TSb|?0122`>Ubf}UTc>?010g?Vci|VUd~=>?02f8W`hsWVey<=>?4e9PakrXWfx;<=>:d:QfjqYXg{:;<=8k;RgmpZYhz9:;<:j4Sdlw[Ziu89:;4l5\nePBIFUPZ81_?6Z]I99WVLUSI]O?7Y\ZEc9WWZ@TEVLMhh5[S^DPIZ@Al;'Bbk5[S^DPIZ@Al;'Bb0g9WWZ@TEVLMh?#Fn3d8PVYA[DUMJi<"Io1e?QUXNZGTJKj=-Hl7b>RTWOYFSKHk2,Km1c=S[VLXARHId3/Jj3`<\ZUM_@QIFe0.Mk1a3]YTJ^CPFGf1)Lh?n2^XSK]B_GDg6(Oi1k1__RH\M^Mvp5e<\ZUM_@Q@uu22g>RTWOYFSB{{0368P\VB02^bah|30?:8Plkbz5;546Zfmdp?6;><\`gn~1=17:Vji`tSK880XdcjrUA\ghvXmx:;<=?<;UknawRDWjg{Sh?012256=SadoyXNQlmq]fu5678;;87YgbesV@[fkwWl{;<=><129Wmhcu\JUha}Qjq12341743]cfiZL_bos[`w789:>;6Zfmdp\446<\`gn~R>P_`lg4567981_e`k}_1]\ekb789::=<5[ilgq[5YXign;<=>=109WmhcuW9UTmcj?01203>RnelxT=<>4ThofvZ7XWhdo<=>?109WmhcuW8UTmcj?012254=SadoyS=239WmhcuWDkohRQnde2345YJpfxT^h}zlu>2:74<\`gn~RCnde]\eab789:TAua}_Sgpqir;:7897Ygbes]NeabXWhno<=>?_LzlvZTb{|f0>0>c:Vji`tXEhnoSRokd1234ZYffm:;<=?k;UknawYJimnTSljk0123[Zgil9:;<>038PlkbzVUjhi>?01>1:47<\`gn~RQnde2345:46m1_e`k}_`lg4567m2^bah|Paof34566>2_EY^KKa:WPAWYFD@KXo6[\ES]UMVOEDL90ZNM6;WKFSZR^XL>0Z]O}c:TSEwYig}:;<n6XfdSCNGVQU>k1]ei\NMBQTV2d<^`nYM@M\WS:a?SocZHGH_Z\66:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON;2RD^95WOS05?]USD@H<7U][_FLG3>^T\V\HO85W_NLF1>^X\[C=7UQUESM5?]beW@ni7Ujg_QpjiLhqk2RodR^}ilVzt``0VH\@3:amp7=d{:1mo:4in`n0>ohjz30bLJ`uuNF4`=iIMe~xAK?_N@VB46=109mEAir|EO;Sb|?0120=>hFLf@H?n;oCGkprKM8;n7cOKotvOA4YHJ\L87cO\7:lBWZ@TJj1eM^QISC]JFP@03gKXSDAMd:lBWZOHJVEIYKKn;oCP[LIEg|~=7cO\otv:?kGTg|~TB=o4n@QlqqYI88o0bL]`uu]mkq6788l0bL]`uu]mkq6788;:<6`NSnww[kis89::==h4n@QlqqYig}:;<<>4g9mEVir|Vddx=>?14d8jDUh}}Uecy>?004e?kGTg|~Tbbz?0134b>hF[fSca{0122?0032?kDBZ[UM_OQPos2344753gHN^_QISC]\kw6788;:>6`MESP\BVDXWfx;<=?=139mF@TUWOYISRa}01227<=iJLXYSDAMe:lAAWTXAFHTEO[I7:lACZOHJj1eNJQFOC]JFP@03gHYSDAMc:lAVZOHJVEIYKh4nCP\MJDXe|r;<=>>0:lAVZOHJVg~t=>?0033?kDUW@EIS`{w0123646:<6`MR^KLFZkrp9:;<8??;o@Q[LIEWds<=>?6028jGTXAFHTaxv?01240>hDIZ30bNO\_N@VBf=iKHYTbbz?013g?kEF[Vddx=>?1048jARFKBk0bIZNCJ]EWG`hC\HI@Sl`k0123b>hC\HI@Sl`k01235c=iL]KHGRoad12347`2dOecBJb:lGmkJBWFH^Ji5aDhlOAZiu89:;i6`KioNF[jt789:::6`KioMF3>hCagEN=o5aDhlLAZIE]Oo0bIgaOD]bja6789l0bIgaOD]bja6789;m7cJfnNG\ekb789:9j6`KioMF[dhc89:;?k5aDhlLAZgil9:;<9h4nEkmK@Yffm:;<=;8;oFlqqIB02dOcxz@E0a8jAir|FOTCO[If:lGkprHMVkeh=>?1028jAir|FOTmcj?013255=iLfCHQnne23444682dOcxz@E^cm`5679:?0bD^C2`9mMUJ5W@H^J;5aIQN1v1=iDMY37cBKS^DPFa=iDMYTJ^LPICWE<>hKLZUBCOk4nMFP[LIEWFH^JHl4nMFP[LIEg|~h7cBKS^cm`567:m1e@I]Paof34546l2dGH^Qnne23474c3gFO_Road12366bhKLZUjbi>?034g?kJC[Vkeh=>?26f8jIBTWhdo<=>=8e9mHAUXign;<=<6c:lO@VYig}:;<hHM=1eCH?;;oMF6==iGLUDNXH7;oMuawjfq8:0bBxjrmcz[kis89::=<5aOwgqhdXff~;<=?>109mKscudhsTbbz?013154=iGoy`lwPnnv34574981eC{k}l`{\jjr789;?;6`]ALNTAf=iZHGG[HQ@BTD4?kTFE\]No6`]ALWTAZIE]O>0b_O\5:lQEV723gXJ_?;4nSCP70=iZHY?96`]AR76?kTF[?30b_O\_N@VB1=iZLYi7c\JS^KLFP@Bk2dYI^Qaou2344bhUGD]N=o5aRNOTAZIE]O>0bXJ\8:lV@VYA[Kn0bXJ\_GQA[LDRN11eYI]PIN@f?kSC[VCDNRAMUGGa?kSC[VCDNb{{c:lV@VYffm:;<?1068jPQB=2d^[H?l;oWTAZgil9:;?00f8jSKFWVey<=>?2e9mRHGXWfx;<=>hQXH20b[^N_GQA`>hQXHUM_OQ@BTD;?kPWIVCDNi5aVQC\MJDXAK_Mo6`YP@]bja6789n0b[^N_`lg45679m1eZ]OPaof34565l2d]\LQnne23455d3g\[MR``t1235a=i^YKTbbz?01327>hPM>1e[HQISCa8jRCXNZHTEO[I8:ld`gsndm90~lc7;ya:156d8;0tn7:156{hqw689':<55wimkm|ph602rgbuQnuum\4Z~cj&ixx#vmceCDu7dm<1KLu=j9;D90?7|[h91?ko52709562e99=1j9;n{o1e3?7hn:341>453j8:<6k::d:Q2b0<3l=0<6<=;b024>c2?12Yj>7:k4;59562e99=1j96m;e1f=?6=93;p_l=53gc9634=9:>i==95f57b?!>?2=n=7Eo6;wVf:m1159b13f3-9on7?i7:T0b3<5s|8?o7?4u36g>5=z,;km69j8;%ga>5=#m00?h45+e`8265=e;l31<7:m:`69f7}O;m30(>oj:2g:?_>>2:q:<7?<:069y!7a;392m6%;8e83>>i?l3:17b:13:17b:65;29?j>a2900c96::188m14f2900c96>:188k15?2900c95<5<5<<6=44o542>5<5<5<5<#:k;1>o84n3`3>5=6=4+2c396g05<#:k;1>o84n3`3>7=54o3a1>5<#:k;1>o84n3`3>1=5<#:k;1>o84n3`3>3=5<#:k;1>o84n3`3>==5<#:k;1>o84n3`3>d=m6`=b183?>o213:1(?l>:4c8j7d72810e8650;&1f4<2i2d9n=4=;:k63?6=,;h:68o4n3`3>6=h5j90?76g:4;29 7d62>7>5$3`2>0gm6`=b18;?>o283:1(?l>:4c8j7d72010e9h50;&1f4<2i2d9n=4n;:k7a?6=,;h:68o4n3`3>g=h5j90h76g;c;29 7d627>5$3`2>0gm6`=b1824>=n>90;6)o?55`9m6g6=9:10e8j50;&1f4<2i2d9n=4>4:9j1f<72-8i=7;n;o0a4?7232c>:7>5$3`2>0g66;o0a4?6<3`93;7>5$3`2>6>>3g8i<7?4;h1;1?6=,;h:6>66;o0a4?4<3k9ni7>51;294~"4il0mi6F6>hm;%3e0?2c02emh7>5$2d6>73d32wi?hh50;394?6|,:kn6>jn;I1f`>N4l01/?k;52458 4`32=n37b<91;29 6`22;?h76sm3gf94?7d2:;1?kuG3e;8 6gb2h>0V5751z32>x"6n:085l5f9g83>>i4;=0;66a>7483>>i5k>0;66a<9783>>o?;3:17d:7d;29?j74l3:17d:k1;29?j4d>3:17b==f;29?j7703:17d<::18'6g7=:=1e>o>50:9j66<72-8i=7<;;o0a4?7<3`896=4+2c3961=i:k:1>65f2183>!4e938?7co?5259m6g6=<21b=i4?:%0a5?433g8i<7;4;h3`>5<#:k;1>95a2c292>=n9k0;6)o>58:9j5<<72-8i=7<;;o0a4??<3`;36=4+2c3961=i:k:1m65f1683>!4e938?7co?5259m6g6=k21b=84?:%0a5?433g8i<7j4;h0g>5<#:k;1>95a2c29a>=n:j0;6)h::2da?!7a<3>o46aid;29 6`22;?h76sm24694?5=:3>p(>oj:g68L6cc3A9o56*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn99m:186>7<1s-9ji7h9;I1f`>N4l01/==j5e:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c0`=?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qoh::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c062?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg54=3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<6;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<8;291?6=8r.8mh4;2:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a55?=83=1<7>t$2cf>12<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>o68:0;6)=i5;33f>=n99>1<7*6=4+3g7955d<3f8>=7>5$2d6>73d32wi8:j50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a02c=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8:h50;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c6;4?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5483:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<1;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=<3;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi?>o50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm32`94?2=83:p(>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f6ba290>6=4?{%1ba?253A9nh6Fh::02a?>o68;0;6)=i5;33f>=n9991<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f6c429096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>k;:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f6c229096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>k9:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb2g4>5<3290;w)=ne;62?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:k247<72-9m97??b:9l607=83.8j84=5b98yg5b03:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*54;294~"4il0?=6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:3d83>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`200<72<0;6=u+3`g907=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?l77;3:1(>h::02a?>i5=80;6)=i5;06g>=zj8>=6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn<:8:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`20=<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`20d<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj8>i6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn<:l:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb01e>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f42729086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8>:6=4<:183!5fm38>56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:4383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`206<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj8>?6=4<:183!5fm38>56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:8;297~X60278i?4>019>511=99:0q~?6:180[7>349n>7??1:?202<6881v>;<371?7782wx=n4?:2y]5f=:;ml1==>4=066>4663ty:h7>54z\2`>;6800:<=523ed9554<58>>6<>=;|q2a?6=088244=:;l;1==>4=066>4643ty9<7>56z\14>;4;<0mj63>088247=:;:;1jk523ed9555<58>96<>?;|q16?6=?rT9>63<378eb>;6800:<>523209bc=:;l;1==?4=01f>46734;?:7??0:p66<721qU>>522b:9bc=:;:21==>4=02:>463349857??0:?0a1009>517=99:0q~<::184[42348h57hi;<103?`a34;;57??5:?0764if:?20d<6891v5=50;1xZ=5<5;?=6<>>;<64a?`a3ty2j7>53z\:b>;5==03m63;7c8;e>{t9921<7=6s|12f94?4|V89o70?;4;065>{t9:o1<773634;?m7??1:p56`=838p1<=i:372?87383;;=6s|15294?4|58>;6?;>;<375?7792wx=9?50;0x94262;?:70?;2;335>{t9=81<773634;??7??0:p515=838p1<:<:372?873<3;;=6s|15794?4|58>>6?;>;<374?7782wx=9850;0x94212;?:70?{t9==1<773634;?n7??0:p51>=838p1<:7:372?873j3;;=6s|15;94?4|58>26?;>;<37g?7792wx=9o50;0x942f2;?:70?;4;334>{t9=h1<773634;8j7??1:p51e=838p1<:l:372?873;3;;=6s|16794?4|V8=>70=j0;065>{t:<>1<77d434>1}Y:j<01?mm:372?85b>3;;<63>478244=z{;i<6=4<{_0`3>;5kh099<522b`955652z?1g=<5=816>no51128yv4d13:1>v3=c88114=::jk1==?4}r11b?6=:rT8>k5232`960752z?075<5=816?>751138yv5493:1>v3<308114=:;:h1==>4}r106?6=:r78??4=509>76g=99:0q~=<3;296~;4;:099<5232c955754z\071=::<<1==>4=21;>73634;?o7??0:p763=838p1>=::372?85403;;=6s|32494?4|5:9=6?;>;<10950;0x96502;?:70=<8;337>{t;:31<77363498n7??1:p76g=838p1>=n:372?854j3;;>6s|38494?5|V:3=70=je;dg?820j3k;7p}7}:;ml1>8?4=2g3>4663ty8i<4?:3y>7`7=:<;01>k7:023?xu4m;0;6?u23d09607<5:o=6<>>;|q0a6<72;q6?h=5243896c028::7p}7}:;l>1>8?4=2g4>4673ty8i84?:3y>7`3=:<;01>k8:021?xu4m?0;6?u23d49607<5:o36<>>;|q0a2<72;q6?h95243896c728:97p}7}:;l21>8?4=2g3>4673ty?;o4?:5y>02d=:k901?mm:022?85483lm70?;9;de?xu3?j0;6?u246`9=`=:<1:1>8?4}r64`?6=:r7?;i4=509>02`=99;0q~:8e;296~;3?l099<52492955752z?73c<5=81685>51128yv2?l3:1?vP;8e9>02d=i1168:j5fg9~w1b62908wS:k1:?0a03-9ji77s+1g197>i3?>0;66g;5d83>>o4?00;66g;d083>>o3110;66g=c783>>i31;0;66g<2g83>>i5lk0;66a;7183>>i5j>0;6)h5j90;76a=b483>!4e938i:6`=b182?>i5k=0;6)h5j90976a=c283>!4e938i:6`=b180?>i5k;0;6)h5j90?76a=c083>!4e938i:6`=b186?>i5k90;6)h5j90=76a=bg83>!4e938i:6`=b184?>i5jh0;6)h5j90376a=b883>!4e938i:6`=b18:?>i5j10;6)h5j90j76a=b583>!4e938i:6`=b18a?>o5:3:1(?l>:338j7d72910e?>50;&1f4<592d9n=4>;:k2a?6=,;h:6??4n3`3>7=h5j90876g>c;29 7d62;;0b?l?:598m4d=83.9n<4=1:l1f5<232c:m7>5$3`2>77o603:1(?l>:338j7d72110e<950;&1f4<592d9n=46;:k22?6=,;h:6??4n3`3>d=h5j90i76g=d;29 7d62;;0b?l?:b98m7e=83.9n<4=1:l1f55$3`2>77o513:1(?l>:338j7d728:07d<7:18'6g7=:81e>o>51098m71=83.9n<4=1:l1f5<6:21b>;4?:%0a5?463g8i<7?<;:k2b?6=,;h:6??4n3`3>42<3k9ni7>51;294~"4il0mi6F6>hm;%3e0?2c02emh7>5$2d6>73d32wi>8j50;796?0|,:kn6k84H2gg?M5c12.:5;hc3>5<>i5j:0;66l=b283>3<729q/?k;5f89j55d=83.8j84>0c98m7}Y:k901?l<:37`?xuf03:1>vPn8:?1f6<68k1vl>50;0xZd6<5;h86>=l;|q:a?6=:rT2i63=b28:a>{t0h0;6?uQ8`9>6g5=1o1vkk50;0x97d42:2?70=i2;06g>{zj;ih6=4::385!5fm3l=7E=jd:J0`<=#99n1i6*>f587`==n1l0;66gn0;29?l>f2900el650;9l6g5=831i>o=50;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c4=83;1<7>t$2d6>6`e3f8>o7>5$2d6>73d32wx>o=50;0xZ7d4348i?7<:c:pe=<72;qUm5522c1955d7}Y1l16>o=59d9~w=g=838pR5o4=3`0><`2B8ii5G3e;8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twi>il50;196?2|,:kn6k:4H2gg?M5c12.:j94;d99j5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj1<1<7=52;6x 6gb2o>0D>kk;I1g=>"6n=0?h55f8`83>>of03:17bo<50;9je=<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g294?7=83:p(>h::374?j4193:1(>h::37`?>{ti10;6?uQa99>b2<68k1v5o50;0xZ=g<5o=15k5rs`294?4|Vh:01k9532a8yv4e:3:1>vP=b39>b2<40=1v?l<:181[4e;27m;7<:c:p7ag=838p1k959d9>7c6=:?;0qpl=:182>5<7s-9o4774$2cf>cc<,:l>6>hm;%3e0?2c02emh7>5$2d6>73d32wx>o=50;0xZ7d4348i?75;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj0>1<7=52;6x 6gb2o>0D>kk;I1g=>"68m0n7)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=hl50;196?2|,:kn6k:4H2gg?M5c12.:5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm2d:94?5=:3>p(>oj:g68L6cc3A9o56*>0e8f?!7a<3>o46g7a;29?lg?2900c?l<:188f7d4290>6?49{%1ba?`03-;m87:k8:m1f6<722c9n?4?::kb0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;52458k706290/?k;524a8?xuf03:1>vPn8:?e3?77j2wx4l4?:3y]02j6s|a183>7}Yi916j:4<3b9~w7d52909wSo=50;0xZ7d434l<6?;l;|q0`d<72;q6j:46e:?0b5<5>81vqo<50;394?6|,:n3645+3`g9b`=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3ty9n>4?:3y]6g5<5;h86?l<;|qb{t0h0;6?uQ8`9>6g5=0h1v84?:2y>6g5=:k801?l<:`2897=8391>7:t$2cf>c2<@:oo7E=k9:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb21g>5<42;0?w)=ne;d7?M5bl2B8h45+11f9a>"6n=0?h55f8`83>>of03:17bo<50;9je=<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g294?7=83:p(>h::374?j4193:1(>h::37`?>{ti10;6?uQa99>b2<68k1v5o50;0xZ=g<5o=15k5rs`294?4|Vh:01k9532a8yv4e:3:1>vP=b39>b2<40=1v?l<:181[4e;27m;7<:c:p7ag=838p1k959d9>7c6=:?;0qpl=:182>5<7s-9o4774$2cf>cc<,:l>6>hm;%3e0?2c02emh7>5$2d6>73d32wx>o=50;0xZ7d4348i?75;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj:9m6=4<:387!5fm3l?7E=jd:J0`<=#99n1i6*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn>:?:180>7<3s-9ji7h;;I1f`>N4l01/==j5e:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb265>5<42;0?w)=ne;d7?M5bl2B8h45+11f9a>"6n=0?h55f8`83>>of03:17bo<50;9je=<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g294?7=83:p(>h::374?j4193:1(>h::37`?>{ti10;6?uQa99>b2<68k1v5o50;0xZ=g<5o=15k5rs`294?4|Vh:01k9532a8yv4e:3:1>vP=b39>b2<40=1v?l<:181[4e;27m;7<:c:p7ag=838p1k959d9>7c6=:?;0qpl=:182>5<7s-9o4774$2cf>cc<,:l>6>hm;%3e0?2c02emh7>5$2d6>73d32wx>o=50;0xZ7d4348i?75;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj:?;6=4<:387!5fm3l?7E=jd:J0`<=#99n1i6*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn>;<:180>7<3s-9ji7h;;I1f`>N4l01/==j5f:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb5;0>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=ki6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb552>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj:?96=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn9=m:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f73a29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn?8?:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`1g`<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`7=3<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj==36=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb55:>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4>=0;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?k<:187>5<7s-9ji7:>;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65`24394?"4n<099n54}c0f2?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg4b?3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi>hj50;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c0fa?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg4bn3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi8lj50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0dc=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8lh50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0g6=83?1<7>t$2cf>14<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>o68:0;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c640?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=<97>52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c642?6==3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9j554=83.8j84>0c98m464290/?k;511`8?j4293:1(>h::37`?>{e:o81<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi>k=50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a6c2=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e:o?1<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qoh::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c134?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=?2;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a75e=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;9n1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a75`=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7>53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=>3;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm30:94?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a74?=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm30`94?5=83:p(>oj:37:?M5bl2B8h45+3g7960><,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg56m3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c114?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5593:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi??:50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm33794?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e;;<1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi??950;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c11e?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg55j3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo==c;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c11`?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;9?1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi?=850;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a751=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;921<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qoh::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c0e=?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a6gd=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e:ki1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a6gc=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*97>52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c162?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=;7>54;294~"4il0?=6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f<0=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c;:>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj0k1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi5o4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a=a<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj:>26=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>:n:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f62e29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:>h6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb26g>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f62b29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:>m6=4<:183!5fm38>56F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd4<:0;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>:;:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb266>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4>?0;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>88:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f60?29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>86:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb24b>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd30?0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl;8683>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd3010;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl;8883>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd30h0;694?:1y'7dc=:kk;I1g=>"4n<09955+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo:7b;290?6=8r.8mh4;1:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1>8m4;|`02f<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`034<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4?;0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<7283>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd4?=0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<7483>6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f61129086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:=<6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>8k:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb24f>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4>o0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f7bc29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj;nn6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb3fe>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd5m90;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb3f3>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f7b629086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1=8?50;&0b0<5=j1C?k:4;|`1`6<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb3f6>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd5l?0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rs0794?4|V8?01>>>:023?xu6>3:1>vP>6:?04`<6891v<950;0xZ41<5:;?6<>?;|q2a;296~X6i278>n4>019~w4d=838pR4673ty:o7>52z\2g>;5nh0:<=5rs0f94?4|V8n01><9:023?xu6m3:1>vP>e:?1fa<6891v>;|q14?6=:rT9<63=bc8244=z{;81<7279jk4>009~w71=838pR?94=22`>4663ty947>52z\1<>;49;0:<<5rs3;94?4|V;301>?7:022?xu5i3:1>vP=a:?05`<6881v?l50;1xZ7d<5::>6<>>;<0en4?:3y]6f=:;;k1==?4}r0g>5<5sW8o70{t0:0;64uQ829><34>019>73e=no16?:?5112897bc28:;703:1>v376;0a7>;4?;0mj6s|9583>7}:1=09n>5242`9bc=z{0?1<78?4=8f9556736343j6<>>;|q:=<<5=8165o4>009~wk3:1>v36c;065>;>l3;;=6s|9g83>44|V0l01?;k:9c897ed21k01>8=:9c897be21k015858`9>6`2=0h165947a:?1agp1>kj:gf8973c2h:01?ml:`2896052h:0q~<:d;296~;5=m09n>522e19bc=z{;?n6=4={<06`??b348=<7<:1:p60`=838p1?;i:372?84183;;=6s|2c694?4|V;h?70{t:k?1<7=6s|2c:94?4|V;h370=?2;065>{t:k31<7=6s|2cc94?5|V;hj7S=63=bd8245=z{;hh6=4={<0ag?429279ni4>009~w7dc2909w0;5jl0:<<5rs3`e>5<5sW8ij63<0g8114=z{;i;6=4={_0`4>;49<099<5rs3a2>5<5sW8h=63<1c8114=z{;i96=4={_0`6>;4:8099<5rs3a0>5<5sW8h?63<268114=z{;i?6=4={_0`0>;4:m099<5rs3a5>5<3sW8h:63=e28244=:<1<1jk523619bc=z{;ih6=49{<0`g?4e;279i>4>039>0g6=99:01999:023?82??3lm70=80;de?xu5km0;6?u22ba9e==::jo1>8?4}r0`b?6=:r79on46e:?1`2<5=81v?j?:18184c838>=63=d58244=z{;n:6=4={<0g5?429279h;4>019~w7b52909w0;5l<0:<=5rs3f0>5<5s48o?7<:1:?1`0<6881v?j;:18184c<38>=63=d68245=z{;n>6=4={<0g1?429279h;4>009~w7b12909w0;5l>0:<<5rs3f;>5<5s48hi7hi;<0ge?4292wx>i750;0x97b>2;?:70{t:mh1<7=t^3fa?84cj38i?63=de8244=z{;nh6=4={<0gf?g?348n=7<:1:p6ab=838p1?jk:372?84cn3;;=6s|2eg94?4|5;nn6?;>;<0f4?7792wx>ih50;0x97ba2;?:70{t:l:1<7736348n=7??1:p6`4=83=p1>;=:022?84b;38>=63=e78244=::lo1==?4=276>c`<50=1==>4=26`>c`516y>6`2=:k901?h=:023?84a;3lm70;4890mj63<0b8245=:;9n1jk523009556<5:;86kh4=23;>467349:57hi;<12a?778278=k4if:?061<68916??;5fg9>77g=99:01>;5jk0:<=522ca9bc=z{;o>6=4={<0f0?g?348n;7<:1:p6`0=838p1?k9:372?84b?3;;=6s|2d:94?4|5;o36?l<;<6;=?`a3ty9i44?:2y>6`>=0h168>658`9>6`g=:<;0q~5249:9bc=z{;oh6=4={<0ff?g?348nj7<:1:p6`b=838p1?kk:372?84bn3;;<6s|2dg94?4|5;on6?;>;<0fb?7792wx>k?50;0x97`52;?:70{t:o81<7736348m87??1:p6c5=838p1?h;:372?84a=3;;=6s|2g594?4|5;l36?;>;<0ef?7782wx>k650;0x97`>2;?:70{t:o31<7736348mn7??1:p6cc=838p1?hi:372?857:3;;<6s|2gd94?4|5::;6?;>;<135?7792wx?=>50;0x96662;?:70=?2;335>{t;9>1<7736349;47??0:p753=838p1>>9:372?857?3;;=6s|31494?4|5::<6?;>;<13{t;9i1<7736349;i7??1:p75b=838p1>>j:372?857n3;;=6s|30394?4|5:;96?;>;<121?7782wx?<<50;0x96742;?:70=>4;335>{t;891<7736349:97??1:p741=838p1>?7:372?856j3;;<6s|30:94?4|5:;26?;>;<12e?7792wx?<750;0x967f2;?:70=>b;335>{t;8n1<77363499=7??0:p74c=838p1>?i:372?85583;;=6s|30d94?4|5:8;6?;>;<115?7792wx??=50;0x96432;?:70==7;334>{t;;>1<77363499:7??1:p773=838p1><9:372?855?3;;=6s|33;94?4|5:8j6?;>;<11`?7782wx??o50;0x964e2;?:70==c;335>{t;;h1<77363499h7??1:p77`=838pR>76b=:k901>:::023?xu4;l0;6>u232f9e==:;:o1>o=4=245>4663ty8?k4?:5y>76c=i116?>h52c1897cc28:;70=96;334>{t;=:1<7:t=263>7d4349>47??0:?1`c<68916>i?51138yv5393:1>v3<418b<>;4<<099<5rs261>5<5s49?>7<:1:?006<6881v>:<:181853;38>=63<458244=z{:>?6=4={<170?429278884>009~w621290?w0=;6;0a7>;>03;;=63<488244=:;=>1==>4}r173?6=hj511389<0=99;0q~=;8;296~;4<>0j463<4g8114=z{:>26=4={<17=?4292788i4>019~w62f2909w0=;a;065>;45<5s49?n7<:1:?00`<6891v>:l:181853k38>=63<4d8244=z{:>o6=4={<17`?4292788k4>019~w62b2909w0=;e;065>;45<0s48n47o7;<172?g?349><7019>6ag=99:01?j;:023?xu4=80;6>u23429e==:;<81>8?4=343>4673ty89>4?:cy>705=:k901>;=:023?84b>3;;<63=ed8245=:;<=1==?4=8c9556<5:>i6<>>;<0g=?778279i=4>019>6a6=99;01?j>:023?xu4==0;6?u23419e==:;<21>8?4}r161?6=:r78984=509>701=99:0q~=:6;296~;4=?099<523459554;7>52z?012<5=816?8651138yv51:3:19v3<6381f6=:100mj63<4`8eb>;4<:0:<=523669bc=z{:<86=4={<156?g?349=87<:1:p733=838p1>8=:8g8960f2;?:7p}<6783>7}:;?<1>8?4=24b>4673ty8::4?:3y>731=:<;01>86:023?xu4>10;6?u237:9607<5:<26<>>;|q02<<72;q6?;752438960f28::7p}<6c83>2}:;<<1jk52968244=:;=h1==>4=24e>736348o57??1:?1``019~w60d2909w0=9c;065>;4?<0:<=5rs24g>5<5s49=h7<:1:?02`<6881v>8j:181851m38>=63<6g8245=z{:=;6=4={<144?429278;84>009~w6162909w0=81;065>;4>m0:<=5rs251>5<5s49<>7<:1:?033<6891v>9<:181850;38>=63<778244=z{:=?6=4={<140?429278:k4>009~w6122909w0=85;065>;4?>0:<<5rs255>5<5s49<:7<:1:?02a<6881v>98:181850?38>=63<6d8245=z{:=26=4;{_14=>;4>=0:<<523759bc=:;>;1==?4}r1;6?6=?rT84?523769556<5021==>4=26:>467349?>7hi;<15n;<6:2?77927?;44>019>0db=no168:=5fg9>0=g=99;0q~:<8;296~X3;1168>652c18yv24i3:1>v3;398b<>;3;k099<5rs57f>5<5sW>>i63;748eb>{t<>:1<7=6s|46094?4|5==:6kh4=555>7363ty?;>4?:3y>025=:<;01999:022?xu3?=0;6?u24669607<5===6<>=;|q730<72;q68:;52438911128:87p};7683>7}Y<>=01996:372?xu3?10;6?u246:9607<5==26<>>;|q7<0<72;qU85;4=5:a>7363ty?4;4?:3y>0=0=:<;0196n:023?xu30>0;6?u24959607<5=2j6<>=;|q7<=<72;q68565243891>e28:;7p};8883>7}:<131>8?4=5:a>4653ty?4l4?:3y>0=g=:<;0196m:022?xu31;0;6?uQ480891?42;?:7p};9483>7}Y<0?01979:372?xu3110;6?uQ48:891ga2ol0q~:na;296~X3ih168ll52438yv2fk3:1>v3;ac8eb>;3j9099<5rs5cg>5<5s4>jh7<:1:?7f5<6881v9oj:18182fm38>=63;b18247=z{=km6=4={<6bb?42927?n=4>029~w1b6290>wS:k1:?7=3<689168:65fg9>0dc=no168::5fg9~yg2783:1=;474;;3M5c12.8mh4>3`9'5c5=;0k0e4h50;9j560=831b=:;50;9j574=831b=8950;9l520=831b8=o50;9j<6<722e:;o4?::k71`<722c:?i4?::k7`4<722c?554?::m21<<722e:i<4?::k06c<722e?8o4?::m22`<722e:on4?::m1a?6=3f9h6=44o501>5<:183!5fm3ln7E=jd:J0`<=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3th?>?4?:481>3}#;ho1j;5G3df8L6b>3-;m87:k8:k:a?6=3`k;6=44i9c94?=ni10;66a=b283>>d5j:0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;53g`8k73d290/?k;524a8?xu5j:0;6?uQ2c1897d42;?h7p}n8;296~Xf0279n>4>0c9~wd6=838pRl>4=3`0>65d3ty2i7>52z\:a>;5j:02i6s|8`83>7}Y0h16>o=59g9~wcc=838p1?l<:2:7?85a:38>o6srb2:`>5<22;0=w)=ne;d5?M5bl2B8h45+11f9a>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo=l:186>7<1s-9ji7h9;I1f`>N4l01/=k:54e:8m5<6;:18'7c3=;1>07d=!5a=38>o65rb2d1>5<6290;w)=i5;1ef>i5=j0;6)=i5;06g>=z{;h86=4={_0a7>;5j:099n5rs`:94?4|Vh201?l<:02a?xuf83:1>vPn0:?1f6<4;j1v4k50;0xZ5<5sW2j70v3=b280<1=:;o81>8m4}|`b2?6=;3818v*N4mm1C?i74$0d7>1b?3`2j6=44i`:94?=h:k91<75m2c194?3=:3oj:g58 4`32=n37b3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:51;294~"4n<099:5`27394?"4n<099n54}rc;>5<5sWk370h8:02a?xu?i3:1>vP7a:?e3??a3tyj<7>52z\b4>;a?398o6s|2c094?4|V;h970h8:2:7?xu5j:0;6?uQ2c189c1=:{zj;0;6<4?:1y'7a>=12.8mh4ie:&0b0<4nk1/=k:54e:8kcb=83.8j84=5b98yv4e;3:1>vP=b29>6g5=:k90q~o7:181[g?348i?7o7;|q;e?6=:rT3m63=b28;e>{t=3:1?v3=b281f7=::k91m=522;dg?!5c03i0qpl=4`83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c342?6==381:v*N4mm1C?i74$0d7>1b?3`3n6=44i`294?=n0h0;66gn8;29?j4e;3:17o64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<4nk1d>8m50;&0b0<5=j10q~o=524a8yvg?2909wSo7;<0a7?77j2wxm=4?:3y]e5=::k91?>m4}r;f>5<5sW3n70vP7a:?1f6<>n2wxjh4?:3y>6g5=;1>01>h=:37`?x{e9mn1<7;52;4x 6gb2o<0D>kk;I1g=>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo?9a;291?4=>r.8mh4i6:J0aa=O;m30(50;9j5;n0a7?6=3k8i?7>56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e6?6=93:152z\1f6=::k91>8m4}rc;>5<5sWk370{ti90;6?uQa19>6g5=;:i0q~7j:181[?b348i?77j;|q;e?6=:rT3m63=b28:b>{tnl0;6?u22c197=2<5:l96?;l;|a5<2=83?1>78t$2cf>c0<@:oo7E=k9:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7dk3:1?7<54z&0e`=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`21<<72:0969u+3`g9b1=O;ln0D>j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm1d394?5=:3>p(>oj:g68L6cc3A9o56*>f587`==n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd5290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs9c94?4|V1k01?l<:9c8yv3=839p1?l<:3`1?84e;3k;70<5fe9'7a>=k2wvn<9m:180>7<3s-9ji7h;;I1f`>N4l01/=k:54e:8m=g=831bm54?::m1f6<722h9n>4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zuk;>47>53;090~"4il0m86F5<50;9j56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e4?6=93:1{t:k81<77}Y:k901k9524a8yv5ci3:1>v3i7;;f?85a838==6srb383>4<729q/?i659:&0e`o=52c18yvg?2909wSo7;<0a7?g?3ty3m7>52z\;e>;5j:03m6s|5;297~;5j:09n?522c19e5=::3lo7)=k8;a8yxd6;<0;6>4=:5y'7dc=n=1C?hj4H2f:?!77l3o0(f2900el650;9l6g5=831i>o=50;796?0|,:kn6k94$0d7>1b?3f8i?7>5;h0a6?6=3`k36=44i`294?=n0h0;66li7;292?6=8r.8j84i9:k24g<72-9m97??b:9j=`<72-9m977j;:k:b?6=,:l>64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<5=>1d>;?50;&0b0<5=j10q~o7:181[g?34l<6<>m;|q;e?6=:rT3m63i7;;e?xuf83:1>vPn0:?e3?54k2wx>o<50;0xZ7d534l<6>6;;|q1f6<72;qU>o=4=g5960e52z?e3??b349m<7<91:~f7<7280;6=u+3e:9=>"4il0mi6*18i64ogf94?"4n<099n54}r0a7?6=:rT9n>522c196g547a:p1?6=;r79n>4=b39>6g5=i916>7hk;%1g1}#;ho1j95G3df8L6b>3-;;h7k4$0d7>1b?3`2j6=44i`:94?=h:k91<75m2c194?3=:3oj:g58 4`32=n37b3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:51;294~"4n<099:5`27394?"4n<099n54}rc;>5<5sWk370h8:02a?xu?i3:1>vP7a:?e3??a3tyj<7>52z\b4>;a?398o6s|2c094?4|V;h970h8:2:7?xu5j:0;6?uQ2c189c1=:{zj;0;6<4?:1y'7a>=12.8mh4ie:&0b0<4nk1/=k:54e:8kcb=83.8j84=5b98yv4e;3:1>vP=b29>6g5=:k90q~o7:181[g?348i?7o7;|q;e?6=:rT3m63=b28;e>{t=3:1?v3=b281f7=::k91m=522;dg?!5c03i0qpl<9g83>6<52=q/?lk5f59K7`b<@:n27)??d;g8 4`32=n37d6n:188md>=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`70f<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`2`c<72;0;6=u+3`g954`<@:oo7E=k9:&0b084?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`0a?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;hi1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a01`=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<<:1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e<<81<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi88=50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm16f94?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a52c=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi=:h50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm3`394?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e;h91<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=n4;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c1b1?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c1b3?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5f03:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm3`c94?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a7d4=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo=6e;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a071=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<;21<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:37:?M5bl2B8h45+3g7960><,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg7003:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo?89;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<5=h1C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn<9?:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb052>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8=96=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb050>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f41329086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:e`83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6mk0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>eb83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6mm0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>ed83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6mo0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>f183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6n80;6>4?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0g6>5<3290;w)=ne;62?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:k247<72-9m97??b:9l607=83.8j84=5b98yg7b>3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm1d:94?2=83:p(>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f4c>29086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:8683>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6010;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>8883>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd60k0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>8b83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd60m0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>8d83>6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f4>529086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8286=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn<6;:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2<0<72=0;6=u+3`g904=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?j4293:1(>h::37`?>{e91<1<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo?66;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c3:3?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9021<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e90k1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi=4l50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm18a94?2=83:p(>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f43e29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8<96=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb040>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj856F6?;7;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:6483>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6>?0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>6683>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6>10;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1=8?50;&0b0<5=j1C?k:4;|`21f<72=0;6=u+3`g904=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?j4293:1(>h::37`?>{e9kk;I1g=>"4n<08j6*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn<;j:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb07e>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd6>90;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>8o4H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65`24394?"4n<099n54}c3`a?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg7c=3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo?k6;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi=i650;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a5a?=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi=io50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a5ad=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9mi1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:538L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;h336?6=,:l>6<>m;:m114<72-9m97<:c:9~f4b7290?6=4?{%1ba?263A9nh6Fh::02a?>o68;0;6)=i5;33f>=h:<;1<7*53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo?k2;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a5a5=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9m>1<7:50;2x 6gb2;?j7E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd40o0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<9183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd4180;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl<9383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`0=6<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj:3?6=4;:183!5fm3>:7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo=65;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:p6`<72;qU>h522d81f6=z{:i1<7kt^2a896e=:k901>o<:023?87003lm70?jd;de?87b<3;;<63>888245=:91h1jk5218:9bc=:9?=1jk5217:9557<58nj6kh4=0fa>4663492<7hi;|q0`?6=:r78o77j;<1f>7363ty3?7>5cz\;7>;40j0j463>778b<>;6>l0j463;248eb>;6?m0:<<523`69557<5=836kh4=05:>c`<58=96kh4=0;5>4673492=7hi;|q:b?6=9=qU5k524309;f>32j70<;a;:b?871m32j70?86;:b?87cl32j70?9a;:b?87><32j707i:9c8yvg12908w0o9:3`0?85b28:;70?8a;335>{ti>0;6?u2a78b<>;4im099<5rs001>5<5sW;9>63>348b<>{t9:?1<7;t=016>7d4349j=7hi;<1:`?77927:il4if:?2<2850;0xZ45134>9;7??1:p56b=83019>7d2=99:01<79:022?85><3;;=6s|14594?4|V8?<70?:8;c;?xu6=10;6?u214:96g5<58=;6<>?;|q21<<72=qU=874=07:>7d434;>n7??0:?21f<6881v<;n:18187213k370?91;065>{t973634;==7??1:p50e=838p1<;l:372?872n3;;<6s|14f94?4|58?o6?;>;<36b?7792wx=8k50;0x943b2;?:70?91;336>{t973634;=<7??0:p536=838p1<8?:372?87193;;<6s|17094?4|58<96?;>;<36g?7782wx=;=50;0x94042;?:70?:c;336>{t9?>1<773634;>h7??1:p533=838p1<8::372?872l3;;<6s|17494?4|58<=6?;>;<36`?77:2wx=;950;0x94002;?:70?90;335>{t9?21<773634;>i7??0:p53?=838p1<86:372?872m3;;=6s|17c94?4|58l0;6?uQ17g8940b2;h87p}>6g83>7}:9?o15h52166960752z?235<5=816=:=51128yv7093:1>v3>708114=:9>91==?4}r346?6=:r7:;?4=509>522=99;0q~?83;296~;6?:099<52166955654z\230=:9>;1jk5218c9556<5:386<>?;|q233<72;qU=:84=055>7d43ty:;:4?:3y>520=1l16=:o52438yv7003:1>v3>798114=:9>k1==>4}r34=?6=:r7:;44=509>52g=9980q~?8b;297~X6?k16=:l52c1894>628:;7p}>7b83>2}:9>l1>8?4=0g6>46634;3m7??0:?226009>5a3=no16=i951138yv70l3:1>v3>7e8114=:9>l1==>4}r34a?6=:r7:;h4=509>52`=99;0q~?70;296~;6?k0j463>878114=z{82:6=4={<3;5?42927:4>4>019~w4>52909w0?72;065>;60=0:<<5rs0:0>5<5s4;3?7<:1:?2<3<6891v<6;:18187?<38>=63>848244=z{82>6=4={<3;1?42927:4;4>009~w4>02909w0?77;065>;60l0:<=5rs0:;>5<5s4;347<:1:?2<`<6881v<66:18187?138>=63>858245=z{82j6=4={<3;e?42927:4?4>019~w4>e2909w0?7b;065>;60;0:<<5rs0:`>5<5s4;3o7<:1:?2<0<6891v<6k:18187?l38>=63>848247=z{82n6=4={<3;a?42927:4>4>009~w4?32908w0?64;0a7>;6mj0mj63>888244=z{83>6=4={<3:2?42927:5n4>009~w4?12909w0?67;065>;61h0:<<5rs0;4>5<5s4;247<:1:?2=g<6891v<77:18187>138>=63>9c8244=z{8326=4={<3:e?42927:5n4>019~w4?f2909w0?6b;065>;61j0:5<3sW;ho63>cb81f6=:9jo1==>4=0ae>4663ty:oi4?:3y>5fe=i116=i:52438yv7dm3:1>v3>cd8114=:9m>1==?4}r3`b?6=:r7:ok4=509>5a4=99:0q~?k0;296~;6l9099<521e0955752z?2`4<5=816=i:51108yv7c:3:1>v3>d38114=:9m91==>4}r3g7?6=:r7:h>4=509>5a2=99:0q~?k5;296~;6l<099<521bd955652z?2`3<5=816=nh51108yv7c?3:1>v3>d68114=:9m:1==?4}r3g5a6=99:0q~?k9;296~;6l0099<521e2955452z?2`d<5=816=i=51138yv7cj3:1>v3>dc8114=:9m;1==>4}r3gg?6=:r7:hn4=509>5a7=99;0q~?kd;296~;6lm09n>521e`955652z?2`av3>e08b<>;6m0099<5rs0g0>5<5s4;n?7<:1:?2a3<6891v=63>e68245=z{8o>6=4={<3f1?42927:i:4>009~w4c12909w0?j6;065>;6m00:<=5rs0g4>5<5s4;n;7<:1:?2a=<6881v=63>e88244=z{8oj6=4={<3fe?42927:j<4>019~w4ce2909w0?jb;065>;6n80:<<5rs0g`>5<5s4;no7<:1:?2a1<6881v=63>e48245=z{8on6=4={<3fa?42927:i84>039~w4ca2909w0?jf;065>;6m10:<=5rs0d3>5<5s4;m<7<:1:?2a=<68;1v:18187a938>=63>e78244=z{;>j6=4:{<1`>d><5;>j6?l<;<3g`??b34;=m77j;<1f>4663ty98o4?:2y>61g=i116=4:59d9>5;40j0j<63<3k;7p}<2g83>7}Y;;l019;>:gd8yv5?k3:1:v3<8b81f6=:9>o1jk523`79bc=:<;=1==>4=053>46634;257hi;|q07<3=:<;0q~=7e;296~;40l099<52380955652z?083:1>v3<918114=:;0>1==<4}r1:5?6=:r785<4=509>7<3=99;0q~=62;296~;41;099<52381955752z?0=6<5=816?4:51128yv5><3:1>v3<958114=:;0?1==>4}r1:f?6=99q6?4l52c1896gd2ol01>o9:gd896?c28:;70?jb;de?87bn3lm70?78;de?87?k3lm70?67;335>;6=k0:<<521749bc=:9?31jk521bg9557<58n26kh4=0f`>c`<5:2m6kh4}r1:g?6=:r785o4n8:?0=`<5=81v>7k:18185>l38>=63<9d8244=z{:3m6=4>0z?1a?g?3492j7;6m:0:<<521g29bc=:91;1==?4=0:g>c`<583<6<>?;<356?`a34;=87??0:?2`3019>7=c=no1v>o?:18185>n3k370=n2;065>{t;h;1<7736349j;7??1:p7d5=838p1>o<:372?85f13;;<6s|3`694?4|5:k?6?;>;<1b{t;h<1<7736349jm7??1:p7d1=838p1>o8:372?85f:3;;<6s|3`:94?4|5:k36?;>;<1b=?7792wx?l750;0x96g>2;?:70=na;334>{t;hk1<7736349j>7??1:p7dd=838p1>ol:372?85fl3;;=6s|41c94?4|V=:j70::0;de?xu3:;0;6?uQ430891452;h87p};2583>7}:<;81m55243796079:7>52z?767<>m27?>44=509~w1402909w0:=7;065>;3:00:<=5rs50;>5<5s4>947<:1:?76<<6881v9:m:181[23j27?8n4=509~w12b2909w0:;c;de?822;38>=6s|45d94?4|5=>m6?;>;<667?7782wx88>50;0x91372;?:70::2;334>{t<<;1<773634>>>7??1:p004=838p19;=:372?822;3;;=6s|44g94?5|V=?n70?9c;de?871=3lm7p};9983>6}Y<020101`=99;01f28::7psm3gg94?e=9>09j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm4683>6<52=q/?lk5f59K7`b<@:n27)??d;g8 4`32=n37d6n:188md>=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`7g3<72=0968u+3`g9b==O;ln0D>j6;odb>4=#9o>18i64i9c94?=ni10;66g=b383>>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e93:1=7>50z&0`=4=b39~wd>=838pRl64=3`0>d>=j2wvn5:50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a<0<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj=h1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd3<;0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl;4283>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd3<=0;694?:1y'7dc=<81C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*=7>5$2d6>73d32wi8nm50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm4bf94?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{ekk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi?8o50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a70d=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?8m50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a70b=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?8k50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a70`=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e;?:1<7:50;2x 6gb2;?j7E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10c?;>:18'7c3=:1<729q/?lk5409K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:p02<72;q68:4=b29><0<6891v9750;0x911=0h16854=509~w1g=838p1995a99>0g<5=81v5=50;6xZ=5<51?1>8?4=567>46634>ho7??0:p<1<72;q6494=509><0<6881vk<50;6xZc4<5=9h65o4=5a5>=g<5=21jk5rs02;>5<4sW;;463;428eb>;3kj0:<<5rs04f>5<5sW;=i63<5d8eb>{t9>?1<77}:;8?4=27e>4663ty89o4?:3y>70d=:<;01>8?:023?xu4=j0;6?u234a9607<5:<;6<>=;|q01a<72;q6?8j52438960628:;7p}<5d83>7}:;8?4=242>4653ty89k4?:3y>70`=:<;01>8?:022?xu4>90;6?u23729607<5:<:6<>>;|q74d<72=qU8=o4=5a5>7d5342?6kh4=5`9bc=z{=9h6=4={_60g>;3;j09n>5rs562>5<5s4>?:7hi;<670?4292wx89<50;0x91252;?:70:;4;334>{t<=91<773634>?87??2:p013=838p19=l:`:891212;?:7p};c783>7}Y8?4}r6`g?6=:r7?on4=509>0fc=99:0q~:ld;296~;3km099<524bg9557hj7>52z?7g3389'5c5=;0k0c<=9:188m1e12900c9??:188m15d2900c<<=:188mg2=831b85j50;9l51b=831b5<4?::k23g<722c3n7>5;n66a?6=3`;=h7>5;h5a>5<5<5<<6=44o577>5<kk;I1g=>"4n<08jo5+1g690a>i=7>55;092~"4il0m:6F5<>of03:17b50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i2;295?6=8r.8j84vP=b29>6g5=:46f:pb`<72;q6>o=5396896`52;?h7psmcd83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=1}#;ho1j95G3df8L6b>3-;m87:k8:k;e?6=3`k36=44o3`0>5<i5j:0;66g=b383>>of03:17do?:188m=g=831ij:4?:783>5}#;o?1j45f11`94?"4n<0:!5a=33m76g<8583>!5a=393865f32a94?"4n<08?n54o37`>5<#;o?1>8m4;|`0b5<7280;6=u+3g7960152z\b<>;a?3;;n6s|8`83>7}Y0h16j:46f:pe5<72;qUm=52f6807f=z{;h96=4={_0a6>;a?39386s|2c194?4|V;h870h8:37`?xu4lh0;6?u2f68:a>;4n909:<5r}c094?7=83:p(>j7:89'7dc=nl1/?k;53g`8 4`32=n37bhk:18'7c3=:7}Y:k901?l<:3`0?xuf03:1>vPn8:?1f66}::k91>o<4=3`0>d6<5;0mh6*4=:5y'7dc=n=1C?hj4H2f:?!7a<3>o46g7a;29?lg?2900c?l<:188f7d4290>6?49{%1ba?`03-;m87:k8:m1f6<722c9n?4?::kb0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;52458k706290/?k;524a8?xuf03:1>vPn8:?e3?77j2wx4l4?:3y]02j6s|a183>7}Yi916j:4<3b9~w7d52909wSo=50;0xZ7d434l<6?;l;|q0`d<72;q6j:46e:?0b5<5>81vqo<50;394?6|,:n3645+3`g9b`=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3ty9n>4?:3y]6g5<5;h86?l<;|qb{t0h0;6?uQ8`9>6g5=0h1v84?:2y>6g5=:k801?l<:`2897j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm2d83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj83o6=4<:387!5fm3l?7E=jd:J0`<=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7a:3:1?7<54z&0e`=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`2j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psm9283>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj=?n6=4<:387!5fm3l?7E=jd:J0`<=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg2683:1?7<54z&0e`=831d>o=50;9a6g5=83?1>78t$2cf>c1<,8l?69j7;n0a7?6=3`8i>7>5;hc;>5<>da?3:1:7>50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i0;295?6=8r.8j84=569l637=83.8j84=5b98yvg?2909wSo7;46e3ty3m7>52z\;e>;a?33m7p}n0;296~Xf827m;7=6>33ty9n>4?:3y]6g5<5o=1>8m4}r1ge?6=:r7m;77j;<1e4?4192wvn?4?:083>5}#;m2156*"4n<08jo5+1g690a>52z\1f6=::k91>o=4}rc;>5<5sWk370vP7a:?1f653z?1f6<5j;16>o=5a19>6?`c3-9o47m4}|`702<72:0969u+3`g9b1=O;ln0D>j6;%3e0?2c02c3m7>5;hc;>5<5<22;0=w)=ne;d4?!7a<3>o46a=b283>>o5j;0;66gn8;29?lg72900e5o50;9ab2<72?0;6=u+3g79b<=n99h1<7*=n1o0;6)=i5;;e?>o40=0;6)=i5;1;0>=n;:i1<7*5}#;o?1>894o342>5<#;o?1>8m4;|qb{t0h0;6?uQ8`9>b2<>n2wxm=4?:3y]e5=:n>08?n5rs3`1>5<5sW8i>63i7;1;0>{t:k91<77}:n>02i634n8:pu22c196g4<5;h86l>4=38e`>"4l10h7psmee83>6<52=q/?lk5f59K7`b<@:n27)?i4;6g<>o?i3:17do7:188k7d42900n?l<:186>7<1s-9ji7h8;%3e0?2c02e9n>4?::k1f7<722cj47>5;hc3>5<3<729q/?k;5f89j55d=83.8j84>0c98m:18'7c3=:{ti90;6?uQa19>b2<4;j1v?l=:181[4e:27m;7=74:p6g5=838pR?l<;73d3ty8hl4?:3y>b2<>m278j=4=609~yg4=83;1<7>t$2f;><=#;ho1jh5+3g797cd<,8l?69j7;ndg>5<#;o?1>8m4;|q1f6<72;qU>o=4=3`0>7d43tyj47>52z\b<>;5j:0j46s|8`83>7}Y0h16>o=58`9~w0<72:q6>o=52c0897d42h:01?4id:&0`=5;n0a7?6=3k8i?7>55;092~"4il0m;6*>f587`==h:k91<75f2c094?=ni10;66gn0;29?l>f2900nk950;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c6=83;1<7>t$2d6>7303f8==7>5$2d6>73d32wxm54?:3y]e==:n>0:4=g5976e7>52z\1f7=:n>08495rs3`0>5<5sW8i?63i7;06g>{t;mk1<7;?4}|`1>5<6290;w)=k8;;8 6gb2oo0(>h::2da?!7a<3>o46aid;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=i11v5o50;0xZ=g<5;h865o4}r794?5|5;h86?l=;<0a7?g73481ji5+3e:9g>{zj89=6=4;:386!5fm3l>7E=jd:J0`<=#9o>18i64i`294?=n0h0;66gn8;29?j4e;3:17or.8mh4i7:&2b1<3l11d>o=50;9j6g4=831bm54?::kb4?6=3`2j6=44bg594?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o:1<7?50;2x 6`22;?<7b<91;29 6`22;?h76s|a983>7}Yi116j:4>0c9~w=g=838pR5o4=g59=c=z{h:1<752738yxd4290:6=4?{%1g6`e3-;m87:k8:me`?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?4e;2wxm54?:3y]e==::k91m55rs`294?4|Vh:01?l<:`28yv>f2909wS6n;<0a7?>f3ty=6=4={<0a7?4e:2786kj4$2f;>a=zuk;?h7>54;091~"4il0m96F5<>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e;3:1=7>50z&0`=<5;h86l64}rc3>5<5sWk;70vP7a:?1f652z?1f6<5j;16?7hk;%1g53;090~"4il0m86F>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e:3:1=7>50z&0`=<>3-9ji7hj;%1e1?5aj2.:j94;d99lba<72-9m97<:c:9~w7d42909wS<5;h86l64}r:b>5<5sW2j70;5j:0j<63=:gf8 6b?2j1vqo?nd;297?4=k:d9'5c2=4?::`1f6<72<096;u+3`g9b2=#9o>18i64o3`0>5<3`;;n7>5$2d6>46e32c2i7>5$2d6>5$2d6>65d32e99n4?:%1e1?42k21vn>h?:182>5<7s-9m97<:7:m124<72-9m97<:c:9~wd>=838pRl64=g5955d=l;|q1f7<72;qU>o<4=g597=252z\1f6=:n>099n5rs2fb>5<5s4l<64k4=2d3>7063twi>7>51;294~"4l1027)=ne;df?!5a=39mn6*>f587`==hnm0;6)=i5;06g>=z{;h86=4={_0a7>;5j:09n>5rs`:94?4|Vh201?l<:`:8yv>f2909wS6n;<0a7?>f3ty>6=4<{<0a7?4e:279n>4n0:?1>cb<,:n36n5r}c3ba?6=;3818v*N4mm1C?i74$02g>`=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7e;3:1?7<54z&0e`4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zuk;in7>53;090~"4il0m86F>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e:3:1=7>50z&0`=<>3-9ji7hj;%1e1?5aj2.:j94;d99lba<72-9m97<:c:9~w7d42909wS<5;h86l64}r:b>5<5sW2j70;5j:0j<63=:gf8 6b?2j1vqo?mc;297?4=k:d9'5c2=4?::`1f6<72<096;u+3`g9b2=#9o>18i64o3`0>5<3`;;n7>5$2d6>46e32c2i7>5$2d6>5$2d6>65d32e99n4?:%1e1?42k21vn>h?:182>5<7s-9m97<:7:m124<72-9m97<:c:9~wd>=838pRl64=g5955d=l;|q1f7<72;qU>o<4=g597=252z\1f6=:n>099n5rs2fb>5<5s4l<64k4=2d3>7063twi>7>51;294~"4l1027)=ne;df?!5a=39mn6*>f587`==hnm0;6)=i5;06g>=z{;h86=4={_0a7>;5j:09n>5rs`:94?4|Vh201?l<:`:8yv>f2909wS6n;<0a7?>f3ty>6=4<{<0a7?4e:279n>4n0:?1>cb<,:n36n5r}c3a`?6=;3818v*N4mm1C?i74$02g>`=#9o>18i64i9c94?=ni10;66a=b283>>d5j:0;684=:7y'7dc=n>1/=k:54e:8k7d42900e?l=:188md>=831bm=4?::k;e?6=3kl<6=49:183!5a=3l27d??b;29 6`228:i76g6e;29 6`220o07d7i:18'7c3=1o10e>6;:18'7c3=;1>07d=!5a=38>o65rb2d3>5<6290;w)=i5;063>i5>80;6)=i5;06g>=z{h21<7f34l<64h4}rc3>5<5sWk;70h8:21`?xu5j;0;6?uQ2c089c1=;1>0q~4=b29~wd>=838pRl64=3`0>d>63=b28b4>;52on0(>j7:b9~yg7d<3:1?7<54z&0e`4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zukn?6=4<:080M5c12.8mh4>f99jed<722cjn7>5;n1;7?6=3k9m57>53;294~"4il08in5G3df8L6b>3-9m97=jb:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76s|a`83>7}Yih16?k751138yvge2909wSom;<1e=?7782wx?5=50;0xZ6>4349m57<:1:~fa5=8391=7=tH2f:?!5fm3;m46gna;29?lge2900c>6<:188f6`>29086=4?{%1ba?5bk2B8ii5G3e;8 6`22:oi7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*52z\be>;4n00:<<5rs``94?4|Vhh01>h6:023?xu40:0;6?uQ391896`>2;?:7psmd383>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96075}#;ho1=8?50;&0b0<5=j1C?k:4;|`2=4<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`2=6<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd34?:1y'7dc=:<30D>kk;I1g=>"4n<09955+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>jj:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f45?29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn98i:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f1g>29096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn9l9:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~fa6=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wih<4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6Fh::02a?>i5=80;6)=i5;06g>=zjj21<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`aa?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vni650;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm40094?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e90l1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e9h;1<7:50;2x 6gb2=;0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2g2<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0a:>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f4ef29086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:c183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6k80;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>c383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`2g6<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd6j<0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>b783>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6j>0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0`:>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f4df29086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb0`2>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8h96=4;:183!5fm3>:7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo:l1;291?6=8r.8mh4;2:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a0f4=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8n=50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0f2=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{ekk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wimh4?:483>5}#;ho1>8l4H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65f11194?"4n<0:5<#;o?1>8m4;|`bb?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98ygd729096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vno?50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76smb383>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`a7?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:96;296?6=8r.8mh4>1g9K7`b<@:n27)=i5;:4?!7a<3>o46gif;29 6`228:i76a=5083>!5a=38>o6F50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*=47>52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c65=?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg21i3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*=n7>53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm47a94?2=83:p(>oj:37b?M5bl2B8h45+3g7960><,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9j554=83.8j84>0c98k736290/?k;524a8?xd3>m0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho1>874H2gg?M5c12.8j84=599'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb5c3>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=k:6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn9o=:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f1g429086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj=k?6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn9o::180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`7e3<72=0;6=u+3`g960g<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>o68;0;6)=i5;33f>=h:<;1<7*j;7>53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:m8;291?6=8r.8mh4;2:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a0g?=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8oo50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0gd=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8om50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a0gb=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:mf;290?6=8r.8mh4;1:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1>8m4;|`20c<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`214<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`216<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`210<72?0;6=u+3`g906=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98m465290/?k;511`8?l77;3:1(>h::02a?>o68=0;6)=i5;33f>=h:<;1<7*:7>54;294~"4il099l5G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07d??2;29 6`228:i76a=5083>!5a=38>o65rb0c0>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8k>6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb0c5>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8k<6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb0c;>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8k26=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb0cb>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj8ki6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7::;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76g>0383>!5a=3;;n65f11194?"4n<0:5<#;o?1==l4;h331?6=,:l>6<>m;:k243<72-9m97??b:9l607=83.8j84=5b98yg7f<3:187>50z&0e`<5=h1C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn><50;794?6|,:kn6?;m;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a71<72:0;6=u+3`g905=O;ln0D>j6;%1e1?5a3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd4=3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo=9:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f61=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi?54?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`0=?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5f290>6=4?{%1ba?253A9nh6Fh::02a?>o68;0;6)=i5;33f>=n9991<7*53;294~"4il09945G3df8L6b>3-9m97<:8:&2b1<3l11b==>50;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm3283>6<729q/?lk524;8L6cc3A9o56*18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f44329086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*i4?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`26`<72;0;6=u+3`g954`<@:oo7E=k9:&0b0k4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:3183>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6;80;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>3383>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6;:0;6?4?:1y'7dc=98l0D>kk;I1g=>"4n<03;6*>f587`==nno0;6)=i5;33f>=h:<;1<7*07pl>3583>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd6:<0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*;4?:283>5}#;ho18=5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10c?;>:18'7c3=:2683>3<729q/?lk5429K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1==l4;h337?6=,:l>6<>m;:k241<72-9m97??b:9l607=83.8j84=5b98yg7503:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi=?750;194?6|,:kn6?;6;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c31e?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg75j3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wi=?m50;694?6|,:kn6?;n;I1f`>N4l01/?k;524:8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65f11094?"4n<0:5<#;o?1>8m4;|`751<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj=;=6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb534>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=;36=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb53:>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj=;j6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb53a>5<4290;w)=ne;06=>N4mm1C?i74$2d6>73?3-;m87:k8:k245<72-9m97??b:9j557=83.8j84>0c98k736290/?k;524a8?xd39j0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*5}#;ho18<5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10e<>=:18'7c3=99h07b<:1;29 6`22;?h76sm40794?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a00>=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<<31<7=50;2x 6gb2;?27E=jd:J0`<=#;o?1>864$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo::a;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}cfb>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zjmn1<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wihh4?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|`gb?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rbd394?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{em;0;6>4?:1y'7dc=<91C?hj4H2f:?!5a=39m7)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qok;:180>5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`gf?6=<3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9j554=83.8j84>0c98k736290/?k;524a8?xdck3:1?7>50z&0e`<5=01C?hj4H2f:?!5a=38>46*>f587`==n99:1<7*=7>5$2d6>73d32wio=4?:383>5}#;ho1=8?50;&0b0<5=j1C?k:4;|``5?6=<3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>i5=80;6)=i5;06g>=zjj81<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>6<729q/?lk5419K7`b<@:n27)=i5;1e?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|``0?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yge229086=4?{%1ba?4212B8ii5G3e;8 6`22;?37)?i4;6g<>o6890;6)=i5;33f>=n99;1<7*53z\1a>;5m38i?63{t;80;6?u22d8b<>;4;38>=6s|3383>7}:;;099<52328245=z{:>1<74}r16>5<5s49>6?;>;<1:>4663ty8:7>52z?02?429278m7??1:p72<72;q6?:4=509>7d<68;1v>650;0x96>=:<;01>o51118yv5>2909w0=6:372?85e28:;7p}=63{t;k0;6?u23c8114=:;:0:<<5rs6`94?1|V>h01:022?87d?3lm70?mf;335>;4<3;;<63>2g8244=:<4}r:a>5<68rT3n63=e;:b?875:32j70?6d;:b?87a:32j70?7f;:b?8?421k01lm58`9>5db=0h16=lk58`9>5g5=0h16=ol58`9>5ge=0h16=oj58`9>5f2=0h168<<5fg9~w<7=83>pR4?4=5`2>=g<5091m552bd8eb>{t1:0;6ou29281f6=:90l1jk521b49557<58i:6kh4=0`;>46734;i=7hi;<`3>c`<5=<36kh4=5c1>c`<58836<>?;<620?7792wxmn4?:2y>ef<5j:16n<4>019>00?=99:0q~ok:1818gd2h201o=52438yvgb2909w0oj:372?8d628::7p}nf;296~;fn38>=63m2;334>{tj90;6?u2b18114=:j;0:<<5rsc394?4|5k;1>8?4=c1955673634h86<>>;|qa0?6=5fg9~wg>=83kp1om52c189a4=ih16h;4>009>0f7=998019l7:023?82e13lm70?;f;de?8bc28:;70jj:gd89f4=no1voj50;;x9ge=0h16?im58`9>51b=0h16=>858`9>aa=g<5j<14l52bd8114=z{kl1<78?4=b1955773634i?6<>?;|q`6?6=:r7h>7<:1:?`0?7792wxo>4?:3y>g6<5=816o84>019~wf2=838p1n:524389f3=99;0q~l6:18;8e12;h870j=:``89a0=99:019m=:gd891dd2ol01<;>:gd89`7=no16o<4>019~wf1=838p1n85a99>g<<5=81vn650;0x9f>=:<;01n751138yvdf2902w0mn:3`0?8b42hh01i65112891e628:870:m8;335>;3jh0mj63>538eb>;b83lm70m>:022?xudj3:1>v3la;c;?8ec2;?:7p}lc;296~;dk38>=63ld;335>{tjk0;65u2cd81f6=:l=0jn63;c28eb>;3jk0mj63>548244=:lm0:<<52dg8eb>;d93;;>6s|cg83>7}:kl0j463k1;065>{tl90;6?u2d18114=:l80:<<5rse794?5|5m91ml52d78114=:l10:<<5rse594?4|5m>1ml52d98114=z{m31<7:t=e29bc=:kj0mj63l8;de?8bd2;?:7p}ka;296~;ci38>=63j2;335>{tlk0;6?u2dc8114=:lj0:<<5rsef94?4|5mn1>8?4=d6955673634o86<>?;|qgb?6=:r7oj7<:1:?f7?7792wxi=4?:3y>a5<5=816ho4>019~w`7=838p1h?524389ad=9980q~k=:1818c52;?:70jl:023?xub;3:1>v3j3;065>;b<3;;=6s|e583>7}:m=099<52dc8244=z{l?1<74}rg5>5<5s4n86>6<;4673tyn;7>52z?g0?5?;27o=7??0:paa<72:q6ii4=b29>a7<68916o>4>019~w445290>wS?=2:?267<5j:168n?5113891d?28:970?=7;335>{t9;91<7d><588h6?;>;|q261<72;q6=?:52438944d28::7p}>2483>7}:9;?1>8?4=00;>4663ty:>;4?:3y>570=:<;01<<6:023?xu6:>0;6?u21359607<58826<>>;|q26=<72;q6=?652438944f28::7p}>2883>7}:9;31>8?4=00`>4653ty:>l4?:3y>57g=:<;01<?;|q26a<72;q6=?j52438944228:;7p}>2d83>7}:9;o1>8?4=006>4663ty:>k4?:3y>57`=:<;01<<9:023?xu6;90;6?u21229607<588=6<>>;|q274<72;q6=>?52438944028:;7p}>3383>7}:9:81>8?4=004>4653ty:?>4?:3y>565=:<;01<<8:020?xu6;=0;6?u21269607<588<6<>;;|q273<7284=015>7d434;i97hi;<3a4?77827:>i4if:p561=838p1<=9:`:8945?2;?:7p}>4e83>0}Y9=n01<:k:3`0?87e>3lm70?m0;335>;6:l0mj6s|15g94?4|58>o6l64=075>7363ty:8k4?:3y>51`=:<;01<;::023?xu6=90;6?u21429607<58?>6<>=;|q214<72;q6=8?52438943228:87p}>5383>7}:9<81>8?4=076>4633ty:9>4?:3y>505=:<;01<;9:023?xu6==0;6?u21469607<58?=6<>=;|q210<72;q6=8;52438943128::7p}>6e83>7}Y9?n0198k:023?xu6?k0;6?uQ16`894>a2h20q~?7f;290~;60o09n>521809bc=:4=5c2>4673ty:5=4?:9y>5<5=:<;01{t90;1<773634;2?7??0:p5<4=838p1<7=:372?87>;3;;=6s|18f94?5|583o6?l<;<3bg?77827?954>009~w4?b2909w0?n1;065>;6i:0mj6s|18d94?4|583m6?;>;<3b5?7782wx=l>50;0x94g72;?:70?n1;336>{t9h81<7d><58k?6?;>;|q2e6<72;q6=l=5243894ge28::7p}>a483>7}:9h?1>8?4=0c`>4663ty:m;4?:3y>5d0=:<;010;6?u21`59607<58kh6<><;|q2e=<72;q6=l65243894gd28:>7p}>a883>7}:9h31>8?4=0c`>4633ty:ml4?:3y>5dg=:<;01?;|q2ef<72;q6=lm5243894g328:97p}>ae83>0}:9hn1>o=4=0ab>46734;j87??1:?03?`a34;887hi;|q2e`<725dc=:k901=5fg9~w4ga2909w0?ne;c;?87e:38>=6s|1c294?4|58h;6?;>;<3a6?7782wx=o?50;0x94d62;?:70?m2;336>{t9k91<7mt=0`0>7d434>?m7??0:?2f<<68916=o<511389dc=9990198m:023?82f=3;;<63>a88eb>;4:3;;<63>338eb>;6:h0:<=5240c9bc=z{8h?6=4={<3a7?g?34;im7<:1:p5g3=838p1;<3a3?7792wx=o950;0x94d02;?:70?m8;335>{t9k21<773634;i57??1:p5g?=838p1019>e`<68;168;;5112891?a28:;70?n7;de?85528::70?=b;334>;3900mj6s|1ca94??|58hi6l64=0``>7d434kn6<>>;<651?77927?5k4>009>5d0=no16??4>029>572=99:019?8:gd8yv7el3:15v3>bb8b<>;6jm09n>52ad8245=:4=5c0>46734;j97hi;<11>46534;987??1:?75=?;|q2g5<72;q6=n>5243894e528:;7p}>c083>7}:9j;1>8?4=0a1>4663ty:o?4?:3y>5f4=:<;01?;<3ab?77827jj7??0:?722<688168l?5113894gf2ol013lm7p}>c483>7}:9j>1m5521bc960752z?2g3<5=816=n651128yv7d?3:1>v3>c68114=:9j21==?4}r3`5f?=99;0q~?l9;296~;6k0099<521bc955752z\2a5=:4}r3f5?6=:rT:i<521g09e==z{8l96=4<{<3e6?4e;27:5<4if:?bb?7792wx>9k50;0x96cb2on019l>:`28yv5ck3:1?v34=5`;>4643ty8hi4?:3y>7ae=i116?ik52438yv2683:18vP;119>046=:k901<=9:`28942c2h:0q~:>1;291~;39903m63;998;e>;3=l03m63;468;e>;39;099<5rs530>5<5s4>:<7o7;<621?4292wx8<:50;0x91732;?:70:>b;334>{t<8<1<773634>:n7??1:p041=838p19?8:372?826l3;;<6s|40:94?4|5=;36?;>;<62g?7782wx8<750;0x917>2;?:70:>c;335>{t<8k1<773634>:97??1:p04d=838p19?m:372?826l3;;=6s|40a94?4|5=;h6?;>;<62`?77:2wx85;334>{t<;;1<77}Y<:i01<;<:gd8yv23?3:1>vP;469>011=:k90q~:;9;296~;3<>0j463;4`8114=z{=??6=4={_660>;3=<099<5rs574>5<5s4>>97hi;<66e?4292wx88650;0x913?2;?:70::9;335>{t<<31<773634>>m7??1:p00c=839pR9;j;<66a?4e;27?:;4if:p032=838p198i:gd8910c2;?:7p};6483>7}:8?4=54`>4663ty?:;4?:3y>030=:<;01986:022?xu3>>0;6?u24759607<5=?;|q72=<72;q68;652438910f28::7p};6883>7}:8?4=54a>4663ty?:l4?:3y>03g=:<;0198l:021?xu3>k0;6?u247`9607<5=?;|q72f<72;q68;m52438910c28::7p};6d83>7}:<3h7>52z\71jk5rs5;;>5<4sW>2463;9981f6=:5<5s4>j57hi;<6b3?4292wx84h50;0x91?a2;?:70:n6;335>{t73634>j?7??1:p0d7=838p19o>:372?82f<3;;<6s|4`094?4|5=k96?;>;<6b0?7792wx8l=50;0x91g42;?:70:n5;335>{t1<773634>j:7??2:p0d3=838p19o::372?82f>3;;<6s|4`494?4|5=k=6?;>;<6b3?7792wx8l650;0x91??2h2019o6:372?xu3j80;6>uQ4c3891d62;h870?:0;de?xu3j<0;6?u24c39e==:8?4}r6a3?6=:r7?n<46e:?7fc<5=81v9l7:18182e038>=63;bd8245=z{=h26=4={<6a=?42927?ni4>019~w1df2909w0:ma;065>;3jm0:<<5rs5`a>5<5s4>in7<:1:?7fc<6891v9ll:18182ek38>=63;bg8247=z{=ho6=4={<6a`?42927?nh4>009~w1db2909w0:me;065>;3jo0:<<5rs5a3>5<5s4>i:7hi;<6`1?4292wx8n?50;0x91e62;?:70:l5;334>{t73634>h87??0:p0f5=838p19m<:372?82d<3;;=6s|4b694?4|5=i?6?;>;<6`1?7792wx8n850;0xZ1e1349oi7hi;|a7ce=8321:n49fzJ0`<=#;ho1m<5+1g19721<75`43g94?=nj=0;66g;5c83>>i3180;66a8b;29?g5bm3:1=7>50z&0e`ial3:1(>h::37`?>{e;j=1<7;52;4x 6gb2o<0D>kk;I1g=>"68m0n7)?i4;6g<>o>m3:17do?:188m=g=831bm54?::m1f6<722h9n>4?:783>5}#;o?1j45f11`94?"4n<0:!5a=33m76g<8583>!5a=393865f32a94?"4n<08?n54o37`>5<#;o?1>8m4;|`0b7<7280;6=u+3g797cd4?:3y]6g5<5;h86?;l;|qbm279n>46e:p6>3349m>7<:c:~f6e4290>6?49{%1ba?`13A9nh6F5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c1ab?6==381:v*N4mm1C?i74$02g>`=#9o>18i64i8g94?=ni90;66g7a;29?lg?2900c?l<:188f7d4290=6=4?{%1e1?`>3`;;n7>5$2d6>46e32c2i7>5$2d6>5$2d6>65d32e99n4?:%1e1?42k21vn>h=:182>5<7s-9m97=ib:m11f<72-9m97<:c:9~w7d42909wS<5;h86<>m;|qb4?6=:rTj<63=b2807f=z{0o1<7f348i?77i;|qea?6=:r79n>4<859>7c4=:0<52?q/?lk5f79K7`b<@:n27)??d;g8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twi>=j50;796?0|,:kn6k84H2gg?M5c12.:j94;d99j=`<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c03a?6==381:v*N4mm1C?i74$0d7>1b?3`3n6=44i`294?=n0h0;66gn8;29?j4e;3:17o64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<4nk1d>8m50;&0b0<5=j10q~o=524a8yvg?2909wSo7;<0a7?77j2wxm=4?:3y]e5=::k91?>m4}r;f>5<5sW3n70vP7a:?1f6<>n2wxjh4?:3y>6g5=;1>01>h=:37`?x{e:881<7;52;4x 6gb2o<0D>kk;I1g=>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo<>3;291?4=>r.8mh4i6:J0aa=O;m30(50;9j5;n0a7?6=3k8i?7>56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e6?6=93:152z\1f6=::k91>8m4}rc;>5<5sWk370{ti90;6?uQa19>6g5=;:i0q~7j:181[?b348i?77j;|q;e?6=:rT3m63=b28:b>{tnl0;6?u22c197=2<5:l96?;l;|a642=83?1>78t$2cf>c0<@:oo7E=k9:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=55;092~"4il0m:6F5<>of03:17b50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i2;295?6=8r.8j84vP=b29>6g5=:46f:pb`<72;q6>o=5396896`52;?h7psm20494?3=:3oj:g48L6cc3A9o56*>f587`==n1l0;66gn0;29?l>f2900el650;9l6g5=831i>o=50;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c4=83;1<7>t$2d6>6`e3f8>o7>5$2d6>73d32wx>o=50;0xZ7d4348i?7<:c:pe=<72;qUm5522c1955d7}Y1l16>o=59d9~w=g=838pR5o4=3`0><`2B8ii5G3e;8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twi><650;796?0|,:kn6k84H2gg?M5c12.:j94;d99j=`<722cj<7>5;h:b>5<m:18'7c3=99h07d7j:18'7c3=1l10e4h50;&0b0<>n21b?5:50;&0b0<40=10e>=l:18'7c3=;:i07b<:c;29 6`22;?h76sm3g094?7=83:p(>h::2da?j42k3:1(>h::37`?>{t:k91<7o6s|a983>7}Yi116>o=511`8yvg72909wSo?;<0a7?54k2wx5h4?:3y]=`=::k915h5rs9c94?4|V1k01?l<:8d8yv`b2909w0;4n;099n5r}c02=?6==381:v*N4mm1C?i74$0d7>1b?3`3n6=44i`294?=n0h0;66gn8;29?j4e;3:17o64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<4nk1d>8m50;&0b0<5=j10q~o=524a8yvg?2909wSo7;<0a7?77j2wxm=4?:3y]e5=::k91?>m4}r;f>5<5sW3n70vP7a:?1f6<>n2wxjh4?:3y>6g5=;1>01>h=:37`?x{e:9l1<7;52;4x 6gb2o<0D>kk;I1g=>"6n=0?h55f9d83>>of83:17d6n:188md>=831d>o=50;9a6g5=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k<50;394?6|,:l>6>hm;n06g?6=,:l>6?;l;:p6g5=838pR?l<;<0a7?42k2wxm54?:3y]e==::k91==l4}rc3>5<5sWk;70{t1l0;6?uQ9d9>6g5=1l1v5o50;0xZ=g<5;h864h4}rdf>5<5s48i?7=74:?0b7<5=j1vqo<>0;291?4=>r.8mh4i6:J0aa=O;m30(50;9j5;n0a7?6=3k8i?7>56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e6?6=93:152z\1f6=::k91>8m4}rc;>5<5sWk370{ti90;6?uQa19>6g5=;:i0q~7j:181[?b348i?77j;|q;e?6=:rT3m63=b28:b>{tnl0;6?u22c197=2<5:l96?;l;|a647=83?1>78t$2cf>c0<@:oo7E=k9:&2b1<3l11b5h4?::kb4?6=3`2j6=44i`:94?=h:k91<75m2c194?0=83:p(>h::g;8m46e290/?k;511`8?l?b290/?k;59d98m<`=83.8j846f:9j7=2=83.8j84<8598m65d290/?k;532a8?j42k3:1(>h::37`?>{e;o81<7?50;2x 6`22:li7b<:c;29 6`22;?h76s|2c194?4|V;h870{ti10;6?uQa99>6g5=99h0q~o?:181[g7348i?7=55;092~"4il0m:6F5<>of03:17b50z&0b05$2d6><`<3`9387>5$2d6>6>332c8?n4?:%1e1?54k21d>8m50;&0b0<5=j10qo=i2;295?6=8r.8j84vP=b29>6g5=:46f:pb`<72;q6>o=5396896`52;?h7psm3c194?3=:3oj:g48L6cc3A9o56*>f587`==n1l0;66gn0;29?l>f2900el650;9l6g5=831i>o=50;494?6|,:l>6k74i02a>5<#;o?1==l4;h;f>5<#;o?15h54i8d94?"4n<02j65f39694?"4n<084954i21`>5<#;o?1?>m4;n06g?6=,:l>6?;l;:a7c4=83;1<7>t$2d6>6`e3f8>o7>5$2d6>73d32wx>o=50;0xZ7d4348i?7<:c:pe=<72;qUm5522c1955d7}Y1l16>o=59d9~w=g=838pR5o4=3`0><`2B8ii5G3e;8 4`32=n37d7j:188md6=831b4l4?::kb5;c0a7?6=>3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:7>51;294~"4n<08jo5`24a94?"4n<099n54}r0a7?6=:rT9n>522c1960e7}Yi916>o=532a8yv?b2909wS7j;<0a7??b3ty3m7>52z\;e>;5j:02j6s|fd83>7}::k91?5:4=2d1>73d3twij<4?:281>1}#;ho1j95G3df8L6b>3-;m87:k8:k;e?6=3`k36=44o3`0>5<i5j:0;66g=b383>>of03:17do?:188m=g=831ij:4?:783>5}#;o?1j45f11`94?"4n<0:!5a=33m76g<8583>!5a=393865f32a94?"4n<08?n54o37`>5<#;o?1>8m4;|`0b5<7280;6=u+3g7960152z\b<>;a?3;;n6s|8`83>7}Y0h16j:46f:pe5<72;qUm=52f6807f=z{;h96=4={_0a6>;a?39386s|2c194?4|V;h870h8:37`?xu4lh0;6?u2f68:a>;4n909:<5r}c094?7=83:p(>j7:89'7dc=nl1/?k;53g`8 4`32=n37bhk:18'7c3=:7}Y:k901?l<:3`0?xuf03:1>vPn8:?1f66}::k91>o<4=3`0>d6<5;0mh6*4=:5y'7dc=n=1C?hj4H2f:?!7a<3>o46g7a;29?lg?2900c?l<:188f7d4290>6?49{%1ba?`03-;m87:k8:m1f6<722c9n?4?::kb0;6;4?:1y'7c3=n01b==l50;&0b0<68k10e4k50;&0b0<>m21b5k4?:%1e1??a32c8494?:%1e1?5?<21b?>m50;&0b0<4;j10c?;l:18'7c3=:4<729q/?k;52458k706290/?k;524a8?xuf03:1>vPn8:?e3?77j2wx4l4?:3y]02j6s|a183>7}Yi916j:4<3b9~w7d52909wSo=50;0xZ7d434l<6?;l;|q0`d<72;q6j:46e:?0b5<5>81vqo<50;394?6|,:n3645+3`g9b`=#;o?1?kl4$0d7>1b?3flo6=4+3g7960e<3ty9n>4?:3y]6g5<5;h86?l<;|qb{t0h0;6?uQ8`9>6g5=0h1v84?:2y>6g5=:k801?l<:`28977:t$2cf>c2<@:oo7E=k9:&2b1<3l11b4l4?::kb5;c0a7?6==381:v*"6n=0?h55`2c194?=n:k81<75fa983>>of83:17d6n:188fc1=83<1<7>t$2d6>c?=n;1>1<7*o7>5$2d6>73d32wi?k>50;394?6|,:l>6?;8;n055?6=,:l>6?;l;:pe=<72;qUm552f6824g=z{1k1<750;0xZd6<5o=1?>m4}r0a6?6=:rT9n?52f680<1=z{;h86=4={_0a7>;a?38>o6s|3ec94?4|5o=15h523g29637:183!5c0330(>oj:gg8 6`22:li7)?i4;6g<>ial3:1(>h::37`?>{t:k91<77}Yi116>o=5a99~w=g=838pR5o4=3`0>=g7d5348i?7o?;<09ba=#;m21o6srb5;2>5<42;0?w)=ne;d7?M5bl2B8h45+1g690a>>i5j:0;66l=b283>0<52?q/?lk5f69'5c2=5;cd4>5<1290;w)=i5;d:?l77j3:1(>h::02a?>o>m3:1(>h::8g8?l?a290/?k;59g98m6>3290/?k;53968?l54k3:1(>h::21`?>i5=j0;6)=i5;06g>=zj:l;6=4>:183!5a=38>;6a=6083>!5a=38>o65rs`:94?4|Vh201k9511`8yv>f2909wS6n;<`7}Y:k801k953968yv4e;3:1>vP=b29>b2<5=j1v>jn:1818`020o01>h?:342?x{e:3:1=7>50z&0`=<>3-9ji7hj;%1e1?5aj2.:j94;d99lba<72-9m97<:c:9~w7d42909wS<5;h86l64}r:b>5<5sW2j70;5j:0j<63=:gf8 6b?2j1vqo9m:180>7<3s-9ji7h;;I1f`>N4l01/=k:54e:8m=g=831bm54?::m1f6<722h9n>4?:481>3}#;ho1j:5+1g690a>5<>o?i3:17oh8:185>5<7s-9m97h6;h33f?6=,:l>6<>m;:k:a?6=,:l>64k4;h;e>5<#;o?15k54i2:7>5<#;o?1?5:4;h10g?6=,:l>6>=l;:m11f<72-9m97<:c:9~f6`7290:6=4?{%1e1?42?2e9:<4?:%1e1?42k21vl650;0xZd><5o=1==l4}r:b>5<5sW2j70h8:8d8yvg72909wSo?;65d3ty9n?4?:3y]6g4<5o=1?5:4}r0a7?6=:rT9n>52f6811f=z{:nj6=4={;|a6?6=93:1"6n=0?h55`fe83>!5a=38>o65rs3`0>5<5sW8i?63=b281f6=z{h21<7f348i?76n;|q6>5<4s48i?7f=zuk>>h7>53;090~"4il0m86F5<50;9j56;294~"4n<0m56g>0c83>!5a=3;;n65f9d83>!5a=33n76g6f;29 6`220l07d=74;29 6`22:2?76g<3b83>!5a=398o65`24a94?"4n<099n54}c1e4?6=93:1{t:k81<77}Y:k901k9524a8yv5ci3:1>v3i7;;f?85a838==6srb383>4<729q/?i659:&0e`o=52c18yvg?2909wSo7;<0a7?g?3ty3m7>52z\;e>;5j:03m6s|5;297~;5j:09n?522c19e5=::3lo7)=k8;a8yxd6990;6>4=:5y'7dc=n=1C?hj4H2f:?!77l3o0(f2900el650;9l6g5=831i>o=50;796?0|,:kn6k94$0d7>1b?3f8i?7>5;h0a6?6=3`k36=44i`294?=n0h0;66li7;292?6=8r.8j84i9:k24g<72-9m97??b:9j=`<72-9m977j;:k:b?6=,:l>64h4;h1;0?6=,:l>6>6;;:k07f<72-9m97=50z&0b0<5=>1d>;?50;&0b0<5=j10q~o7:181[g?34l<6<>m;|q;e?6=:rT3m63i7;;e?xuf83:1>vPn0:?e3?54k2wx>o<50;0xZ7d534l<6>6;;|q1f6<72;qU>o=4=g5960e52z?e3??b349m<7<91:~f7<7280;6=u+3e:9=>"4il0mi6*18i64ogf94?"4n<099n54}r0a7?6=:rT9n>522c196g547a:p1?6=;r79n>4=b39>6g5=i916>7hk;%1g1}#;ho1j95G3df8L6b>3-;;h7k4$0d7>1b?3`2j6=44i`:94?=h:k91<75m2c194?3=:3oj:g58 4`32=n37b3:1o68k0;6)=i5;33f>=n1l0;6)=i5;;f?>o>n3:1(>h::8d8?l5?<3:1(>h::2:7?>o4;j0;6)=i5;10g>=h:51;294~"4n<099:5`27394?"4n<099n54}rc;>5<5sWk370h8:02a?xu?i3:1>vP7a:?e3??a3tyj<7>52z\b4>;a?398o6s|2c094?4|V;h970h8:2:7?xu5j:0;6?uQ2c189c1=:{zj;0;6<4?:1y'7a>=12.8mh4ie:&0b0<4nk1/=k:54e:8kcb=83.8j84=5b98yv4e;3:1>vP=b29>6g5=:k90q~o7:181[g?348i?7o7;|q;e?6=:rT3m63=b28;e>{t=3:1?v3=b281f7=::k91m=522;dg?!5c03i0qpl6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96076}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd4kk0;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`15f<72:0:6>uG3e;8 6gb28l37don:188mdd=831d?5=50;9a7c?=8391<7>t$2cf>6cd3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7}Yik16?k751128yv5?;3:1>vP<829>7c?=:<;0qpl=1c83>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96076}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd5:<0;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`161<72:0:6>uG3e;8 6gb28l37don:188mdd=831d?5=50;9a7c?=8391<7>t$2cf>6cd3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7}Yik16?k751128yv5?;3:1>vP<829>7c?=:<;0qpl=2283>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;9607?4?:282>6}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd5:80;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`165<72:0:6>uG3e;8 6gb28l37don:188mdd=831d?5=50;9a7c?=8391<7>t$2cf>6cd3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*7}Yik16?k751128yv5?;3:1>vP<829>7c?=:<;0qpl=1g83>6<62:qC?i74$2cf>4`?3`kj6=44i``94?=h;191<75m3g;94?5=83:p(>oj:2g`?M5bl2B8h45+3g797`d<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yvgf2909wSon;<1e=?7792wxmo4?:3y]eg=:;o31==>4}r1;7?6=:rT84>523g;96076}O;m30(>oj:0d;?lgf2900ell50;9l7=5=831i?k750;194?6|,:kn6>kl;I1f`>N4l01/?k;53d`8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}rcb>5<5sWkj70=i9;335>{tik0;6?uQac9>7c?=99:0q~=73;296~X40:16?k752438yxd59m0;6>4>:2yK7a?<,:kn65<1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10q~on:181[gf349m57??1:peg<72;qUmo523g;955652z\0<6=:;o31>8?4}|`a2?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn>j9:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb25a>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj;836=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb30`>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f74b29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj;9;6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?==:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb317>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f75129086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj;936=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn?=n:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb31`>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f74129096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>m6:181>5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f6ef29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:i>6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb2a5>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f6e629096=4?{%1ba?76n2B8ii5G3e;8 6`221=0(h::37`?M5a<21vn>m=:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb2``>5<5290;w)=ne;32b>N4mm1C?i74$2d6>=1<,8l?69j7;hde>5<#;o?1==l4;n065?6=,:l>6?;l;I1e0>=zj:ho6=4=:183!5fm3;:j6F6594$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d3A9m865rb2`f>5<4290;w)=ne;63?M5bl2B8h45+3g797c=#9o>18i64i023>5<#;o?1==l4;h335?6=,:l>6<>m;:m114<72-9m97<:c:9~f6ea29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj:n:6=4<:183!5fm3>;7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7?>f:J0aa=O;m30(>h::958 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h7E=i4:9~f47c29086=4?{%1ba?273A9nh6Fh::02a?>i5=80;6)=i5;06g>=zj8:m6=4;:183!5fm3>:7E=jd:J0`<=#;o?1?k5+1g690a>5$2d6>46e32c:8?50;&0b0<5=j10qo=m5;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c1a2?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c1aN4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg5e13:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*59;294~"4il0?:6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21b==<50;&0b0<68k10e<><:18'7c3=99h07d??4;29 6`228:i76g>0483>!5a=3;;n65f11494?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a65?=83?1<7>t$2cf>14<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?l77:3:1(>h::02a?>o68:0;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c03f?6=;3:1N4mm1C?i74$2d6>6`<,8l?69j7;h334?6=,:l>6<>m;:k244<72-9m97??b:9l607=83.8j84=5b98yg47k3:1?7>50z&0e`<382B8ii5G3e;8 6`22:l0(h::02a?>o6880;6)=i5;33f>=h:<;1<7*52;294~"4il0:=k5G3df8L6b>3-9m9768;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k2B8j954}c323?6=:3:1j6;%1e1?>03-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:J0b1=53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo?>9;297?6=8r.8mh4;0:J0aa=O;m30(>h::2d8 4`32=n37d??0;29 6`228:i76g>0083>!5a=3;;n65`24394?"4n<099n54}c32e?6=;3:1j6;%1e1?4202.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e9881<7:50;2x 6gb2=;0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*7>5$2d6>46e32e99<4?:%1e1?42k21vn5<7s-9ji7<:9:J0aa=O;m30(>h::37;?!7a<3>o46g>0183>!5a=3;;n65f11394?"4n<0:5<#;o?1>8m4;|`03a<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho1=8?50;&0b0<5=j1C?k:4;|`03c<72;0;6=u+3`g954`<@:oo7E=k9:&0b05}#;ho18<5G3df8L6b>3-9m97=i;%3e0?2c02c:<=4?:%1e1?77j21b==?50;&0b0<68k10e<>=:18'7c3=99h07b<:1;29 6`22;?h76sm7e83>7<729q/?lk510d8L6cc3A9o56*"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n5G3g68?xd0m3:1>7>50z&0e`<69o1C?hj4H2f:?!5a=32<7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>N4n=10qo9i:180>5<7s-9ji7:?;I1f`>N4l01/?k;53g9'5c2=?:18'7c3=99h07d??1;29 6`228:i76a=5083>!5a=38>o65rb9294?5=83:p(>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a<4<72:0;6=u+3`g960?<@:oo7E=k9:&0b0<5=11/=k:54e:8m467290/?k;511`8?l7793:1(>h::02a?>i5=80;6)=i5;06g>=zj181<7=50;2x 6gb2=:0D>kk;I1g=>"4n<08j6*>f587`==n99:1<7*=7>5$2d6>73d32wi8>?50;194?6|,:kn69>4H2gg?M5c12.8j8450;&0b0<68k10e<>>:18'7c3=99h07b<:1;29 6`22;?h76sm42094?4=83:p(>oj:03e?M5bl2B8h45+3g79<2=#9o>18i64igd94?"4n<0:5<#;o?1>8m4H2d7?>{e<:91<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:528L6cc3A9o56*"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:a063=8391<7>t$2cf>73>3A9nh6Fh::02a?>o6880;6)=i5;33f>=h:<;1<7*8:7>53;294~"4il0?<6F6>h4$0d7>1b?3`;;<7>5$2d6>46e32c:<<4?:%1e1?77j21d>8?50;&0b0<5=j10qo:<7;297?6=8r.8mh4=589K7`b<@:n27)=i5;06<>"6n=0?h55f11294?"4n<0:5<#;o?1==l4;n065?6=,:l>6?;l;:p3g<72:qU;o527c81f6=:?o0:<=5rs6a94?4|5>h1m552838114=z{>n1<7l1==?4}r5f>5<5s4=n6?;>;<:3>4663ty52z?4b?429273=7??0:p<5<72;q64=4=509><4<6881v5?50;0x9=7=:<;015<51138yv?a290:hvP6f:?0g247a:?0fc47a:?151f34l;65o4=50f>=g<5=3:65o4=6`914l5rsc694?4|Vk>01k>5a99~wg3=833p1>l<:8g8961?20o01>ln:8g896e020o01>m<:8g896da20o01o95243896b128::70??f;334>{tj?0;6?u2b78114=:j>0:<<5rsdg94?5|5o;1m552f181f6=:j?0mj6s|eg83>7}:n809n>52b68245=z{8:n6=4;{<33b?42927:=:4if:?25=<68916=<<51128yv7683:1;v3>1181f6=:98n1==>4=035>c`<58;86<>?;<:1>46734>8=7??0:?777>;|q251<72>q6=<:52c18947d2ol01638d;de?8>728:;70:<6;334>{t98?1<7d><58;j6?;>;|q253<72;q6=<852438947?28::7p}>1683>7}:98=1>8?4=03:>4663ty:=54?:3y>54>=:<;01>;|q25g<72;q6=1d83>7}:<0;1m55210f960752z?143<5j:16==h51108yv47?3:1>v3=078b<>;58j099<5rs32;>5<5s48;47<:1:?14f<6891v?>6:181847138>=63=0c8245=z{;:j6=4={<03e?429279009~w76e2909w0;58j0:<<5rs0d:>5<2s48;h754>009>670=no16>=o5fg9~w4`c290?w0;59m0jn63=298245=::921==>4}r3ee?6=64g=ik16>>o51128976>28:97p}>fc83>1}::8:1>o=4=33a>dd<5;9h6<>?;<03=?7792wx=km50;1x97762;h870<>c;ca?84713;;?6s|1gg94?2|5;;96?l<;<02a?ge3489m7??0:?14=<6881v;5:j0:<=5221:955454z?151<5j:16>?>5ac9>67c=99:01?>7:027?xu5880;69u220796g5<5;8:6ll4=313>467348;47??3:p654=83>p1??9:3`0?845:3ki70<<2;334>;5810:<85rs320>5<3s48:;7019>65>=99=0q~522369eg=:::<1==>4=32;>4613ty9<84?:5y>64?=:k901?<::``8975?28:;70{t:;=1<7=t=33f>dg<5;836?;>;<01e?7792wx>?750;1x977a2hk01?7363489i7??1:p67b=839p1?<>:`c8974b2;?:70<<0;335>{t:;l1<7=t=301>dg<5;9;6?;>;<006?7792wx>>?50;1x97442hk01?==:372?844<3;;=6s|22194?5|5;8?6lo4=317>7363488:7??1:p663=839p1?<::`c897512;?:70<<8;335>{t::=1<7=t=33b>dg<5;936?;>;<00e?7792wx>>750;1x977e2hk01?=n:372?844k3;;=6s|22`94?4|5;;h6lo4=31`>7363ty9?i4?:3y>65b=i116>?852438yv44m3:1>v3=0g8b<>;59h084>5rs31e>5<5s48:<7o7;<02f?5?;2wx>9>50;0x97762h201??l:2:0?xu5<80;6?u221g9e==::8n1?5=4}r076?6=:r79=?4n8:?15`<40:1v?:<:181846;3k370<>f;1;7>{t:=>1<7d><5;8;6>6<;|q100<72;q6><;5a99>677=;190q~<;6;296~;59?0j463=2380<6=z{;><6=4={<023?g?3489?7=73:p61>=838p1??7:`:897432:287p}=4883>7}::831m55223797=5514y>7`c=nm16?n95a19>7f5=i916?oh5a19>7gg=i916>=j5a19>65c=i916><<5a19>645=i916><:5a19>643=i916><85a19>641=i916><65a19>64?=i916>=h5a19>646=i916>650=i916?o=5a19>72>=i91v>97:181[500278;54=b29~w61f2909w0=88;c;?850j38>=6s|36a94?4|5:=i6kh4=2:3>7363ty8;i4?:3y>72b=:<;01>6?:022?xu4?l0;6?u236g9607<5:2;6<>?;|q03c<72;q6?:h5243896>728:97p}6}:;k91>o=4=2f5>46734;;j7??1:p7g2=838p1>l<:`:896d>2;?:7p}7}:;k?1>8?4=2`:>4673ty8n;4?:3y>7g0=:<;01>l7:023?xu4j>0;6?u23c59607<5:h36<>>;|q0f=<72;q6?o65243896d>28::7p}0}:;kk1>o=4=2aa>dg<5:ho6kh4=2ae>466349i97??0:p7gd=838p1>ln:`:896db2;?:7p}7}:;ki1>8?4=2`f>4673ty8ni4?:3y>7gb=:<;01>lj:022?xu4j90;68u23cd96g5<5:ii6ll4=2ae>467349i:7hi;<14a?`a3ty8o=4?:3y>7g`=i116?n<52438yv5d93:1>v34=b29>7fe=ik16?i?5112896d02ol01>9k:gd8yv5d<3:1>v3;4k?099<5rs2a6>5<5s49h97<:1:?0g3<6881v>l=:186[5?9278o:4=b29>7fb=ik16?o;51138961a2ol0q~=l8;296~;4k>0j463009~w6eb2908w0=lc;cb?85dn38>=63mm:2:0?85d:3;;<6s|3e194?4|5:ih6>6<;<1`2?7782wx?i:50;0x96ec2:2870=la;334>{t;m?1<7?<{<03`??b348;i77j;<026??b348:?77j;<020??b348:977j;<022??b348:;77j;<029i78=7??1:?770<6891v9=?:181825m3k370:<7;065>{t<:;1<773634>8;7??0:p064=838p19==:372?824<3;;<6s|42194?4|5=986?;>;<600?7792wx8>:50;0x91532;?:70:<5;335>{t<:?1<773634>8:7??1:p060=838p19=9:372?824?3;;=6s|44`94?4|V=?i70::d;c;?xu3=m0;6>u244f96g5<58;96<>>;<607?`a3ty?5<4?:3y]0<7<5=3:6?l<;|a05>=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8N4l01/?k;5869'5c2=:18'7c3=:h;;:a052=8381<7>t$2cf>47a3A9nh6F1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8L6`332wi8=;50;094?6|,:kn6N4l01/?k;5869'5c2=:18'7c3=:h;;:a050=8391<7>t$2cf>16<@:oo7E=k9:&0b0<4n2.:j94;d99j556=83.8j84>0c98m466290/?k;511`8?j4293:1(>h::37`?>{e<0:1<7<50;2x 6gb28;m7E=jd:J0`<=#;o?14:5+1g690a>oj:02b?M5bl2B8h45+3g79<2=O9:i0(o46gif;29 6`228:i76a=5083>!5a=38>o65rb5;b>5<5290;w)=ne;33e>N4mm1C?i74$2d6>=1<@89h7)?lb;33f>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c61f?6=:3:1j6;%1e1?>03A;8o6*>cc824g=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`fg?6=:3:1j6;%1e1?>03A;8o6*>cc824g=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`e7?6=:3:1j6;%1e1?>03A;8o6*>cc824g=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`705<72;0;6=u+3`g955g<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a00e=8381<7>t$2cf>46f3A9nh6F1C=>m4$0aa>46e3-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:9~f1ef29096=4?{%1ba?77i2B8ii5G3e;8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg?529096=4?{%1ba?77i2B8ii5G3e;8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg>d29096=4?{%1ba?77i2B8ii5G3e;8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg2183:1>7>50z&0e`<68h1C?hj4H2f:?!5a=32<7E?0c98k736290/?k;524a8?xd3l:0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?;0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?80;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?90;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>o0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>m0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>j0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>k0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>h0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>00;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>10;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>>0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>?0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e><0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>=0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?h0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?00;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?10;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?>0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e??0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?<0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?=0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e?:0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e>l0;6?4?:1y'7dc=99k0D>kk;I1g=>"4n<03;6F>3b9'5fd=99h0(h::37`?>{e<1o1<7:52;6x 6gb289i7E=jd:J0`<=#9o>18i64igd94?=nj=0;66a=5083>>i6:80;66l>0`83>7<729q/?lk511c8 6`221=0D<=l;%3`f?77j2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg42;3:1?7?53z&0e`<5=:1C=>m4$0aa>73d3-;m87:k8:keb?6=3`h?6=44o372>5<!5a=3k>76a=5083>!5a=38>o6F<9898yv`a2909wShi;<1e5?`a3tyi87>52z\a0>;4n8085:5rs372>5<5sW8>=63=7>52z\114=:99k1>8?4}r315?6=;rT:><5211c9bc=::<91>8?4}rde>5<5sWlm70<:3;de?xue<3:1>vPm4:?116N4l01/?k;5869K56e<,8ii6?;l;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k21vn9=j:181>5<7s-9ji7<:2:J0aa=O;m30(>h::958L45d3-;hn7<:c:&2b1<3l11bjk4?:%1e1?77j21d>8?50;&0b0<5=j10qo:l8;296?6=8r.8mh4=539K7`b<@:n27)=i5;:4?M74k2.:oo4=5b9'5c2=:18'7c3=:7<729q/?lk52408L6cc3A9o56*N6;j1/=nl524a8 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h76sm9183>7<729q/?lk52408L6cc3A9o56*N6;j1/=nl524a8 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h76sm49a94?4=83:p(>oj:371?M5bl2B8h45+3g79<2=O9:i0(o46gif;29 6`228:i76a=5083>!5a=38>o65rb5:1>5<5290;w)=ne;066>N4mm1C?i74$2d6>=1<@89h7)?lb;06g>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c60=?6=:3:1j6;%1e1?>03A;8o6*>cc811f=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`76c<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a05c=8391=7=t$2cf>7343A9nh6F5;h`7>5<5<4290;w)=i5;1:<>oan3:1(>h::02a?>o41>0;6)=i5;c6?>i5=80;6)=i5;06g>N41010q~hi:181[`a349m=7hi;|qa0?6=:rTi863;4n8099<5r}c614?6=:3:1j6;%1e1?>03A;8o6*>cc811f=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`7<1<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a07343A9nh6F5;h`7>5<5<4290;w)=i5;1:<>oan3:1(>h::02a?>o41>0;6)=i5;c6?>i5=80;6)=i5;06g>N41010q~hi:181[`a349m=7hi;|qa0?6=:rTi863;4n8099<5r}c64e?6=:3:1j6;%1e1?>03A;8o6*>cc811f=#9o>18i64igd94?"4n<0:5<#;o?1>8m4;|`747<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a05?=8381<7>t$2cf>7353A9nh6F1C=>m4$0aa>73d3-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:9~f1?329096=4?{%1ba?42:2B8ii5G3e;8 6`221=0D<=l;%3`f?42k2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg2303:1>7>50z&0e`<5=;1C?hj4H2f:?!5a=32<7E?0c98k736290/?k;524a8?xd3>;0;6>4>:2y'7dc=:<90D>kk;I1g=>N6;j1/=nl524a8 4`32=n37dhi:188mg2=831d>8?50;9a7c7=8391<7>t$2d6>6??3`lm6=4+3g7955d<3`92;7>5$2d6>d3<3f8>=7>5$2d6>73d3A92565rsgd94?4|Vol01>h>:gd8yvd32909wSl;;<1e5?5>?2wx>8?50;0xZ736349m=7<:1:~f1d429086<4<{%1ba?42;2B8ii5G3e;8L45d3-;hn7<:c:&2b1<3l11bjk4?::ka0?6=3f8>=7>5;c1e5?6=;3:1=n;0=1<7*=h:<;1<7*=7>52z\114=:;o;1>8?4}|`70a<72;0;6=u+3`g9604<@:oo7E=k9:&0b05<#;o?1==l4;n065?6=,:l>6?;l;:a000=8381<7>t$2cf>7353A9nh6F1C=>m4$0aa>73d3-;m87:k8:keb?6=,:l>6<>m;:m114<72-9m97<:c:9~f14429096=4?{%1ba?42:2B8ii5G3e;8 6`221=0D<=l;%3`f?42k2.:j94;d99jbc<72-9m97??b:9l607=83.8j84=5b98yg4ak3:1>7>50z&0e`<5=;1C?hj4H2f:?!5a=32<7E?0c98k736290/?k;524a8?xd5n?0;6?4?:1y'7dc=:<80D>kk;I1g=>"4n<03;6F>3b9'5fd=:h::37`?>{e;;21<7<50;2x 6gb2;?97E=jd:J0`<=#;o?14:5G12a8 4ee2;?h7)?i4;6g<>oan3:1(>h::02a?>i5=80;6)=i5;06g>=zj:896=4=:183!5fm38>>6F6594H01`?!7dj38>o6*>f587`==nno0;6)=i5;33f>=h:<;1<7*52;294~"4il099?5G3df8L6b>3-9m9768;I30g>"6kk099n5+1g690a>5}#;ho1>8<4H2gg?M5c12.8j8477:J27f=#9jh1>8m4$0d7>1b?3`lm6=4+3g7955d<3f8>=7>5$2d6>73d32wi?<>50;094?6|,:kn6?;=;I1f`>N4l01/?k;5869K56e<,8ii6?;l;%3e0?2c02cmj7>5$2d6>46e32e99<4?:%1e1?42k21vn>>n:181>5<7s-9ji7<:2:J0aa=O;m30(>h::958L45d3-;hn7<:c:&2b1<3l11bjk4?:%1e1?77j21d>8?50;&0b0<5=j10qo=?9;296?6=8r.8mh4=539K7`b<@:n27)=i5;:4?M74k2.:oo4=5b9'5c2=:18'7c3=:7<729q/?lk52408L6cc3A9o56*N6;j1/=nl524a8 4`32=n37dhi:18'7c3=99h07b<:1;29 6`22;?h76sm2gf94?4=83:p(>oj:371?M5bl2B8h45+3g79<2=O9:i0(o46gif;29 6`228:i76a=5083>!5a=38>o65rb3d3>5<5290;w)=ne;066>N4mm1C?i74$2d6>=1<@89h7)?lb;06g>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c6`>5<6290;w)=i5;0ba>N4l01C==m4$0aa>46e3-;m:7<6d:k1e`<72-9m97t$2d6>7gb3A9o56F>0b9'5fd=99h0(h::3cf?>{ej6;I33g>"6kk0:51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5>=1b>lk50;&0b0<5il10qo;?:182>5<7s-9m9738=96g=ad83>!5a=38ji65rb4394?7=83:p(>h::3cf?M5c12B:6?oj;:a17<7280;6=u+3g796dc<@:n27E??c:&2gg<68k1/=k852758m7gb290/?k;52`g8?xd2;3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f7812==n:ho1<7*:183!5a=38ji6Fm;%3e2?4112c9mh4?:%1e1?4fm21vn8;50;394?6|,:l>6?oj;I1g=>N68j1/=nl511`8 4`12;4<729q/?k;52`g8L6b>3A;;o6*>cc824g=#9o<1>lo4i3cf>5<#;o?1>lk4;|`63?6=93:1l;%3`f?77j2.:j;4=6c9j6dc=83.8j84=ad98yg3?290:6=4?{%1e1?4fm2B8h45G11a8 4ee28:i7)?i6;05g>o5il0;6)=i5;0ba>=zj<31<7?50;2x 6`22;kn7E=k9:J24f=#9jh1==l4$0d5>70b3`8ji7>5$2d6>7gb32wi9o4?:083>5}#;o?1>lk4H2f:?M77k2.:oo4>0c9'5c0=:>;0e?oj:18'7c3=:ho07pl:c;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;33f>"6n?09mo5f2`g94?"4n<09mh54}c7g>5<6290;w)=i5;0ba>N4l01C==m4$0aa>46e3-;m:7t$2d6>7gb3A9o56F>0b9'5fd=99h0(h::3cf?>{e=o0;6<4?:1y'7c3=:ho0D>j6;I33g>"6kk0:51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5??1b>lk50;&0b0<5il10qo8>:182>5<7s-9m9738!5a=38ji65rb7094?7=83:p(>h::3cf?M5c12B:6?oj;:a26<7280;6=u+3g796dc<@:n27E??c:&2gg<68k1/=k852868m7gb290/?k;52`g8?xd?j3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f7812c=n:ho1<7*:183!5a=38ji6F6?oj;I1g=>N68j1/=nl524a8 4`12;2j7b4<729q/?k;52`g8L6b>3A;;o6*>cc824g=#9o<1>:>4i3cf>5<#;o?1>lk4;|`a0?6=93:1l;%3`f?77j2.:j;4=739j6dc=83.8j84=ad98yg`5290:6=4?{%1e1?4fm2B8h45G11a8 4ee28:i7)?i6;047>o5il0;6)=i5;0ba>=zj;h?6=4>:183!5a=38ji6F2e9mh4?:%1e1?4fm21vn?l::182>5<7s-9m9738346a=ad83>!5a=38ji65rb3`4>5<6290;w)=i5;0ba>N4l01C==m4$0aa>73d3-;m:7<7f:m1e`<72-9m97i5il0;6)=i5;0ba>=zj;h26=4>:183!5a=38ji6F5<7s-9m97383<6a=ad83>!5a=38ji65rb3`e>5<6290;w)=i5;0ba>N4l01C==m4$0aa>73d3-;m:7<8f:m1e`<72-9m97i5il0;6)=i5;0ba>=zj;i:6=4>:183!5a=38ji6F5<7s-9m9738396a=ad83>!5a=38ji65rb3a0>5<6290;w)=i5;0ba>N4l01C==m4$0aa>73d3-;m:7<77:m1e`<72-9m97i5il0;6)=i5;0ba>=zj=::6=4>:183!5a=38ji6F8:182>5<7s-9m9738j<6a=ad83>!5a=38ji65rb52a>5<6290;w)=i5;0ba>N4l01C==m4$0aa>46e3-;m:7<88:k1e`<72-9m97i5il0;6)=i5;0ba>N41010qo:>e;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?09m>5`2`g94?"4n<09mh54}c616?6=93:1l;%3`f?42k2.:j;4=949l6dc=83.8j84=ad98yg25i3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f781=<=n:ho1<7*9i7>51;294~"4n<09mh5G3e;8L46d3-;hn7<:c:&2b3<51?1d>lk50;&0b0<5il10qo:<8;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?09;n5`2`g94?"4n<09mh54}c60`?6=93:1l;%3`f?42k2.:j;4=759l6dc=83.8j84=ad98yg24n3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f781=g=n:ho1<7*?;7>51;294~"4n<09mh5G3e;8L46d3-;hn7<:c:&2b3<5i;1d>lk50;&0b0<5il10qo:;b;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?09m;5`2`g94?"4n<09mh54}c660?6=93:1l;%3`f?42k2.:j;4=a59l6dc=83.8j84=ad98yg22j3:1=7>50z&0b0<5il1C?i74H02`?!7dj3;;n6*>f781e==n:ho1<7*>j7>51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5?>1b>lk50;&0b0<5il10qo:91;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?095n5`2`g94?"4n<09mh5G38;8?xd3?>0;6<4?:1y'7c3=:ho0D>j6;I33g>"6kk099n5+1g4962b5}#;o?1>lk4H2f:?M77k2.:oo4=5b9'5c0=:0;0c?oj:18'7c3=:ho07pl;8283>4<729q/?k;52`g8L6b>3A;;o6*>cc811f=#9o<1>4<4o3cf>5<#;o?1>lk4;|`7<0<7280;6=u+3g796dc<@:n27E??c:&2gg<5=j1/=k852828k7gb290/?k;52`g8?xd30m0;6<4?:1y'7c3=:ho0D>j6;I33g>"6n?09m:5$2`g94?"4n<09mh54}c6:6?6=93:1l;%3`f?42k2.:j;4=7c9l6dc=83.8j84=ad98yg2>=3:1=7>50z&0b0<5il1C?i74H02`?!7dj38>o6*>f7813d=h:ho1<7*257>51;294~"4n<09mh5G3e;8L46d3-;hn7??b:&2b3<5?01b>lk50;&0b0<5il10qo:6b;295?6=8r.8j84=ad9K7a?<@8:h7)?lb;06g>"6n?095h5`2`g94?"4n<09mh5G38;8?xd3j;0;6<4?:1y'7c3=:ho0D>j6;I33g>"6kk099n5+1g496<>h::3cf?M5c12B:6?oj;:a0f?=83;1<7>t$2d6>7gb3A9o56F>0b9'5fd=99h0(h::3cf?>{e7123`8ji7>5$2d6>7gb32wi8?m50;394?6|,:l>6?oj;I1g=>N68j1/?i9538c8 4ee28:i7)?i6;0:3>o5il0;6)=i5;0ba>=zj=8o6=4=:183!5a=32<7)=ne;33e>"6kk0:7n;I1g=>N6;j1/=k:54e:8mc`=83.8j84>0c98k736290/?k;524a8?xd40h0;6<4?:1y'7c3=:ho0D>j6;I33g>"4l>085l5+1b`955d<,8l=6?7<;h0ba?6=,:l>6?oj;:a7=d=8381<7>t$2d6>=1<,:kn6<>n;%3`f?77j2.8h:4<9`9'77c=;0k0D>j6;I30g>"6n=0?h55ffg83>!5a=3;;n65`24394?"4n<099n54}c1;3?6=93:1l;%1g3?5>i2.:oo4>0c9'5c0=:1n0e?oj:18'7c3=:ho07pl<8983>7<729q/?k;5869'7dc=99k0(5$2d6>46e32e99<4?:%1e1?42k21vn>6::182>5<7s-9m97j8:2;b?!7dj3;;n6*>f781<`=n:ho1<7*52;294~"4n<03;6*6?f3-99i7=6a:J0`<=O9:i0(h::37`?>{t:o0;6?u23gd96`=:<9>1jk5rs2294?4|5=:;6?k4=526>c`;47hi;|q7g?6=;rT?o6394;de?82d2;kn7p};d;297~X3l27=97hi;<6g>7gb3ty?i7>53z\7a>;1>3lm70:j:3cf?xu3n3:1?vP;f:?53?`a34>m6?oj;|q64?6=;rT><6398;de?8372;kn7p}:1;297~X2927=57hi;<72>7gb3ty>>7>53z\66>;1i3lm70;=:3cf?xu2;3:1?vP:3:?5f?`a34?86?oj;|q60?6=;rT>8639c;de?8332;kn7p}:5;297~X2=27=h7hi;<76>7gb3ty>:7>53z\62>;1m3lm70;9:3cf?xu2?3:1?vP:7:?5b?`a34?<6?oj;|q646380;de?83?2;kn7p}:9;297~X2127<=7hi;<7:>7gb3ty>n7>53z\6f>;0:3lm70;m:3cf?xu2k3:1?vP:c:?47?`a34?h6?oj;|q6`?6=;rT>h6384;de?83c2;kn7p}:e;297~X2m27<97hi;<7f>7gb3ty>j7>53z\6b>;0>3lm70;i:3cf?xu183:1?vP90:?43?`a34<;6?oj;|q55?6=;rT==6388;de?8062;kn7p}92;297~X1:27<57hi;<41>7gb3ty=?7>53z\57>;0i3lm708<:3cf?xu1<3:1?v3;3l<0:96394;065>{t><0;6>u23gf953=:41<5=n>6<94=749607o97?7;<44>7363ty=47>53z?0ba<6127?h84>9:?57cb=9h168i;51`9>2<<5=81v;o50;1x96`c28h019j::0`893g=:<;0q~8m:18085al3;h70:k5;3`?80e2;?:7p}9c;297~;4nm0:h63;d482`>;1k38>=6s|6e83>6}:;on1=h524e795`=:>m099<5rs7g94?4|5=n>6o977363ty<<7>53z?0ba<5:27?h84=2:?44?4292wx;<4?:3y>7cb=::16;<4=509~w24=838p1>hk:378924=:<;0q~9<:18182c=38=709<:372?xu0<3:1>v3;d4813>;0<38>=6s|7483>7}:552748114=z{><1<77?<5><1>8?4}r54>5<5s4>o977363ty<47>52z?7`0<5j27<47<:1:p3<<72:q6?kj52b9>0a3=:j16;44=509~w2g=839p1>hk:3f891b22;n01:o52438yv1e290f;de?827m3h?70:6c;`7?821:3h?70:m3;`7?xu?;3:18v3;3l<03?63;018;7>;4nl03?6s|8c83>6}Y0k164n4if:?;f?4fm2wx4n4?:3y>7c`=0k164n4=509~w=b=839pR5j4=9g9607<51n1>lk4}r:f>5<4s49ni7hk;<6;a?`a342n6kh4}r:e>5<4sW2m707?:372?8>a2;kn7p}60;296~;4mo09:<52918eb>{t180;6>uQ909>=7=7<5=81vo:50;1xZg2<5li1jk52b581e`=z{li1<7=t=2de>g2<5:lh6o:4=da96070983>7}:;on1==64=2df>46?3ty:>?4?:3y>056=9;801>hi:001?xu6;?0;6?u24129560<5:lm6<=9;|q27a<72;q6?kj512f89167289o7p}>4e83>7}:<9:1=894=2de>42c3ty:944?:3y>056=9<301>hi:04g?xu6>l0;6?u2412953c<5:ln6<8j;|q230<72:q6?kj51678916728=>70=ie;341>{t9><1<7411349mi7?86:p52d=838p19>?:05a?85an3;{t:=o1<7=t=5:f>736349mh7:7d:?0bc<30m1v?l;:180[4e<279j=4=509>6g2=:ho0q~k85243897d22;kn7p}=b683>6}Y:k=01?hl:372?84e?38ji6s|2c:94?5|V;h370;5j109mh5rs3`:>5<4sW8i563<028114=::k31>lk4}r0ae?6=;rT9nl5231;9607<5;hj6?oj;|q1fc<72:qU>oh4=22b>736348ij7n?52`g8yv4d:3:1?vP=c39>74e=:<;01?m=:3cf?xu5k:0;6>uQ2b1896452;?:70{t:j>1<7=t^3a7?855038>=63=c581e`=z{;i=6=4={<1e`?4d>27?h84=c79~w7e02909w0=id;0`3>;4nl09o;5rs3fa>5<5s4>o97{t:o<1<77d2348m:7hi;|q1bf<72;q68i;52c5897`d2ol0q~4if:p75?=838p19j::3`b?85713lm7p}<0`83>7}:oh4=22b>c`52z?7`0<5k916?<>5fg9~w6712909w0:k5;0`5>;49?0mj6s|30a94?4|5=n>6?m=;<12g?`a3ty8>?4?:3y>0a3=:j901><=:gd8yv5503:1>v3;d481g1=:;;21jk5rs20e>5<4s49mh7==f:?7`0<4:o168=>533d8yv54<3:1>v37ce=;>20q~=71;296~;3l<084?523ga97=7;=7>53z\744=:<981>8?4=522>7gb3ty?050=:<;019>=:gd8yv27;3:1>v3;058114=:<9<1==>4}r630?6=:r7?<84=509>050=99;0q~:?7;297~X38>168=75243891602;kn7p};0883>7}:<921>8?4=52:>c`;n7>53z\74g=:<9i1jk5241`96dc;o7>54z?7`0<38h168=>541c896`b2=:j70:?c;065>{t<9n1<7=t^52g?827m38>=63;0e81e`=z{=:m6=4={<1eb?26827?f;065>;3:90mj6s|43094?5|V=8970:=3;065>;3:;09mh5rs500>5<5s4>;<7:=2:?7669n7hi;<61e?4fm2wx8?l50;0x96`a2=8:70:=b;065>{t<;o1<7=t^50f?825n38>=63;2d81e`=z{=8m6=4={<1eg?25m27?>k4if:p06>=839pR9=7;<60=?42927??54=ad9~w15>2909w0:k5;60<>;3;00mj6s|42f94?5|V=9o70:;3;m09mh5rs51f>5<5s49mi7:h50;1xZ15a34>?<7hi;<60b?4fm2wx89>50;0x96`a2=9h70:;0;065>{t<==1<7=t^564?823038>=63;4681e`=z{=>36=4={<1eb?23?27?854if:p01d=839pR9:m;<67`?42927?8o4=ad9~w12c2909w0:?0;67f>;3;3==09mh5rs575>5<5s49mj7::4:?713>o7hi;<66f?4fm2wx88m50;0x96`d2=?i70::c;065>{t<{t13b34>;<7::e:?725<5=81v98>:180[21927?:?4=509>037=:ho0q~:93;296~;4no0?9h524709bc=z{==<6=4<{_643>;3?h099<5246596dc52z?7`0<3?>168:o5fg9~w1>62908wS:71:?7<7<5=81685?52`g8yv2?:3:1>v3;d48735=:<181jk5rs5:0>5<4sW>3?63;858114=:<191>lk4}r6;0?6=:r7?h84;a`9>0=2=no1v96::180[2?=27?4n4=509>0=3=:ho0q~:7c;296~;3l<0?485249a9bc=z{=2o6=4<{_6;`>;30l0:><5249f96dc3j7>52z?7=5<5=81685k5b59~w1?62909w0=ic;6:5>;3190mj6s|48094?5|V=3970:64;065>;31;09mh5rs5;7>5<5s4>o97:62:?7=12;7<:1:?7=0<5il1v978:18182c=3>2963;968eb>{t<031<7=t^5;:?82>i3lm70:69;0ba>{t<0k1<7=t=5f6>1??34>;<7:68:?7=d<5=81v97m:180[2>j27?5n4=509>0;3j:099<524c096dci87>52z?0bc<3j8168o=5fg9~w1e02908wS:l7:?7g=<5=8168n952`g8yv2d03:1>v35<4sW>h563;c`8eb>;3k009mh5rs5ab>5<5s49mj7:l6:?7gd<5=81v9j=:180[2c:27?h>4if:?7`7<5il1v9j<:18785al3>o=63;d487`4=:<9:18i?4=5f0>7363ty?>n4?:2y]07e<5=8h6?oj;<61`?`a3ty84l4?:2y]7=g<5:2j6?oj;<1;f?`a3ty84:4?:2y]7=1<5:2<6?oj;<1;6?oj;<1;2?`a3ty?>i4?:0y>07b=:<;0q~=7b;295~;40k099<5rs2:;>5<6s49347<:1:p7=0=83;p1>69:372?x{i912m6=4;{I1g=>{i913;6=4;{I1g=>{i913:6=4<{I1g=>{i91396=4>{I1g=>O5il0:w<4rZg`95~7a>3wvb<663;295~N4l01B>lk51z39y_`e28q:j;4r}o3;=1<728qC?i74I3cf>4}62tPmn7?t1g49yxh600?1<7?tH2f:?L4fm3;p=7sUfc824`12twe=579:182M5c12C9mh4>{08~^cd=9r;m:7srn0::3?6=9rB8h45F2`g95~7=uSli6f78~yk7?110;63@8ji7?t1;Ybg<6s8l=6psa19;b>5<6sA9o56G=ad824>j3:1=vF:|Xef?7|9o<1qp`>88f94?7|@:n27D51zJ0`<=N:ho1=v?5}[da>4}6n?0vqc?7a183>4}O;m30E?oj:0y2>x\aj3;p=k85}|l2j6;H0ba?7|93wQjo4>{0d5>x{i91k96=4>{I1g=>O5il0:w<4rZg`95~7a>3wvb<6n3;295~N4l01B>lk51z39y_`e28q:j;4r}o3;e1<728qC?i74I3cf>4}62tPmn7?t1g49yxh60h?1<7?tH2f:?L4fm3;p=7sUfc824`12twe=5o9:182M5c12C9mh4>{08~^cd=9r;m:7srn0:b3?6=9rB8h45F2`g95~7=uSli6f78~yk7?i10;63td:4lo50;0xL6b>3td:4ll50;0xL6b>3td:4lm50;1xL6b>3td:4lj50;1xL6b>3td:4lk50;0xL6b>3td:4lh50;1xL6b>3td:4o>50;1xL6b>3td:4o?50;1xL6b>3td:4o<50;1xL6b>3td:4o=50;0xL6b>3td:4o:50;1xL6b>3td:4o;50;1xL6b>3td:4o850;0xL6b>3td:4o950;0xL6b>3td:4o650;0xL6b>3td:4o750;0xL6b>3td:4oo50;0xL6b>3td:4ol50;0xL6b>3td:4om50;0xL6b>3td:4oj50;0xL6b>3td:4ok50;0xL6b>3td:4oh50;0xL6b>3td:4n>50;;xL6b>3td:4n?50;`xL6b>3td:4n<50;3xL6b>3@8ji7?t1;Ybg<6s8l=6psa19a0>5<6mrB8h45rn0:`0?6=9rB8h45F2`g95~4=uSli6f78~yk7?k<0;6>uG3e;8yk7?k?0;63td:4n650;3xL6b>3@8ji7?t1;Ybg<6s8l=6psa19a:>5<2sA9o56sa19ab>5<6sA9o56G=ad824dj3:1;vFdk3:1=vF3td:4nk50;1xL6b>3td:4nh50;5xL6b>3td:4i>50;7xL6b>3td:4i?50;1xL6b>3td:4i<50;5xL6b>3td:4i=50;7xL6b>3td:4i:50;6xL6b>3td:4i;50;6xL6b>3td:4i850;6xL6b>3td:4i950;5xL6b>3td:4i650;7xL6b>3td:4i750;5xL6b>3td:4io50;7xL6b>3td:4il50;3xL6b>3@8ji7?t2;Ybg<6s8l=6psa19f`>5<6sA9o56G=ad827cl3:1=vF8ed94?7|@:n27D51zJ0`<=N:ho1=v<5}[da>4}6n?0vqc?7e383>4}O;m30E?oj:0y1>x\aj3;p=k85}|l2<`5=83;pD>j6;H0ba?7|:3wQjo4>{0d5>x{i91o?6=4>{I1g=>O5il0:w?4rZg`95~7a>3wvb<6j5;295~N4l01B>lk51z09y_`e28q:j;4r}o3;a3<728qC?i74I3cf>4}52tPmn7?t1g49yxh60l=1<7=tH2f:?xh60l21<7=tH2f:?xh60l31<7;tH2f:?xh60lk1<71<77sUfc824`12twe=5hm:182M5c12we=5hl:182M5c12we=5hk:182M5c12we=5hj:182M5c12C9mh4>{38~^cd=9r;m:7srn0:eb?6=9rB8h45rn0;34?6=9rB8h45F2`g95~7=uSli6f78~yk7>880;6;uG3e;8yk7>8;0;6?uG3e;8M7gb28q86pTib;3x5c0=utd:5==50;;xL6b>3td:5=:50;3xL6b>3@8ji7?t2;Ybg<6s8l=6psa1826>5<6sA9o56sa1825>5<6sA9o56G=ad82791`94?2|@:n27p`>91a94?7|@:n27D54zJ0`<=zf83:<7>51zJ0`<=N:ho1=v?5}[da>4}6n?0vqc?61083>7}O;m30qc?61383>4}O;m30E?oj:0y1>x\aj3;p=k85}|l2=45=83>pD>j6;|l2=42=83;pD>j6;H0ba?7|:3wQjo4>{0d5>x{i90;>6=4={I1g=>{i90;=6=4>{I1g=>O5il0:w?4rZg`95~7a>3wvb<7>7;296~N4l01vb<7>8;295~N4l01B>lk51z39y_`e28q:j;4r}o3:5<<72=qC?i74}o3:5d<728qC?i74I3cf>4}62tPmn7?t1g49yxh618h1<7=tH2f:?xh618i1<7{38~^cd=9r;m:7srn0;2b?6=:rB8h45rn0;14?6=9rB8h45F2`g95~4=uSli6f78~yk7>:80;6?uG3e;8yk7>:;0;63td:5?:50;3xL6b>3@8ji7?t2;Ybg<6s8l=6psa1806>5<5sA9o56sa1805>5<4sA9o56G=ad806<62:0vVkl51z3e2?{zf839;7>53zJ0`<=zf83947>54zJ0`<=zf83957>51zJ0`<=N:ho1=v<5}[da>4}6n?0vqc?62`83>7}O;m30qc?62c83>4}O;m30E?oj:0y1>x\aj3;p=k85}|l2=7e=838pD>j6;|l2=7b=83;pD>j6;H0ba?7|93wQjo4>{0d5>x{i908n6=4<{I1g=>{i908m6=4={I1g=>O5il0:w>4rZg`95~7a>3wvb<7<0;291~N4l01vb<7<1;296~N4l01B>lk51z19y_`e28q:j;4r}o3:77<72=qC?i74}o3:76<728qC?i74I3cf>4}52tPmn7?t1g49yxh61:>1<7:tH2f:?xh61:?1<7?tH2f:?L4fm3;p=7sUfc824`12twe=4=9:181M5c12we=4=8:182M5c12C9mh4>{08~^cd=9r;m:7srn0;05<6sA9o56sa16394?7|@:n27p`>7383>4}O;m30qc?83;295~N4l01vb<9;:182M5c12we=:;50;3xL6b>3td:;;4?:0yK7a?51zJ0`<=zf8=36=4>{I1g=>{i9>31<7?tH2f:?xh6?h0;6j6;|l23c<72:qC?i74}o3;4?6=;rB8h45rn0:0>5<6sA9o56sa19694?7|@:n27p`>8783>4}O;m30qc?77;295~N4l01vb<67:182M5c12we=5750;3xL6b>3td:4o4?:0yK7a?51zJ0`<=zf82o6=4>{I1g=>{i91o1<7?tH2f:?xh60o0;683:1>vFj6;|l2=6<728qC?i74}o3:0?6=9rB8h45rn0;6>5<6sA9o56sa18494?7|@:n27p`>9683>4}O;m30qc?68;295~N4l01vb<76:182M5c12we=4o50;0xL6b>3td:5o4?:3yK7a?51zJ0`<=zf83o6=4>{I1g=>{i90o1<7?tH2f:?xh2m10;6>uG3e;8yk3b13:1?vFj6;|l6af<728qC?i74}o7f`?6=9rB8h45rn4gf>5<6sA9o56sa5dd94?7|@:n27p`:f183>4}O;m30qc;i1;295~N4l01vb8h<:187M5c12we9k:50;0xL6b>3td>j84?:3yK7a?51zJ0`<=zf{I1g=>{i=on1<7?tH2f:?xh2nl0;63:1>vF=838pD>j6;|l54<<728qC?i74}o43e?6=9rB8h45rn72a>5<6sA9o56sa61a94?7|@:n27p`90e83>4}O;m30qc8?e;295~N4l01vb;>i:182M5c12we:<>50;3xL6b>3td==<4?:0yK7a?53zJ0`<=zf?;?6=4>{I1g=>{i>8?1<7?tH2f:?xh19?0;6j6;|l55d<72:qC?i74}o42f?6=9rB8h45rn73`>5<4sA9o56sa60f94?3|@:n27p`91d83>6}O;m30qc8>f;297~N4l01vb;3td=>?4?:0yK7a?51zJ0`<=zf?8?6=4>{I1g=>{i>;?1<7?tH2f:?xh1:?0;6j6;|l56d<728qC?i74}o41f?6=9rB8h45rn70`>5<6sA9o56sa63f94?7|@:n27p`92d83>4}O;m30qc8=f;295~N4l01vb;=?:182M5c12we:>?50;3xL6b>3td=??4?:0yK7a?51zJ0`<=zf?9?6=4>{I1g=>{i>:?1<7?tH2f:?xh1;?0;6j6;|l57d<728qC?i74}o40f?6=9rB8h45rn71`>5<6sA9o56sa62f94?7|@:n27p`93d83>4}O;m30qc8:180M5c12we:9<50;7xL6b>3td=8>4?:4yK7a?53zJ0`<=zf?>>6=4<{I1g=>{i>=<1<7?tH2f:?xh1<>0;62908wE=k9:m21g=83?pD>j6;|l50g<72:qC?i74}o47g?6=9rB8h45rn76g>5<6sA9o56sa65g94?7|@:n27p`94g83>4}O;m30qc8:0;295~N4l01vb;;>:182M5c12we:8<50;5xL6b>3td=9>4?:2yK7a?87>53zJ0`<=zf??>6=4<{I1g=>{i><<1<7?tH2f:?xh1=>0;62909wE=k9:m20g=838pD>j6;|l51g<72;qC?i74}o46g?6=9rB8h45rn77g>5<6sA9o56sa64g94?7|@:n27p`95g83>4}O;m30qc890;295~N4l01vb;8>:182M5c12we:;<50;3xL6b>3td=:>4?:0yK7a?51zJ0`<=zf?<>6=4>{I1g=>{i>?<1<7?tH2f:?xh1>>0;6290:wE=k9:m23g=83;pD>j6;|l52g<728qC?i74}o444?6=;rB8h45rn750>5<6sA9o56sa66694?7|@:n27p`97483>4}O;m30qc886;295~N4l01vb;97:182M5c12we::o50;3xL6b>3td=;o4?:0yK7a?51zJ0`<=zf?=o6=4>{I1g=>{i>>o1<7?tH2f:?xh10:0;62290:wE=k9:m2=0=83;pD>j6;|lb7`<72;qC?i74}oc75?6=;rB8h45rn`61>5<4sA9o56saa5694?5|@:n27p`n4983>6}O;m30qco;a;297~N4l01vbl:m:182M5c12wem9m50;3xL6b>3tdj8i4?:0yK7a?51zJ0`<=zfh>m6=4>{I1g=>{ii<:1<7?tH2f:?xhf=80;6j6;|lb10<728qC?i74}oc62?6=9rB8h45rn`74>5<6sA9o56saa4:94?7|@:n27p`n5883>7}O;m30qco:a;296~N4l01vbl;l:181M5c12wem8j50;3xL6b>3tdj9h4?:0yK7a?j7>51zJ0`<=zfh<;6=4>{I1g=>{ii?91<7=0;6=83;pD>j6;|lb2<<728qC?i74}oc5e?6=;rB8h45rn`4a>5<6sA9o56saa7a94?7|@:n27p`n6e83>4}O;m30qco9e;295~N4l01vbl8i:182M5c12wem:>50;3xL6b>3tdj;<4?:0yK7a?7>51zJ0`<=zfh=86=4>{I1g=>{ii>>1<7?tH2f:?xhf?<0;63:1=vF=838pD>j6;|lb3<<728qC?i74}oc4e?6=9rB8h45rn`5a>5<6sA9o56saa6a94?7|@:n27p`n7e83>4}O;m30qco8e;295~N4l01vbl6?:180M5c12wem5?50;3xL6b>3tdj4?4?:0yK7a?51zJ0`<=zfh2?6=4>{I1g=>{ii1?1<7?tH2f:?xhf0?0;6?290:wE=k9:me=?=83;pD>j6;|lb5<6sA9o56saa9f94?7|@:n27p`n8d83>4}O;m30qco7f;296~N4l01vbl7?:181M5c12wem4<50;1xL6b>3tdj5>4?:0yK7a?51zJ0`<=zfh3>6=4>{I1g=>{ii0<1<7?tH2f:?xhf1>0;603:1=vF290:wE=k9:mej6;|lb=g<728qC?i74}oc:g?6=9rB8h45rn`;g>5<6sA9o56saa8g94?7|@:n27p`n9g83>4}O;m30qcon0;295~N4l01vblo>:185M5c12weml<50;6xL6b>3tdjm>4?:8yK7a?52zJ0`<=zfhk=6=4={I1g=>{iih=1<7?tH2f:?xhfi10;6j6;|lbef<728qC?i74}ocb`?6=9rB8h45rn`cf>5<4sA9o56saa`d94?5|@:n27p`nb183>4}O;m30qcom1;291~N4l01vbll=:180M5c12wemo=50;3xL6b>3tdjn94?:0yK7a?51zJ0`<=zfhh=6=4>{I1g=>{iik=1<7?tH2f:?xhfj10;6j6;|lbff<728qC?i74}ocab?6=:rB8h45rn`a3>5<5sA9o56saab394?7|@:n27p`nc383>4}O;m30qcol4;295~N4l01vblm::182M5c12wemn850;3xL6b>3tdjo:4?:0yK7a?51zJ0`<=zfk=n6=4<{I1g=>{ij>l1<7=tH2f:?xhe090;6>uG3e;8ykd?:3:1=vFe290:wE=k9:mf=e=83;pD>j6;|la5<6sA9o56sab8294?7|@:n27p`m9083>4}O;m30qcl62;295~N4l01vbo7::182M5c12wen4850;3xL6b>3tdi5:4?:0yK7a?53zJ0`<=zfk3j6=4>{I1g=>{ij0h1<7?tH2f:?xhe1j0;6l3:1?vFuG3e;8yk76?<0;60;6uG3e;8yk76?j0;6uG3e;8yk76?o0;6uG3e;8yk760;0;60;6uG3e;8yk761<0;6>uG3e;8yk761?0;60;60;6>uG3e;8yk76i00;6>uG3e;8yk76ih0;6uG3e;8yk76j=0;60;6>uG3e;8yk76j10;6uG3e;8yk76jj0;6uG3e;8yk76k?0;68uG3e;8yk76k>0;6>uG3e;8yk76k10;6uG3e;8yk76kk0;6>uG3e;8yk76kj0;6uG3e;8yk76l;0;68uG3e;8yk76l:0;68uG3e;8yk76l=0;6>uG3e;8yk76l<0;60;6uG3e;8yk76lh0;6>uG3e;8yk76lk0;6uG3e;8yk76m;0;6?uG3e;8yk76m:0;6>uG3e;8yk76m=0;6>uG3e;8yk76m?0;64uG3e;8yk76m>0;6>uG3e;8yk76m10;6uG3e;8yk76n?0;60;6uG3e;8yk758?0;60;6uG3e;8yk75980;6?uG3e;8yk759;0;6?uG3e;8yk759:0;60;6:0;6>uG3e;8yk71>=0;6<0;6?0;6>0;610;6k0;6:uG3e;8yk71>j0;6m0;68uG3e;8yk71>l0;6>uG3e;8yk71>o0;6uG3e;8yk71?80;6>uG3e;8yk71?;0;6uG3e;8yk71?=0;6>uG3e;8yk71?<0;60;6uG3e;8yk71?k0;6?uG3e;8yk71?j0;6?uG3e;8yk71?m0;6uG3e;8yk710;0;6>uG3e;8yk710:0;6>uG3e;8yk710=0;6>uG3e;8yk710<0;6>uG3e;8yk710?0;6>uG3e;8yk710>0;6>uG3e;8yk71010;6>uG3e;8yk71000;6>uG3e;8yk710h0;6>uG3e;8yk710k0;6>uG3e;8yk710j0;6>uG3e;8yk710m0;6>uG3e;8yk710l0;6?uG3e;8yk710o0;6?uG3e;8yk71190;6?uG3e;8yk71180;6?uG3e;8yk711;0;6?uG3e;8yk711:0;6?uG3e;8yk711=0;6?uG3e;8yk711<0;6?uG3e;8yk711?0;6?uG3e;8yk711>0;6?uG3e;8yk71110;6?uG3e;8yk71100;6?uG3e;8yk711h0;6>uG3e;8yk711k0;6>uG3e;8yk711j0;6>uG3e;8yk711m0;6>uG3e;8yk711l0;6>uG3e;8yk711o0;6>uG3e;8yk71i90;6>uG3e;8yk71i80;6>uG3e;8yk71i;0;6>uG3e;8yk71i:0;6>uG3e;8yk71i=0;6>uG3e;8yk71i<0;6>uG3e;8yk71i?0;6<;tH2f:?xh6>h21<7h31<7?tH2f:?xh6>hk1<7?tH2f:?xh6>hh1<7?tH2f:?xh6>hi1<7?tH2f:?xh6>hn1<7ho1<7hl1<7?tH2f:?xh6>k:1<7?tH2f:?xh6>k;1<7?tH2f:?xh6>k81<7?tH2f:?xh6>k91<7=tH2f:?xh6>k>1<7k?1<7?tH2f:?xh6>k<1<7?tH2f:?xh6>k=1<7=tH2f:?xh6>k21<7k31<7?tH2f:?xh6>kk1<7=tH2f:?xh6>kh1<7ki1<7?tH2f:?xh6>ko1<7kl1<7?tH2f:?xh6>j:1<7j;1<7j81<7j91<7j>1<7j?1<7htH2f:?xh6>j=1<7=tH2f:?xh6>j21<7?tH2f:?xh6>j31<7?tH2f:?xh6>jk1<7?tH2f:?xh6>jh1<7?tH2f:?xh6>ji1<7?tH2f:?xh6>jn1<7?tH2f:?xh6>jl1<7=tH2f:?xh>?3:1?vsa9083>4}zfhi1<7?t}o3ff?6=9rwe>=>50;3xyk46=3:1=vsa22694?5|ug89i7>51zm605=839pqc<;d;295~{i:>81<7=t}o05g?6=9rwe>4?50;1xyk4?j3:1=vsa2c294?5|ug8jm7>51zm6f`=839pqc51zm71d=839pqc=;5;295~{i;?k1<7=t}o150?6=9rwe?5750;1xyk5?;3:1=vsa3`:94?5|ug9j>7>51zm7f1=839pqc=l1;295~{i;l<1<7=t}o1f4?6=9rwe:o;50;3xyk0di3:1=vsa6ed94?7|ug53zm2c>=83;pqc9>d;297~{i?8=1<7?t}o506?6=9rwe;9950;3xyk12k3:1=vsa76394?7|ug=3:7>51zm3d3=839pqc96f;295~{i?j>1<7=t}o5aa?6=9rwe;h=50;1xyk1cl3:1=vsa81094?5|ug=mo7>51zm<77=839pqc6>b;295~{i0=:1<7=t}o:0e?6=9rwe48h50;1xyk>213:1=vsa86g94?5|ug2<47>51zm<51zmffd=83;pqclja;297~{ijl>1<7?t}oa3=?6=;rweo==50;3xyke503:1?vsac3094?7|ugi?;7>53zmg17=83;pqcm96;297~{ik?:1<7?t}oa;1?6=;rweo:h50;3xykef<3:1?vsac8g94?7|ugih?7>53zmggb=83;pqcmj2;297~{ikmi1<7?t}of35?6=;rweokl50;3xykb583:1?vsad0c94?7|ugn8j7>53zm`6?=83;pqcj:e;297~{il<21<7?t}of4`?6=;rweh:950;3xykb>k3:1?vsad8494?7|ugnin7>53zm`g3=83;pqcjkc;296~{ilm?1<7?t}ofe`?6=:rwehk850;3xykc6k3:1?vsae0494?7|ugo8n7>53zma63=83;pqck:a;297~{im<>1<7?t}og4=?6=;rwei:=50;3xykc>03:1?vsae8094?7|ugoi;7>53zmag7=83;pqckk6;297~{imm:1<7?t}oge1?6=;rweihh50;3xyk75;o0;6o1<7?t}o31=6<728qvb<{|l26a4=83;pqc?=e683>4}zf88mo7>51zm5676290:wp`>33494?7|ug;8?o4?:0y~j45283:1=vsa1246>5<6std:?:o50;3xyk740o0;6l6:182xh6;jo1<7?t}o30aa<72:qvb<=j7;295~{i9=:h6=4<{|l2050=83;pqc?;2c83>6}zf8>997>51zm512f2908wp`>45694?7|ug;?:44?:2y~j421;3:1=vsa15:;>5<4std:85<50;3xyk73i>0;6>urn06b5?6=9rwe=9m9:180xh6{0d5>xN5=91vb<7{i909i6=4>{[da>4}6n?0vD?;?;|l2=6e=83;pVkl51z3e2?{O:<:0qc?63e83>4}zf838i7>51zm5<5a290:wp`>95294?7|utwvLMMt1bg6>1g>?l9mqMNM{1CDU}zHI \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE.ngr b/cpld/XC95144XL/WarpSE.ngr index ff63443..92426a5 100644 --- a/cpld/XC95144XL/WarpSE.ngr +++ b/cpld/XC95144XL/WarpSE.ngr @@ -1,3 +1,3 @@ XILINX-XDB 0.1 STUB 0.1 ASCII XILINX-XDM V1.6e -$2da==)&&IEX#x8;/,,GV(q02$%#K]M.w;8*+)NGKG%z45!..KLFV(q02$%#_OB.w`8*+)Pi{zXI#x>;1;84Zhh|9:;<<640^llp5678Vcf|R>Pnnv3456692;97<>=;031?446:2;96B[[PTV9VD:693:5n6?=:SC?54<76h1:?7J31383:`=6;3NjxlO31383:46<9:0BB][[:E>26?699=1:?7GAPTV9@drfI5;96=0i;019HQojm{6:>7>110927?IR\Y__6\2>2;2=53=6;3E^X][[:Sgpqir;9;0;2l5>3;S?57<76o1:?7\jstnw844=87;:7<=5_`fg45674881<3o4178B875=07;;7<85IORVP?G;::032<5=11915?OIX\^1M1<>:0442@D[YY4N_GQA875=9720>7J31;2==>4=M{6:6=0j;38JJUSS2M6:6=0j;38JKAIIM{6:6=0i;38LQQVR\3[7=7>18:09U97=87;0?k5<:HLSQQA:4294h794KaucB86<7601?6H]33;2=a>2=AGZ^X7J33;2=57=32@D[YY4KaucB86<76o1?6B[[PTV9U95=87;?794@UURVP?Tb{|f0>4?>997>T:4294o794]erwop95=87i087Zfmdp?7?69n2>1Sljk012386<7681>=68>;638<4=>=2K7==0:;@>25;3G;9=4>7L2>5?78E9716<1J0<915:C?5=823H6:53:4A=3=1>G;:94<7L2=1;3=1>G;:84>7L2=2?58E944214>7L2=3?68E949<2K7?3:4A=6=0>G;=7>0M1814:C?3;2GKM9Ufyu>?013f?DJB8Vg~t=>?003f?DJB8Vg~t=>?033g?DJB8Vg~t=>?0233?DJB8Vg~t=>?0^knt1=FDL;?7L@K279BJA4E9?1JBII>;5NNE6A73=FFM>I8;5NNE7A51=FFM2?7L]}fb9BWw`Xff~;<=?>e:CPvcYig}:;<9:C\BVD;99427LQISC>25;?89B[CUE489556OPFR@?518>3HUM_O2>5?;8EZ@TJ5;=245N_GQA841912KTJ^L319<:?DYA[K6:5364A^DPF97912KTJ^L321<:?DYA[K69=374A^DPF9456k1JSK]M<3195;?99B[CUE4;437LQISC>0:==FWOYI0907;@]EWG:2611JSK]M<7<;?DYA[K6<255N_GQA8=8?3HUM_O26>59Bgit13He~xBKj;@mvpJCXe|r;<=>i;@mvpJCXe|r;<=>>119BkprHMVg~t=>?00324>Gh}}ENS`{w012364`DFK]o0NLM[_hos[GGD\8?0NLM[r59AQCC?3K_XSD@IO09@0>E6>D<0O?>BEO48G73JMG90O5C;;B:Nv6=DIZh0OL]Pmtz3456d3JKXS`{w01235a=DIZUfyu>?0132g>EF[Vg~t=>?03d8GDUXe|r;<=>Pilr1?FC43JF@?6MCR29@JQ?7NbdEo58GimXNZG:86Mck^KMRZojxVIggRGAV038@1=C494>7I2>0?78@9766>1O0<<50?78@9756?1O0<4?>59G84833M692;5K<283:1=C4:4?7I2;>59G80833M6=295K<6<7?A:?6=1O0408;Ecwe969?2Njxl2>>49GeqgF02NjxlO30?;8@drfI5;;245KaucB8479j2NjxlO31383:<=Ci}kJ0<<18:FbpdG;9720HlznA=0=e>Bf|hK7?7>18:FbpdG;;720HlznA=6=<>Bf|hK79364D`vbE90902NjxlO37?:8@drfI52546Jnt`C?=;0Pilr\@jssGLUjbi>?013f?Air|FOTmcj?01316>Bh}}ENSl`k0122[lkwWMe~xBKPaof34576l2NdyyAJ_np3456582NdyyAJ_np3456XadzTHb{{OD]lv56788n0Hb{{OD]lv5679;:0Hb{{OD]lv5679Vcf|RJ`uuMF[jt789;:=6K:;DDbhi773LLj`aQfmq]FBdjk9;1N_85JS=2=1>CT484>7H]32?58AV:4294>7H]33?c8AVYffm:;<=?n;DQ\ekb789:Te`~PER]bja6789;j7H]Paof34576i2OXSl`k0122[lkwWLYTmcj?0132`>CTWjg{Sh?01214>CTWjg{Sh?012\mhvXMZUha}Qjq12344bCTWfx;<=>Pilr\AVYhz9:;<<;4EUC@O46?1028AQGDCVcf|RK[ABI2<>CiikfnX<4Es78Aw:76>1N~1?50?78Aw:66=1MOAE=;GF0?CBD<2LOOH=4FER1?C@33OLo=:5IFe3.Mk>9:DE`4+Nf8;37KHk1,Km6g=ANm;&EcQfmq68BCb5?2LMh?#Fn99EBa4*Ag;27KHk2,Km54>9:DE`7+Nf=;37KHk2,Km1g=ANm8&EcQfmq68BCb4?2LMh>#Fn99EBa5*Ag;27KHk3,Km54?$Ce=95IFe4.MkYneyUMJi8"Io37?C@c?>1MJi9"Io:8BCb0%@d:56HId6/Jj47?3OLo; Ga289EBa1*Ag8:56HId6/Jj67?3OLo; Ga4c9EBa1*AgUba}:4FGf;3>@Al1'Bb55IFe:.Mk7>3OLo4 Ga10:8BCb?%@d9n6HId9/JjZojx:1M_O=4FRO`?CUJW9'{mkatb9EWHY6%ykyiczl;GQN[7+wi{oexn5ISL]0)ugumg~:7J=4GOF2?L4Pilr\MDETWjg{Sh?0122b>OFKZUha}Qjq1235721BBDZ\TB37?LHN\Z^HSdc_HLJPVRD98:0ECG[S^antZcv89:;==5FNHVP[fkwWl{;<=?>0:KMMQUXkdzTi|>?0333?LHN\ZUha}Qjq12376=NF_?0EBOLTe9JKDESWhdo<=>?219JKDESWhdo<=>?_hos[LIFK]Ujbi>?013g?LIFK]Ujbi>?0003?LIFK]Ujbi>?00]jiuYNGHI_Sl`k01225a=NGHI_S`{w0123a>OHIJ^Taxv?0122b>OHIJ^Taxv?01225`=NGHI_S`{w01236c=NGHI_S`{w012364`>_hos[LID[Vif|Rk~01225c=NGJYTo`~Pep2347433@EH_Rmbp^gr4565W`g{SDALS^antZcv89:9=k5FOBQ\ghvXmx:;<><;;HM@WZejxVoz<=><_hos[LID[Vif|Rk~01205c=NGJYTo`~Pep2341433@EH_Rmbp^gr4563W`g{SDALS^antZcv89:?=k5FOBQ\ghvXmx:;<8<;;HM@WZejxVoz<=>:_hos[LID[Vif|Rk~01265c=NGJYTo`~Pep2343433@EH_Rmbp^gr4561W`g{SDALS^antZcv89:==k5FOBQ\ghvXmx:;<:<;;HM@WZejxVoz<=>8_hos[LID[Vif|Rk~01245c=NGJYTo`~Pep234=433@EH_Rmbp^gr456?W`g{SDALS^antZcv89:3=k5FOBQ\ghvXmx:;<4<;;HM@WZejxVoz<=>6_hos[LID[Vif|Rk~012:5==NGJYTe`~9;HMGKKCc3@EOCCKPos2345473@EOCCKPos2345YneyUBCIAAE^mq4567911BCIAAEhl4?LICGGOym6G@DNLFv969k2CDHB@Jr=394;2Pmtz3456688o0EBB?_lw{456798l0EBB?_lw{456798;m7DAC0^ov|567888:i6G@L1]nq}6789;8i6G@L1]nq}6789;?h6G@L1]nq}67898n7DAC0^ov|5678;;n7DAC0^ov|5678:;n7DAC0^ov|5678=;n7DAC0^ov|5678<;n7DAC0^ov|5678?;o7DAC0^ov|5678>o0EBB?_lw{4567?8n0EBB?_lw{45670l1BCA>Pmtz3456?9l1BCA>Pmtz3456>98:0EBB?_lw{4567W`g{n6G@L1]lv56788i0EBB?_np3456XadzTEBB?_np34566<2CD@OHFYXnmiw>1:KLJUTbimsTmcj?0121<>OHFYXnmiwPaof3456XadzTEB@_Rdcg}Zgil9:;<<84INRTGV753@E[[N]Pilr\MJVPKZ;27DA_WSgb`|773@E[[_kndx]lv5678;<0EB^XRdcg}Ziu89:;Sdc_HMSSWcflpUd~=>?0058MJTCZL[m7DA]DSGR[dhc89:;>95FOSFQATYffm:;<=Qfmq]JKWBUMXUjbi>?013e?LIUL[OZS`{w012355=NG[NYI\Qbuy23457692CD^I\JQ^ov|56788;:=6G@REPFUZkrp9:;1:KLVATBYVg~t=>?02324>OHZMXN]Rczx12341763@EYH_K^_lw{4567<8;;7DA]DSGR[hs89:;9:1038MJTCZL[Taxv?01255462CD^H_}139JKWCVzVcf|RG@RDSq50=NG[]:h6G@RV3\ekb789:n7DA]W0]bja6789;n7DA]W0]bja67898n7DA]W0]bja67899:=6G@RV3\ekb789:Te`~l;HMQS4Yhz9:;<Paof34566m2CD_=Qnne2345YneyUBC^>Paof34566k2CD_=Qbuy2345b?01]jiuYNGZ:Tc>?013f?LITWjg{Sh?012f?LITWjg{Sh?013f?LITWjg{Sh?010f?LITWjg{Sh?011f?LITWjg{Sh?016f?LITWjg{Sh?017f?LITWjg{Sh?0147?LIR8j1BCX>Pmtz3456c3@E^Pmtz34566;l1BCX>Pmtz34566Pmtz34565m2CDY=Qbuy234546m2CDY=Qbuy234556m2CDY=Qbuy234526m2CDY=Qbuy234536m2CDY=Qbuy234506l2CDY=Qbuy23451b3@E^>0:KLSWTBYVg~t=>?0032?LIPZ[OZS`{w012354763@E\^_K^_lw{4567:8;:7DAXRSGR[hs89:;??4038MJQUZL[Taxv?0127546119JKRTUMXUfyu>?01527>OH_[XN]Rczx1234Zojx11BCZ\]EPp0?Lh7;2Ce=>5Fn318Mk543@d?i6EJEQ]KM@TFZJBR;6B@GHABHa=KXDUJHIQ\UC]2`>JWEVKOHR]ZB^0a?IVJWK_XX_G>d:NSIZEH]G^N^R?k;MRN[FIRF]OYS?j4LQO\GJSI\LXT?55CPL]GBCY>3EZFSIHI_0c8HUKXLOLT==o4LQO\@C@X98k0@]CPDGD\57gh1G\@QKFG]13d=KXDUOJKQ=8`9OTHYCNOU9545CPL]GBCY4i2F[ARJIF^13e>JWEVNMJR=>a:NSIZBANV9956B_M^FEBZ3>3EZFSIHI_7;8HUKXLOLT445CPL]GBCY>i2F[ARV@R3]22>JSadoy56B[ilgq858f3E^bah|311JSadoy0?06;MVji`t;;730@Ygbes>7:<=K\`gn~1;19:NWmhcu4?427AZfmdp?3;?89OPlkbz53546B[ilgqPF743E^bah|[C^antZcv89:;=95CThofvQEXkdzTi|>?01320>JSadoyXNQlmq]fu5678;;?7AZfmdpWGZejxVoz<=>?3058HQojm{^HSnc_ds3456Xadz>7Aand0f8Hjgc9Vddx=>?1328Hjgc9Vddx=>?1^kntZJhim;Tbbz?0132`>KflmUTmij?012e?H~hzVXnxb{<02=b>Kg{UYi~{ct=32:c=JpfxT^h}zlu>26;c7B\?6A]629LV25V):9;8==?<_M68TDTSi2ZBBRLZSHF[f>VNFVH^_COBE29SV@724;379R86<76=1Z0>0;;P>7:1=V4<4?7\29>59R82833X63295^<8>a:S\5Ziu89:;Sdc_P]2[jt789::96_`SBQ;?TiTZlkou85]A=2=2>TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDKD[>1YM@M\0Y37?WGJKZ:SSdc_SCNGV6_9<1YM@KAd:PBI@HXign;<=>=0:PBI@HXign;<=>Pilr\VDKBFVkeh=>?00f8VDKBFVkeh=>?1328VDKBFVkeh=>?1^kntZTFELDTmcj?0132`>TFELDTmcj?01014>TFELDTmcj?010\mhvXZHGNBRoad12364b7_ABCR58VJKD[=R:86\@MBQ7\ZojxVXDAN];X0f8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>119QKHETW`g{S_ABCR31?WUc3[YTo`~Pep2345bTTWjg{Sh?017g?WUXkdzTi|>?0618VQJ23[ojhtj4Rdcg}Zgil9:;4Rdcg}Zgil9:;>119Qadb~W`g{S_kndx34?WcaLfdnj6\jfEmmaZgil9:;Pilr\V``CggoTmcj?0122b>TbnMeeiR``t123572?5]egFlj`Yhz9:;?1`9Qac@ugdXX<<<4RddEvjkU[9Ujbi>?010b?WcaN{ef^^>Paof3456XadzT^hhIrnoQW5Yffm:;<=?>2:PfbCthe[Y;Sl`k01226d=UmoLyc`\\0^cm`5679Vcf|R\jfGpliWU7Whdo<=>>1008V``AzfgY_=Qnne23474f3[omJabRR2\ekb7898Te`~PRddEvjkU[9Ujbi>?0332e>TbnOxda_]?_hos[WcaN{ef^^>>6:PfbWcv02Xnj_k~Io32?WcaZl{To`~Pep23454?3[om^hPclr\at6789Uba}Q]egPfuZejxVoz<=>?1038V``UmxUha}Qjq12357>139QacTbyVcf|R\jfSgr5`=UmoXn}R``t123574Tbn\xlEc?=;SgeQwaXadzT^hhZrf35?Wct}e~27_k|umv?4;g0?c8V`urd}6:=3m4Rdqvhq:6:3:5m6\jstnw844912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?9:?1Yi~{ct^2\jjr789:Te`~PRdqvhqY7Wge<=>?1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC23Z]7=3;4SV>1:2=T_591=3;4SV>0:1=Tkex?7^m|t59Pakrd3ZoexRoad12344c<[ldSl`k0123[lkwWZoexRoad12344d<[ldSb|?0122g>Ubf}Ud~=>?0^kntZUbf}Ud~=>?00c8WkbUIDIX[_:4Srgw6>RTl2^XSnc_ds3456c3]YTo`~Pep2344b<\ZUha}Qjq1236a=S[Vif|Rk~01200>R^XL?0Xdcjr99Wmhcu49437Ygbes>2:==Sadoy0?0n;Uknaw:429437Ygbes>0:2=SadoyXN?=;UknawRDWjg{Sh?0121e>Rnelx_ORmbp^gr4567W`g{SYgbesV@[fkwWl{;<=>>d:Vji`tXign;<=>=0:Vji`tXign;<=>Pilr\PlkbzVkeh=>?0058PwoCi}k87[ML9:TJARYSQYO?7[^Nrb9UTDtXff~;<=?>e:TSEwYig}:;<6:UbvuUB9m1SEAGAX,ZGF%6)9)Y_YO.?.0"BWFON>2RXXAGM7:ZPPZAIL8o0T^ZPILROAHCI\H^BCCQLOOQWVDOI\Z;;7U][_SVO[UTHXLX_U:5WSU]UGF0^h494=7Ua30?35?]i;878=7Ua30?1:?]i;87Uba}=4Xrv:?]usODeoial4_`fg4567494h7Rokd12349776j1Tmij?012?548b3Vkoh=>?0=31>58d3Vkoh=>?0=31:g=Ximn;<=>31?`8[dbc89:;0?0k;^cg`5678591<3l4_`fg45674:4i7Rokd1234929j2Ujhi>?01>6:g=Ximn;<=>36?`8[dbc89:;0:0m;^cg`5678525n6Qnde2345:>611Tmcj?01222>Yffm:;<=Qfmq]\ekb789::46Qnne2344713Vkeh=>?1^kntZYffm:;<?20:8[dhc89:8=;5Paof3455XadzTSl`k01205==Xign;<=:>6:]bja678=Uba}QPaof3452602Ujbi>?0435?Zgil9:;9Rgbp^]bja678<;37Road1232409_hos[Zgil9:;:<64_`lg45609?1Tmcj?015\mhvXWhdo<=>8199\ekb7892::6Qnne234=YneyUTmcj?01:2<>Yffm:;<4?9;^cm`5671Vcf|RQnne234<7?3Vkeh=>>0048[dhc89;;Sdc_^cm`5668820S`{w01233>Yhz9:;<<:4_np3456XadzTSb|?01223>Yhz9:;=<:4_np3457XadzTSb|?01321>gcllxn7l`k0123[jt789:9>6oad1234Ziu89:;Sdc_`lg4567Wfx;<=>>3:amp2=dg|di<4cr18`c`>3mcyinzfoo18bvd33`eia95focq:?kGCg|~GI=?7;oCGkprKM9Uba}QaAEmvpIC798:0bLJ`uuNF4Ziu89:;>;5aAEmvpIC7Wfx;<=>Pilr\jDBh}}FN199mEAir|EO:Sdc_oCGkprKM8;87cO\7:lBWZ@TJ>1eM^QFOC31?kGTW@EIScO\_HMAkprf3gKXSDAMotv5?kGTg|~n7cO\otv\ekb789:9>6`NSnww[dhc89:;Sdc_oCPkprXign;<=>>e:lBWjssWge<=>>239mEVir|Vddx=>?1^kntZhF[fSca{01225a=iIZe~xRa}012365=iIZe~xRa}0123[lkwWgKXcxzPos2345703gKdyyAJ159mEjssGLUba}QaAnwwK@723gHN^_74nCGQVZ@TJ8;0bOK]R^DPFZgil9:;?0^kntZhEM[XTJ^LPaof3456612dII_\PIN@4?kD@W@EI;6`MR^KLFc=iJ[UBCOQnne2345433gHYSDAM_`lg4567W`g{ScL]_HMA[dhc89:;=k5aBS]JKGYj}q:;<=??;o@Q[LIEWds<=>?1038jGTXAFHTaxv?01225475aBS]JKGYj}q:;<=Qfmqg8jGTXAFHTc>?0101?kDUW@EISb|?012\mhvXfKXTEBLPos2345733gIJ_n5aC@Q\jjr789;:i6`LAR]mkq6788Uba}QaC@Q\jjr789;::6`KT@AHe>hC\HI@SK]Ma:lGPDELW@EIi6`KT@AH[dhc89:;>?5aDUC@OZgil9:;?1d9m@QGDCVddx=>?1308jARFKBUecy>?00]jiuYiL]KHGR``t1235404nEkmH@Yhz9:;>6:lGmkIBm2dOecAJ_`lg4567:;1eHd`@E^cm`5678Vcf|R`KioMF[dhc89:;=<<4nEkmK@YneyUeHd`@E058jAir|FOm7cJ`uuMF[dhc89:;>95aDnwwK@Yffm:;<=Qfmq]m@jssGLUjbi>?013e?kBh}}ENSl`k012261=iLfCHQnne2344YneyUeHb{{OD]bja6788;:86`KotvLAZojxVdOcxz@E0g8jAir|FOTc>?0101?kBh}}ENSb|?012\mhvXfMe~xBKPos2345723gC[@?84nHRO6w2hKLZUjbi>?013f?kJC[Vkeh=>?0^kntZhKLZUjbi>?013`?kJC[Vkeh=>?10g8jIBTWhdo<=>>_hos[kJC[Vkeh=>?10a8jIBTWhdo<=>=1d9mHAUXign;<==1b9mHAUXff~;<=?>e:lO@VYig}:;<b:lO@VYhz9:;<?1d9mHRCXign;<=>Pilr\jIQBWhdo<=>?1d9mHRCXadzTbAYJ129mK@dhHMVkeh=>?0^kntZhHMVkeh=>?00a8jJCXadzTbBK>8:lLr`tkip;;7cAyesnb}Zgil9:;?_hos[kIqm{fjuRoad12344773gE}ibny^llp5679;<0bBxjrmcz[kis89::Sdc_oMuawjfqVddx=>?10d8jJpbzekrSb|?01210>hH~lxgmtQ`r1234ZojxVdDzh|cax]lv56788=0b_OBLVG4?kTFE\]N86`]ARg8jWGTW`g{Sc\NS068jWCTk2dYI^Qaou23447b3gXN_R``t1235ZojxVdYI^Qaou2344703gXN_b{{6:lQKHET9;1e^BCLS^kntZhUGDIX=;5aRNOTA`=iZFG\IRoad1234740bXJ\_HMA[kSC[VCDNb{{b:lV@VYNGKe~xn5aUEQ\ekb789::i6`ZDR]bja6789Uba}QaUEQ\ekb789::o6`ZDR]bja6788;n7c[KS^cm`5679Vcf|R`ZDR]bja6788;h7c[KS^llp56798o0bXJ\_omw4566W`g{Sc[KS^llp56798h0bXJ\_np34566k2d^H^Q`r1234ZojxVd^H^Q`r123442=4:lUIDYdeyUn}=>?0^kntZhQEHUha}Qjq12344e5aWD58jRCXNZH<7}ac_bnh=>vhdViggh`7;qmo[vekz11{caQ|sdv;?uiu|Viggl5osv\gimbf01{czPd`vb<>vhz}Ud|l84pnpw[td?xmg8|f?2;?rg|n:;-4CDu74no1KLu=>e;D96?7|[h?1?{o122?7?k:34;>453j8:<6l994:f04`<7280:w^o::23g>70?289?n<>8:`555>pA:k>1<7?51;2xWd3=;8n1>;65126a551=i><:7)=?a;3ef>"5>008jl5m31g94?2e2881::uC30096~N6nh1/>;753g;8yI56;3;p(>h;:79~ 7`d2::n7W7<:2yf>4`=:>0vV?k8:3ye>4c=u"9i:7>5;n;5>5<5<5<1<75f37a94?=h;k81<75`36;94?=h;?o1<75fd983>>oa<3:17d=8e;29?j52k3:17b=96;29?l5>:3:17b=m3;29?l5cl3:17b=l2;29?j5f?3:17d77:188m<3=831d?8:50;9l70>=831b?4;50;9j73b=831d?oo50;9l7=5=831d?4850;9l7a4=831d?5850;9l7=g=831b?h=50;9l73?=831d>5;50;&12g<50=1e>;o50:9l6=5=83.9:o4=859m63g=921d>5k50;&12g<50=1e>;o52:9l6=b=83.9:o4=859m63g=;21d>5m50;&12g<50=1e>;o54:9l6=d=83.9:o4=859m63g==21d>5o50;&12g<50=1e>;o56:9l6=?=83.9:o4=859m63g=?21d>5650;&12g<50=1e>;o58:9l6=1=83.9:o4=859m63g=121d>5850;&12g<50=1e>;o5a:9l6=4=83.9:o4=859m63g=j21b;o4?:%05f?1f3g8=m7>4;h5:>5<#:?h1;l5a27c95>=n?10;6)<9b;5b?k41i3807d98:18'63d=?h1e>;o53:9j30<72-8=n79n;o05e?2<3`=?6=4+27`93d=i:?k1965f7283>!41j3=j7c<9a;48?l15290/>;l57`9m63g=?21b;<4?:%05f?1f3g8=m764;h53>5<#:?h1;l5a27c9=>=n>o0;6)<9b;5b?k41i3k07d8j:18'63d=?h1e>;o5b:9j2a<72-8=n79n;o05e?e<3`!41j3=j7c<9a;g8?l>5290/>;l57`9m63g=n21b4<4?:%05f?1f3g8=m7??;:k;4?6=,;47<3`=m6=4+27`93d=i:?k1=?54i6g94?"5>k0=n?m0;6)<9b;5b?k41i3;?76g8c;29 70e2>k0b?8n:078?l11290/>;l57`9m63g=9?10e?kk:18'63d=:li0b?8n:198m7ce290/>;l52da8j70f2810e?kn:18'63d=:li0b?8n:398f676290:6=4?{%0eg?75m2B8==5`13f94?=zj:;?6=4>:183!4ak39;56F<119l631=831vn>:j:182g?7e2;?p@>?=:0yK5cg6`<,:l?6;5r$3d`>d0:188k7g02900c<7l:188k7?62900c?h::188m=`=831b?o850;9l50e=831b?h<50;9l6<6=831d>l850;9l56>=831b844?:%05f?2?3g8=m7>4;h64>5<#:?h1855a27c95>=n;o53:9j07<72-8=n7:7;o05e?2<3`>:6=4+27`90==i:?k1965f4183>!41j3>37c<9a;48?l5a290/>;l5499m63g=?21b?h4?:%05f?2?3g8=m764;h1g>5<#:?h1855a27c9=>=n;j0;6)<9b;6;?k41i3k07d=m:18'63d=<11e>;o5b:9j7d<72-8=n7:7;o05e?e<3`926=4+27`90==i:?k1h65f5083>!41j3>37c<9a;g8?l37290/>;l5499m63g=n21i>9k50;195?5|D:;96h;:09~ 7`d2;:87dol:188k70c2900el650;9a3?6=;3:1N4991b594?::kbg?6=3f8=h7>5;|qbv38:34g?[41l2wx>9650;0xZde<5>0jo6srb2ca>5<22;0>wA=>2;3xL4`f3tF8=>4>{%1e0?75;hc;>5<>d0290>6=4?{%0eg?`03A9:<6B<1382!74m39m<6sfa183>>of:3:17d7;:188mde=831d>;j50;9~f676290:6=4?{%0eg?75m2B8==5`13f94?=z{h21<7<2wxm44?:3y]e<=:?3k;7p}=4683>7}:;8;1=?j4=68b6>{t:=21<7t$3d`>4113f;>o7>5;h30=?6=3`;=>7>5;h350?6=3`;=:7>5;h355;h35g?6=3`;=i7>5;h344?6=3`;<>7>5;h340?6=3`;=<7>5;h0:5?6=3k;>h7>59;294~"5nj09945G3028m4572900e<=>:188m4552900e<=<:188m4532900e<=::188m4512900e<=8:188k72c2900qo?:e;292?6=8r.9jn4=579K7465<5<5<j7>53;294~"5nj099<5G3028m4572900e<=>:188k72c2900q~?:c;296~X6=j16=8h525f8yv7413:1>vP>389>50b=9::0q~?92;296~X6>;16=8j51238yv71<3:1>vP>659>50b=9:80q~?96;296~X6>?16=8j51218yv7103:1>vP>699>50b=9:?0q~?9a;296~X6>h16=8j51268yv71k3:1>vP>6b9>50b=9:<0q~?9e;296~X6>l16=8k51238yv7083:1>vP>719>50b=9:=0q~?82;296~X6?;16=8k51228yv70<3:1>vP>759>50c=9:90q~?90;296~X6>916=8k51208yv4>93:1>vP=909>50c=9:>0q~?:d;296~;6=m098i5214d9566i7>52z?21`<5<1C?<>4i013>5<5<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900qo<62;297?6=8r.9jn4:e:J055=n9::1<75f12394?=h:=n1<75rb01g>5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd5=90;6>4?:1y'6ce==j1C?<>4i013>5<5<55;294~"5nj0=:6F<119j566=831b=>?50;9j564=831b=>=50;9l61b=831vn<=n:186>5<7s-8mo78=;I124>o6;90;66g>3083>>o6;;0;66g>3283>>i55;h305?6=3f8?h7>5;|`226<72<0;6=u+2ga926=O;8:0e<=?:188m4562900e<==:188m4542900c?:k:188yg71=3:197>50z&1bf<1;2B8==5f12294?=n9:;1<75f12094?=n9:91<75`25f94?=zj8<<6=4::183!4ak30:k275<722c:?<4?::k277<722c:?>4?::m10a<722wi=;750;794?6|,;lh6;=4H233?l7483:17d?<1;29?l74:3:17d?<3;29?j43l3:17pl>6c83>0<729q/>km5659K7465<5<o6=44}c35`?6==3:1N4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~f40a290>6=4?{%0eg?023A9:<6g>3183>>o6;80;66g>3383>>o6;:0;66a=4e83>>{e9>;1<7;50;2x 7`d2?90D>??;h304?6=3`;8=7>5;h306?6=3`;8?7>5;n07`?6=3th:;>4?:483>5}#:oi1:95G3028m4572900e<=>:188m4552900e<=<:188k72c2900qo?85;291?6=8r.9jn494:J055=n9::1<75f12394?=n9:81<75f12194?=h:=n1<75rb3cb>5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd4im0;6>4?:1y'6ce==m1C?<>4i013>5<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900qo=nf;296?6=8r.9jn4>479K7465<0;68uQ2`5897g?2;>o70;5=90:?=52173956752z\2=f=:90n1>9j4}r0:5?6=?4=2`2>4563ty8n;4?:2y]7g0<5:ki6lm4=2cg>4563ty:9n4?:3y]50e<58==6<;l;|q0a7<72;qU?h<4=0;g>4573ty9m;4?:3y]6d0<5;ko6?:k;|q27=<72;qU=>64=01g>72c3ty::?4?:3y>520=9?801<8<:36g?xu6>=0;6?u21649532<58<>6?:k;|q223<72;q6=:85174894002;>o7p}>6983>7}:9><1=;64=04:>72c3ty::l4?:3y>520=9?k01<8m:36g?xu6;00;6>u2164956?<589o6<=?;<30e?43l2wx=;m50;0x941128{t9:h1<745634;8o7<;d:p53c=838p1<99:04f?871n38?h6s|16294?4|58==6<9?;<345?43l2wx=:<50;0x941128=970?83;07`>{t9>>1<741334;<97<;d:p6d?=838p1?ok:013?84fi38?h6s|25g94?4|5;>n6?8k;<1b`?7482wx>9h50;0x972b2hi01?;?:36g?xu4il0;6?u23``9e<=:;hl1>9j4}r0bf?6=:r79mn4=4e9>6dg=9:;0q~?90;296~;6??0::=52173961b52z?0f4<5>4=042>4573ty8mn4?:3y>7db=:=n01>l>:013?xu313:1jvP;9:?1e=<6;;16>4<5123897gd289870?;6>:0:?>521779565<58<<6<=<;<35=?74;27::o4>329>53b=9:901<8i:010?87093;8<63>728274=:9>?1=>?4$3g:>1>:3;8<63=ab8277=:9:k1=><4=040>45534;=97?<2:?222<6;;16=;751228940e289:70?9d;305>;6>o0:??521639565<58=86<=<;<341?74;2.9i44;8:l1a=<63ty?:7>5ez\72>;5i10:?<522`a9567<589j6<=>;<357?74927::84>319>531=9:;01<86:011?871j3;8>63>6e8275=:9?l1=>?4=052>45534;h75499m6`>=:2wx894?:dy]01=::h21=>>4=3c`>45734;8m7?<0:?226<6;916=;;512389400289;70?99;305>;6>k0:?=5217f9564<584>319>523=9:80(?k6:5:8j7c?2:1v9<50;0xZ14<589h6<=>;%0f=?2?3g8n47:4}r62>5<5sW>:70?"5m00?46`=e986?x{e;o;1<7=<:3797a}K;881=vF>f`9~H6742;qe?k;54`9'7c2=>2w/>km529d8^<5=:ro1=i4rZ3g4>4}a2tcj=7>5;n1``?6=3`9>m7>5;n1ag?6=3f9i87>5;n14=?6=3`2m6=44i3f7>5<5<5<5<5<5<6=4+27`96=25<#:?h1>5:4n34b>4=5<#:?h1>5:4n34b>6=5<#:?h1>5:4n34b>0=5<#:?h1>5:4n34b>2=5<#:?h1>5:4n34b><=5<#:?h1>5:4n34b>g=h5>h0;76g;4;29 70e2=?0b?8n:098m14=83.9:o4;5:l12d<532c?=7>5$34a>1354i5294?"5>k0?96`=6`87?>o4n3:1(?8m:578j70f2<10e>k50;&12g<3=2d9:l49;:k0`?6=,;2=h5>h0376g5$34a>13k0?96`=6`8`?>o283:1(?8m:578j70f2m10e9h50;&12g<3=2d9:l4j;:k7a?6=,;c=h5>h0:<65f4b83>!41j3>>7c<9a;32?>o3j3:1(?8m:578j70f28807d:n:18'63d=<<1e>;o51298m15=83.9:o4;5:l12d<6<21i>lk50;a95?d|D:;96h;:49~ 7`d28827do7:188k73e2900c?;k:188k73a2900c?8>:188k7042900c?8;:188k7022900c?89:188m4`22900e=7?tH0db?x"5nj09mh5f1g794?=n9o<1<75f1g594?=nih0;66a=5c83>>i5=m0;66a=5g83>>i5>80;66a=6283>>i5>=0;66a=6483>>i5>?0;66lia;297?7=;rF8=?4>{I3ee>{#:oi1j45fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7A=>2;3x 45b2:l;7pg64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|a556=8391=7=tL231>4}O9ok0q)=831i;7>53;294~"5nj0m96F<119O744=9r.:?h47}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk;;n7>53;397~J49;0:wE?ia:'6ce=n01bmn4?::m12a<722cj47>5;c594?5=83:p(?hl:g78L6773E9:>7?t$01f>6`73tc287>5;hc`>5<5<5sWk37095959~w7202909w09527f8Z70c3ty9854?:3y]ef=:?3kh7psm10094?5=939p@>?=:0yK5cg5<50z&1bf>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg76=3:1?7?53zN057<6sA;mm6s+2ga9b<=nij0;66a=6e83>>of03:17o950;194?6|,;lh6k;4H233?I56:3;p(<=j:2d3?xo><3:17dol:188k70c2900q~o7:181[g?34=1595rs364>5<5s4=1>;j4^34g?xu5<10;6?uQab9>3?gd3twi=??50;195?5|D:;96N4991G?<<51z&27`<4n:1ve4:50;9jef<722e9:i4?::pe=<72;qUm5527;;7?xu5<>0;6?u27;05`>X5>m1v?:7:181[gd34=1mn5r}c312?6=<3819v*=fb8263=n:?<1<75f24d94?=n9o<1<75`13094?=e9;?1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:>94?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17p}=6783>7}Y:?<01<<;:013?xu5=o0;6?uQ24d89442289:7p}>f783>7}Y9o<01<<::013?xu6:;0;6?uQ130894432;>o7p}>2283>7}:9;?1>9j4=007>4563twi=<950;194?6|,;lh68m4H233?l7483:17d?<1;29?j43l3:17p}>f783>6}Y9o<01<<9:0d5?876?3;8=6s|a`83>2}Yih16jl4n8:?2458l50;1xZ73e34;;<7ol;<33f?41l2wx>8j50;1xZ73c34lj6lm4=023>70c3ty99k4?:5y]60`<588:6?8k;<312?42n27:=:4>319~w7032908wS<94:?24gm16=?852748yv76;3:1?v3>138bg>X5><16jl4=6e9~w4712909w0?>5;c`?876?38?h6s|13094?4|588:6lm4=005>4453twxm54?:3y]e==:9;21ml5rs37a>5<5sW8>n63>29811g=z{;?o6=4={_06`>;6:1099i5rs37e>5<5sW8>j63>29811c=z{;<86=4={_057>;6:109:>5rs347>5<5sW8=863>298121=z{;<>6=4={_051>;6:109:85rs345>5<5sW8=:63>298123=z{8l>6=4={_3e1>;6:10:j85rs0d5>5<5sW;m:63>2982b3=z{8l<6=4={_3e3>;6:10:j:5r}c;2>5<42808wA=>2;3xL4`f3tF8=>4>{%1e0?35;hc;>5<0;6>4?:1y'6ce=n<1C?<>4i8694?=nij0;66a=6e83>>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg4e13:197<55zN057<6sA;mm6sC3019e~"4n=0>7)=i2;17b>"4mh0jo6*"4m00j56`>of13:17dol:188k70c2900e?kj:188f2<72<0968uC30095~N6nh1v(?hl:321?lgd2900c?8k:188md>=831bm44?::kb6?6=3k=1<7;50;2x 7`d2o=0D>??;hc3>5<>ofk3:17b<9d;29?xd4980;6<4?:1y'6ce=9;o0D>??;n31`?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1m=5rs364>5<5s49:=7?=d:?4>d452z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f62129086=4?{%0eg?42:2B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}r05`?6=:rT9:i527;05`>{t:lo1<7=t^3gf?81=ij16?9851228yv53=3:1>v38:`;896212;>o7psm9`83>6<62:qG?<<51zJ2bd=zD:;86{#:oi1>>d029086=4?{%0eg?`23A9:<6B<1382!74m39m<6sf9583>>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f7g429086<4<{M126?7|@8lj7pB<1282!5a<3?0q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028H67528q/=>k53g28yl?32900elm50;9l63b=831vl650;0xZd><5>0286s|25594?4|5>09:i5Q27f8yv4303:1>vPnc:?4>de1}K;881=vF>f`9~H67428q/?k:55:'6ce=:8l0elm50;9l63b=831bm54?::`4>5<4290;w)5;hc`>5<5<5290;w)N4991b=?h50;9l61b=831vl650;0xZd><5hh1=?h4}r073?6=:r7<64:4=``961b52z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f7?4290=6>48{M126?7|@8lj7pB<128`!5a<3?0(>h=:26e?!5bi3kh7)=jc;05`>"4m10j46`2900elm50;9l63b=831b>k850;9j6`c=831i;7>55;091~J49;0:wE?ia:'6ce=:980elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K7464<729q/>km513g8L6773f;9h7>5;|qb2909wSo6;<59e5=z{;><6=4={<125?75l27<6l<4}r07{t:=31<75<7s-8mo7?;6:J055=n9;l1<75`25f94?=zj:>=6=4;:183!4ak38>?6F<119j566=831b=>?50;9j564=831d>9j50;9~wd>=838pRl64=68b<>{ti00;6?uQa89>710=9:80q~<9d;296~X5>m16;7<9d:p6c0=838pR?h9;<172?7492wx>hk50;1xZ7cb3499j7?=f:?003<6;91v>v38:`;896212;>o7psm24c94?3=:3>p@>?=:0yK5cg0=#;o81?9h4$2gb>de<,:oh6?8k;%1fd?6<5+3dg96`c7633`k36=44i`;94?=nij0;66a=6e83>>o5ml0;66l8:186>7<2sE9:>7?tH0db?x"5nj09>i5>m0;66gn8;29?lg>2900el<50;9a3?6==3:1N4991G?<<51z&27`<4n91vel>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psm35494?4=83:p(?hl:065?M5682c:>k4?::m10a<722wxm54?:3y]e==:?3k37p}=6e83>7}Y:?n01:4=6e9~w7cb2908wSde<5:>=6<6<729q/>km55b9K7465<o6=44}c;g>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~w52z\1f4=:1l0:?=5rs3a3>5<5sW8h<636e;305>{t:k81<7m38?h636d;304>{zj;i<6=4;:183!4ak38>?6F<119j566=831b=>?50;9j564=831d>9j50;9~f6da29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rb2`a>5<5290;w)N4991b=?h50;9l61b=831vn>j?:181>5<7s-8mo7?;6:J055=n9;l1<75`25f94?=zj:k=6=4=:183!4ak3;?:6F<119j57`=831d>9j50;9~f6gf29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rb2`6>5<5290;w)N4991b=?h50;9l61b=831vn?j::180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`1fc<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xd5i<0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th95k4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17pl=a083>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|`1g7<72=0;6=u+2ga925=O;8:0e<=?:188m4562900e<==:188k72c2900qo5<3290;w)0<729q/>km5649K7465<5<o6=44}c1b1?6==3:1N4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~f6g>29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e:kh1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th9584?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo<67;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb3;:>5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd51m0;6>4?:1y'6ce==m1C?<>4i013>5<5<52;294~"5nj0:8;5G3028m44a2900c?:k:188yg50j3:1>7>50z&1bf<64i00e>5<o6=44}rc2>5<>sWk:70l=5a99>72?=i116>4=5a99>60g=i11v>mk:181[5dl278h=4=4e9~w63f290>wS=:a:?0f`<6;916?nh5122896g2289;70=n9;304>{t;ki1<7{t;>31<7=}Y0o165<4nc:?11d<5ml16>n<5123897e3289;70;51>0:?=5228;956653z\1`1=::m?1=>?4=3`a>4563ty8m:4?:3y]7d1<5:kj6?:k;|q0=1<72;qU?4:4=2c6>4553ty9o44?:2y]6f?<5;in6<=>;<0af?7482wx?h<50;7xZ6c5349ii7?<1:?0gc<6;816?l;5123896g>289:7p}7}Y;j:01>mi:011?xu4jh0;6?uQ3cc896de2;>o7p}=a783>7}Y:h<01>lm:00e?xu4i:0;6?uQ3`1896g12;>o7p}=d283>1}::ho1=k94=3f6>72c348h87?<1:?1g3<6;;1v?o;:18184f;3kh70{t180;6?u290812a=::j81=>>4}r0:a?6=:r795>4=f79>6<`=:=n0q~319>6fc=9::0q~52z?0ed<6:o16?l7525f8yv5dm3:1>v39j4}r0bb?6=;r79mh4=5c9>6g`=9::01?o::012?xu5k10;6?u22bg961b<5;i96<==;|q1f5<72;q6>lk524f897da289:7p}=b083>0}::ho1>8h4=8d96g7<5;3>6<=?;<0:=?7492795i4>309~w7?32909w0<63;0fa>;51<098i5rs3`1>5<4s48ji7<94:?:b?4e:279m84>319~w7?12909w0<6f;304>;51>098i5rs3;;>5<5s482j7?<1:?1=<<5>4}r0:e?6=:r79m<4>319>6;|q1=f<72;q6>l?5123897?c2;>o7p}7}:;h<1=?h4=2c6>72c3ty9o<4?:3y>6f1=9::01?m=:36g?xu5k:0;6?u22b59567<5;i?6?:k;|q1g0<72;q6>n95120897e12;>o7p}<7`83>7}:;>31mn5236`961b54z?1e`<6n?165k4=c19>6f1=:=n01?7::012?xu5jl0;6?u22c;9e<=::kl1>9j4}r0ae?6=:r79no4=4e9>6gb=9;l0q~7n:1818?f2;{t:091<7:t=3;0>70c348h:7?<0:?0gc<6;:16?l;51218yv?e2909w07n:`a89<`=1k1v?o<:18184f;38=h6322908wS<75:\1<==Y<;1/>h752968j7c?291v?6<:181[4?;2T?<6*=e881<1=i:l21=6s|29g94?4|V;2n7S=j;%0f=?4?<2d9i54=;|q15j4^538 7c>2;2?7cvP=8b9]7a=#:l31>5:4n3g;>1=z{;2i6=4={_0;f>X4k2.9i44=859m6`>==2wx>5o50;0xZ7>f3W9i7)h5m10=7p}=8883>7}Y:130R>o4$3g:>7>33g8n4794}r0;3?6=:rT94:5Q3g9'6`?=:1>0b?k7:89~w7>12909wS<76:\0=>"5m009495a2d:9e>{t:181<772=;=qG?<<51zJ2bd=zD:;86?ua3g791`=#;o>1:6s+2ga950d5;n3b5?6=3`9287>5;h36g?6=3`9n>7>5;h1`4?6=3f;5;n3f3?6=3`8j:7>5;n1;2?6=3f;257>5;n3`a?6=3f?96=44o4`94?=h;?31<75m2g594?d=93hp@>?=:0yK5cg1=z,;lh6<5<5<5<5<5<5<1b=k;50;9j5c0=831b=k950;9j5c>=831b=k750;9jed<722e99o4?::m11a<722e99k4?::m124<722e9:>4?::`ee?6=;3;1?vB<1382M7ai2w/>km5f89jef<722e9:i4?::kb??;M126?7|,89n6>h?;|k:0?6=3`kh6=44o34g>5<3`kh6=44o34g>5<3d80b5=za0>1<75fab83>>i5>m0;66s|a983>7}Yi116;77;;|q102<72;q6;7<9d:\12a=z{;>36=4={_c`?81=ij1vqohi:187>7<2s-8mo7hi;hdb>5<5<6<729q/>km55b9K7465<o6=44}cdg>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~wcg=838pRko4=gg956652z\2b<=:nl0:?<5rs340>5<5sW8=?63id;305>{tnk0;6?uQfc9>ba<5;=50;9j5c?=831b=k850;9j5c1=831b=k650;9l557=831i==750;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl>0283>6<729q/>km55e9K7465<o6=44}c331?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f460290>6=4?{%0eg?033A9:<6g>3183>>o6;80;66g>3383>>o6;:0;66a=4e83>>{e9921<7;50;2x 7`d2;?>7E=>0:k275<722c:?<4?::k277<722c:?>4?::m10a<722wx=k;50;0xZ4`234;;57?<1:pbd<72=qUjl5211;9566<58:86<=?;<333?7492wx>;=50;1xZ70434;;?7?<1:?240<6;81v319~w4`12909wS?i6:?242<6;;1v329~w4`?2909wS?i8:?242<6;91v<>>:181[77927:<54=4e9~w4652909w0??9;07`>;6810:?=5rs020>5<5s4;;?7<;d:?24=<6;81v<>;:181877=38?h63>098277=z{8:=6=4={<333?43l27:<54>329~yg42k3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj;?n6=4<:183!4ak3?h7E=>0:k275<722c:?<4?::m10a<722wi>;>50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl=6383>6<729q/>km55d9K7465<o6=44}rdb>5<0s4lj6?8k;cg<58:j6ko4=37`>457348>i7?<1:?125<6;816>;<51238yv7a=3:1>vP>f49>55g=9o?0q~?i6;296~X6n?16==o51g48yv7a?3:1>vP>f69>55g=9o=0q~?i8;296~X6n116==o51g:8yv7a13:1?vP>f89>bc<6n016==o51g;8yvgf2908wSon;d><58:;6l64}r06f?6=:rT99o5224a961bh7>52z\11a=::9j4}r06b?6=:rT99k52272961b52z\124=::?81>9j4}r057?6=0rT9:>52112963b<5ol1>;=4=02b>704348>o7?<1:?11`<6;916>;>512289705289;7p}ib;296~;ai3kh70hi:g`8yv7793:1>v3>018bg>;68h0:<<5r}rc;>5<5sWk370?=8;cb?xu5=k0;6?uQ24`8944?2;?i7p}=5e83>7}Y:7}Y:?;01<<7:342?xu5>:0;6?uQ2718944?2;<87p}>f483>7}Y9o?01<<7:0d6?xu6n?0;6?uQ1g48944?28l=7p}>f683>7}Y9o=01<<7:0d4?xu6n10;6?uQ1g:8944?28l37p}>f883>7}Y9o301<<7:0d:?x{e9<<1<7=51;1xH67528qC=ko4}M127?7|,:l?695r$3d`>7613`kh6=44o34g>5<3d80b5=za0>1<75fab83>>i5>m0;66s|a983>7}Yi116;77;;|q102<72;q6;7<9d:\12a=z{;>36=4={_c`?81=ij1vqo?8b;291?7=;rF8=?4>{I3ee>{K;891:v*"4mj09:i5+3d:9e==i;l>1=6s+2ga9651v<;:309y_4b?38p>84=0;je=<722e9;94?:%05f?40;2d9:l4?;:m12`<72-8=n7<83:l12d<632ci?7>5$34a>g4k0i>6`=6`82?>d029086<4<{M126?7|@8lj7p*=fb8146=nij0;66a=6e83>>of03:17o950;194?6|,;lh6k;4H233?l?32900elm50;9l63b=831vl650;0xZd><5>0286s|25594?4|5>09:i5Q27f8yv4303:1>vPnc:?4>de52z\b<>;02h20q~<9e;296~X5>l16;7<9d:&1a<<5?:1e>h651:pea<72;qUmi527;c`?!4b13h97c4}#;o>186s+2ga965>5}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{e::=1<7:52;6xH67528qC=ko4}M127?>|,:l?695+3g0971`<,:oj6lm4$2g`>70c3-9n47o7;o1f0?7<,:on6?kj;o1f2?75<6<62:qG?<<51zJ2bd=z,;lh6?>=;hc`>5<50z&1bf>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f64a29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rs`:94?4|Vh201:4n8:p63b=838pR?8k;<5963b52z\1a`=:;;l1=?h4}r11a?6=:r7<6lm4=20e>72c3twim:4?:582>6}K;881=vF>f`9~H67421q/?k:54:&0b7<4k7:`:8j6c3281/?hk52dg8j6c1291v(?hl:326?lg?2900elm50;9l63b=831b>hk50;9a3?6=;3;1?vB<1382M7ai2w/>km52108mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:k:0?6=3`kh6=44o34g>5<3?g?3ty9:i4?:3y]63b<5>09:i5rs3gf>5<5sW8ni638:`a8yxd2j3:197<55zN057<6sA;mm6sC3019e~"4n=0?7)=i2;17b>"4mh0jo6*"4m00j56`>of13:17dol:188k70c2900e?h9:188f2<72<0968uC30095~N6nh1v(?hl:321?lgd2900c?8k:188md>=831bm44?::kb6?6=3k=1<7;50;2x 7`d2o=0D>??;hc3>5<>ofk3:17b<9d;29?xd4980;6<4?:1y'6ce=9;o0D>??;n31`?6=3tyj47>52z\b<>;020>0q~o6:181[g>34=1m=5rs364>5<5s49:=7?=d:?4>d452z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f62?29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}r05`?6=:rT9:i527;05`>{t:o<1<7=t^3d5?81=ij16?9651228yv53?3:1>v38:`;8962?2;>o7psm18794?3=:3?p@>?=:0yK5cg1=z,;lh6?>7;hc`>5<t$3d`>c1<@:;;7do?:188md4=831b594?::kbg?6=3f8=h7>5;|`054<7280;6=u+2ga957c<@:;;7b?=d;29?xuf03:1>vPn8:?4><2v38:34g?[41l2wx>9750;0xZde<5>0jo6srb0g0>5<22;0>wA=>2;3xL4`f3tF8=>4>{%1e0?25;hc;>5<>d0290>6=4?{%0eg?`03A9:<6gn0;29?lg52900e4:50;9jef<722e9:i4?::a747=83;1<7>t$3d`>44b3A9:<6a>2e83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02h:0q~<;7;296~;4980:>i527;c1?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}c3b5?6=;3;1?vB<1382M7ai2wG?<=51z&0b1<33t.9jn4=029jef<722e9:i4?::kb??;h;7>5<<2wx>9950;0x92<5>m1U>;j4}r070;6>4>:2yO744=9rB:jl5rL230>4}#;o>186s+2ga96555<7s-8mo7h:;I124>o><3:17dol:188k70c2900q~o7:181[g?34=1595rs364>5<5s4=1>;j4^34g?xu5<10;6?uQab9>3?gd3twi=:k50;195?5|D:;96h;:59~ 7`d2;:87dol:188k70c2900el650;9a3?6=;3:1N4991b594?::kbg?6=3f8=h7>5;|qbv38:34g?[41l2wx>9650;0xZde<5>0jo6srb0af>5<42808wA=>2;3xL4`f3tF8=>4>{%1e0?25;hc;>5<0;6>4?:1y'6ce=n<1C?<>4i8694?=nij0;66a=6e83>>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg3529086<4<{M126?7|@8lj7pB<1282!5a<3>0q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk8m<7>55;091~J49;0:wE?ia:O745=ir.8j94;;%1e6?53n2.8il4nc:&0af<5>m1/?h65a99m7`2=92.8i44n9:l0a0<63-9ni75;hc`>5<5<0;684=:4yO744=9rB:jl5r$3d`>7653`kh6=44o34g>5<>of:3:17o950;794?6|,;lh6k94H233?I56:3;p(<=j:2d3?xof83:17do=:188m<2=831bmn4?::m12a<722wi?i6:m0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:`28yv43?3:1>v3<10826a=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk9?:7>53;294~"5nj099?5G3028m4572900e<=>:188k72c2900q~o7:181[g?34=1m55rs`;94?4|Vh301>:9:012?xu5>m0;6?uQ27f892<5>m1v?kj:180[4bm27<6lm4=265>4573ty8884?:3y>3?g>349?:7<;d:~f4?>290>6?4:{M126?7|@8lj7pB<128b!5a<3>0(>h=:26e?!5bi3kh7)=jc;05`>"4m10j46`2900elm50;9l63b=831b>hk50;9a3?6==3819vB<1382M7ai2w/>km52108mde=831d>;j50;9je=<722cj57>5;hc1>5<0;684?:1y'6ce=n>1C?<>4i`294?=ni;0;66g64;29?lgd2900c?8k:188yg5693:1=7>50z&1bf<6:l1C?<>4o00g>5<0j<6s|25594?4|5:;:6<36=4={<5963b7}Yij16;7ol;|a710=8391<7>t$3d`>7353A9:<6g>3183>>o6;80;66a=4e83>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;45<5sW8=h638:34g?xu5ml0;6>uQ2dg892319~w6222909w095a89>710=:=n0qpl>9d83>0<52"4n;088k5+3dc9ef=#;li1>;j4$2g;>d>>ofk3:17b<9d;29?l4bm3:17o950;796?3|D:;966gnc;29?j41l3:17do7:188md?=831bm?4?::`4>5<2290;w)5;hc1>5<1<75fab83>>i5>m0;66sm30394?7=83:p(?hl:00f?M5682e:>i4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4>d652z?054<6:m16;7o=;|q10=<72;q6;7<9d:\12a=z{;>26=4={_c`?81=ij1vqo=;6;297?6=8r.9jn4=539K7465<o6=44}rc;>5<5sWk37095a99~wd?=838pRl74=265>4563ty9:i4?:3y]63b<5>09:i5rs3gf>5<4sW8ni638:`a89621289;7p}<4483>7}:?3k270=;6;07`>{zj:<26=4::285I56:3;pD62a3-9nm7ol;%1fg?41l2.8i54n8:l0a1<63-9n57o6;o1f1?7<,:om6?h9;o1f3?65}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{e;=<1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::a71>=8391<7>t$3d`>7353A9:<6g>3183>>o6;80;66a=4e83>>{ti10;6?uQa99>3?g?3tyj57>52z\b=>;4<10:?<5rs34g>5<5sW8=h638:34g?xu5n?0;6>uQ2g489621288m70=;8;304>{t;==1<7;4<1098i5rs266>5<5s4=1mn52354961b<}#:oi1=o64o0`7>5<>o61j0;66g>b883>>d6j<0;684?:1y'6ce=>;1C?<>4i013>5<5<5<53;294~"5nj0>i6F<119j566=831b=>?50;9l61b=831vn5<7s-8mo7;l;I124>o6;90;66g>3083>>i5{t0o0;6?uQ8g9>5g3=9:;0q~?:c;296~X6=j16=o;51228yv3e2909wS;m;<3a2?7482wx>k>50;0xZ7`734;i:7?<1:p534;i97?<3:p5g3=838p12<68r.9jn4>ag9l5d2=831b>kl50;9j1g<722c:n>4?::k2e7<722c8i?4?::k1b=<722c:m<4?::k1b<<722c:9;4?::`2e`<72:0;6=u+2ga91f=O;8:0e<=?:188m4562900c?:k:188yg7f>3:187>50z&1bf<182B8==5f12294?=n9:;1<75f12094?=h:=n1<75rb0c4>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~f4g?29086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e9hk1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:mn4?:583>5}#:oi1:=5G3028m4572900e<=>:188m4552900c?:k:188yg7fl3:187>50z&1bf<5=:1C?<>4i013>5<5<o6=44}r3b0?6=:rT:m9521`f961b52z\1bg=:9h21=>>4}r7a>5<4sW?i70?ne;304>;6i?0:?=5rs0`0>5<5sW;i?63>ad8274=z{8k96=4={_3b6>;6i?0:?<5rs2g1>5<5sW9n>63>a78277=z{;l36=4={_0e<>;6ih0:?=5rs0c2>5<4sW;j=63>a`8274=:9hi1=>?4}r0e=?6=:rT9j4521`a9564:7>52z\213=:9hi1=>>4}r3b1?6=:r7:mh4=4e9>5d1=9::0q~?n6;296~;6i?098i521`5956752z?2e2<5v3>a9810a=:9hn1=>>4}r3b=?6=:r7:ml4=4e9>5db=9:;0q~?nb;296~;6ij098i521`f95644?:`84>46|,;lh65;h7a>5<5<5<5<5<4290;w)1<7>t$3d`>37<@:;;7d?<0;29?l7493:17d?<2;29?j43l3:17pl>ec83>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|`2af<72:0;6=u+2ga91f=O;8:0e<=?:188m4562900c?:k:188yg7bm3:1?7>50z&1bf<2k2B8==5f12294?=n9:;1<75`25f94?=zj8l;6=4;:183!4ak3<;7E=>0:k275<722c:?<4?::k277<722e98i4?::a5c7=83>1<7>t$3d`>7343A9:<6g>3183>>o6;80;66g>3383>>i5{t:oh1<76}Y=k16=k<5123894cf289:7p}>b283>7}Y9k9017}Y;l801e683>6}Y9l=01{t9<<1<7o70?jb;305>{t:==1<772c34;no7?<1:p61>=838p1o70?i1;306>{zj8396=46:e821~"5nj0:5?5`16d94?=n:oh1<75f5c83>>o61<0;66g>a383>>o41=0;66g>7d83>>o5n10;66g>9283>>d60<0;6>4?:1y'6ce==j1C?<>4i013>5<5<53;294~"5nj0>h6F<119j566=831b=>?50;9l61b=831vn<67:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i5t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd60k0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th:4n4?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo?7e;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb0;3>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{e91;1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:4>4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo?74;290?6=8r.9jn4=529K7465<5<52z\23c=:91>1>9j4}r0ef?6=:rT9jo5219a95668`8275=:91o1=>>4=0;3>4573ty:584?:2y]5<3<582>6<=>;<3;a?7492wx=l<50;1xZ4g534;3:7?<1:?2<=<6;91v>7;:180[5><27:4;4>319>5<6=9:;0q~?8e;297~X6?l16=565123894>4289:7p}=f983>7}Y:o201<6>:013?xu61:0;6?uQ181894>4289;7p}>8183>7}:91?1>9j4=0:a>4573ty:4?4?:3y>5=0=:=n01<66:013?xu60>0;6?u219:961b<58226<=>;|q102<72;q6=57525f894>f289:7p}=4983>7}:91k1>9j4=0:a>4563ty9844?:3y>5=d=:=n01<6l:012?xu58g83>7}:90:1>9j4=0;2>4563ty98o4?:3y>5<7=:=n01<6>:012?xu5;|q2<6<72;q6=5=525f894>328997psm1d094??=l3;>w)i6ko0;66g=fc83>>o2j3:17d?j3;29?l7f:3:17d=l0;29?l7dm3:17d;3:17o?k5;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb0f5>5<4290;w)=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd6l00;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th:hl4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo?kb;297?6=8r.9jn4=509K7465<o6=44}c3gg?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f4bb29086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e9l:1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th:i<4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17pl>d083>6<729q/>km55b9K7465<o6=44}c3g7?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f4b3290?6=4?{%0eg?42;2B8==5f12294?=n9:;1<75f12094?=h:=n1<75rs0ae>5<5sW;hj63>d5810a=z{;li6=4={_0ef>;6lj0:?=5rs4`94?3|Vdd8275=:9l:1=>>4}r3f7?6=;rT:i>521e79567<58nn6<=>;|q2e7<72:qU=l<4=0f5>45634;o47?<0:p7f6=839pR>m?;<3g2?74827:i=4>309~w4eb2908wS?le:?2`=<6;816=i=51238yv4a03:1>vP=f99>5a7=9::0q~?63;296~X61:16=i=51228yv7c83:1>v3>d4810a=:9mh1=>>4}r3g6?6=:r7:h;4=4e9>5a?=9::0q~?k7;296~;6l1098i521e;956752z?2`<<5v3>d`810a=:9mh1=>?4}r07=?6=:r7:ho4=4e9>5ae=9:;0q~<;a;296~;6lj098i521e6956652z?2``<5v3>e1810a=:9l;1=>?4}r07f?6=:r7:i<4=4e9>5a7=9:;0q~<;c;296~;6l8098i521e6956752z?2`6<5??;h31b?6=3f8?h7>5;|`1b7<72=0;6=u+2ga925=O;8:0e<=?:188m4562900e<==:188k72c2900qo?6b;290?6=8r.9jn490:J055=n9::1<75f12394?=n9:81<75`25f94?=zj8k;6=4<:183!4ak38>>6F<119j566=831b=>?50;9l61b=831vn>8m:180>5<7s-8mo7;l;I124>o6;90;66g>3083>>i55;h305?6=3`;8>7>5;h307?6=3f8?h7>5;|`2fd<72=0;6=u+2ga9605<@:;;7d?<0;29?l7493:17d?<2;29?j43l3:17pl>a283>1<729q/>km5619K7465<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900qo5<o6=44}c00=?6=:3:1479K7465<52;294~"5nj0:8;5G3028m44a2900c?:k:188yvg6290:?vPn1:?1b24n8:?172d><583>6l64=0g0>d><58k:6l64=0g4>d><58=n6l64=0af>d><5<81m5522g29e==:9031m55218g9e==:;?31m55rs074>5<5sW;>;63<6c8274=z{83h6=4;{_3:g>;6j10:5n5218`9566<5;l?6<=?;|q202<72;qU=994=075>de52z\23d=:9>h1mi5rs0;f>5<5sW;2i63>9d812a=z{:?j6=4={_16e>;4010:?=5rs9d94?>|V1l01?h8:0d5?84a838ni63>9881a`=:90o1>hk4=24:>7`134;i476i;<3b7?74:2wx=l?50;1xZ4g634;j=7<9d:?2ec<6i81v>7;:180[5><27:5?4<959>5<1=9;l0q~?:c;292~X6=j16>k951g5894d?28?h70;4010:??521`195677>55z\0a7=:9k91mn521`d97`4<58l86>k=;<1;50;1xZ6e734;n>7=l0:?2a0<6:o1v<9j:180[70m27:;h4=6e9>5<4=9>o0q~?j7;297~X6m>16=h9527f894`428o<7p}=a783>7}Y:h<01>67:012?xu40?0;6?uQ394896>>2;>o7p}>9883>7}Y90301<76:34g?xu6kl0;6>uQ1bg894eb2;{t=;0;6?uQ539>17<5>m1v8l50;cxZ0d<5;l<670c34;i47;m;<3bb?3e34;m?7;m;<3:6?3e34;n>7;m;<0e6?74827:m=4>319~w60>2909wS=99:?02<<5>m1v?hn:18184a?38>j63>b`8277=z{8o36=4={<3f3?gd34;m?7?j8:p6cd=83=p1?h8:342?87fn38mn63>f281bg=:9081>kl4=0g1>7`e34;287?<0:?1b1<6;81v>68:18185?13;9j63<89810a=z{8im6=4={<3`a?gd34;n>7?lf:p5g2=839p1{t:o:1<78t=3d4>4`?348m<7<9d:?2f=<5n916=4l51208960e289;70?n3;304>{t:o;1<7d?<5;l96?:k;|q213<72=q6>k951g;894312;;6n:0:9;5rs0c7>5<5s4;j=7ol;<3bb?7f<2wx?9:50;0x97`02;<870;=:`a8yvg02908w0o8:34g?83e2h3015d6=:=n0q~?66;296~;61<0jo63>96810a=z{8h26=4={<3a;6io0:n>521g195g554z?172<5>m169o4=f79>5<3=i016=h=5a89~w4?22909w0?65;05`>;61;0:585rs05e>5<5s4;;61;0:m?521d095d4<58k86?:k;|q2=6<72:q6=4<5181894c5283870?64;07`>{t;?k1<7d?<5:5e4810a=z{8o86=4={<3f7?41l27:i?4>e29~w7`42909w0;5n=098i5rs3d;>55c5=:o201<7=:3d;?87b:38m463>b`8275=:90>1=>?4}r0e=?6=5d`=:o30170b34;2n7?<1:&1a<<6?m1e>h651:~f635290::7=k:40xH67528qC=ko4}M127?4|f:l>6:64$2d7>3=z,;lh6<;n;[0f3?7|:k0vc<;8:188m6be2900c>;i:188m61d2900c<:8:188ma>=831b?o850;9l521=831b554?::k2e4<722c297>5;n1:0?6=3`;247>5;h:6>5<5<5<5<5r$3d`>44e3`k36=44o37a>5<5<5<5<6=44i0d5>5<5<5km51c`8m4`22900e2900elo50;9l60d=831d>8j50;9l60`=831d>;?50;9l635=831d>;:50;9l633=831ijl4?:282>6}K;881=vF>f`9~ 7`d2o30elm50;9l63b=831bm54?::`4>5<4290;w){%30a?5a82wb594?::kbg?6=3f8=h7>5;|qbv38:34g?[41l2wx>9650;0xZde<5>0jo6srb023>5<42808wA=>2;3xL4`f3t.9jn4i9:kbg?6=3f8=h7>5;hc;>5<0;6>4?:1y'6ce=n<1C?<>4L231>4}#9:o1?k>4}h;7>5<<2wx>9950;0x92<5>m1U>;j4}r074>:2yO744=9rB:jl5r$3d`>c?5<7s-8mo7h:;I124>J49;0:w)?{n1=0;66gnc;29?j41l3:17p}n8;296~Xf027<64:4}r073?6=:r7<6?8k;_05`>{t:=21<74<4sE9:>7?tH0db?x"5nj0m56gnc;29?j41l3:17do7:188f2<72:0;6=u+2ga9b0=O;8:0@>?=:0y'56c=;o:0qd7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<7uC30095~N6nh1v(?hl:g;8mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:N057<6s-;8i7=i0:j=1<722cjo7>5;n05`?6=3tyj47>52z\b<>;020>0q~<;7;296~;02;=838pRlm4=68bg>{zj8;>6=4<:080I56:3;pD5;n05`?6=3`k36=44b683>6<729q/>km5f49K74652z\bg>;02hi0qpl>1983>6<62:qG?<<51zJ2bd=z,;lh6k74i`a94?=h:?n1<75fa983>>d029086=4?{%0eg?`23A9:<6B<1382!74m39m?6sf9583>>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f46f290>6>48{%0eg?77i2c9:>4?::k2b3<722c:j54?::k2b<<722e:<<4?::`243<72=0;6=u+2ga925=O;8:0e<=?:188m4562900e<==:188k72c2900qo??3;290?6=8r.9jn490:J055=n9::1<75f12394?=n9:81<75`25f94?=zj8:>6=4<:183!4ak38>=6F<119j566=831b=>?50;9l61b=831v?8<:180[41;27:<;4>339>555=9:80q~?i6;297~X6n?16==8512289464289;7p}>f983>7}Y9o201<>9:012?xu6n00;6?uQ1g;89464289:7p}>0083>7}Y99;01<>::36g?xu68;0;6?u2114961b<58:>6<=?;|q246<72;q6===525f89462289:7psm10394?0=;32p(?hl:032?l41;3:17d?i8;29?l7a13:17d?i6;29?l4193:17b??c;29?g7683:187>50z&1bf<192B8==5f12294?=n9:;1<75f12094?=h:=n1<75rb02f>5<4290;w)1<7>t$3d`>7343A9:<6g>3183>>o6;80;66g>3383>>i50;306>;68l0:?=5rs0d;>5<5sW;m463>118274=z{8l26=4={_3e=>;6990:?=5rs0d5>5<5sW;m:63>0d8274=z{;<:6=4={_055>;68o0:??5rs02`>5<5sW;;o63>0g810a=z{8:o6=4={<324?43l27:319~w46b2909w0??e;07`>;68o0:?<5r}c314?6=>3>15v*=fb8265=n:??;h304?6=3`;8=7>5;n07`?6=3th:=o4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo?>d;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb03f>5<2290;w)N4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~w73e290?wS<:b:?25c<6;816=f683>7}Y9o=017}Y:??011883>7}Y983011b83>7}:98n1>9j4=03f>4543twi=<950;794?6|,;lh6;=4H233?l7483:17d?<1;29?l74:3:17d?<3;29?j43l3:17p}>f483>6}Y9o?01<6s|1g494?3|V8l=70??a;3e2>;6980:j;5213295c0<58;<6<=?;|q2b2<72:qU=k94=003>4`034;:;7?<3:p5c>=839pRf99~w4`>2908wS?i9:?24d<6n016=d><58:;6l64=02a>d><58;96l64=037>d><58;>6l64=03;>d>n7>54z\11g=:9821>;j4=003>73e34;:;7?<1:p60b=839pR?;k;<320?gd34;:97<9d:p60`=839pR?;i;<326?gd34;:87<9d:p637=839pR?8>;<326?41l27:=<4=609~w704290?wS<93:?24g<5>m16==o5271894762;<87p}=6583>6}Y:?>01ko5ab9>556=:?n0q~<95;297~X5><16jl4=6e9>576=:??0q~??1;296~;6890jo63>0`8244=z{8:h6=4={<33f?gd34;:=7??c:p540=838p1o7p}>1883>7}:9821mn52132954?52z\b<>;6:10jm6s|24`94?4|V;?i70?=8;06f>{t:h6s|24d94?4|V;?m70?=8;06b>{t:?;1<7{t:?>1<770?=8;051>{t9o?1<7{t9o=1<7{t9o31<7?=:0yK5cg6=z,;lh6?><;hc`>5<50z&1bf>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f4d729086<4<{M126?7|@8lj7pB<1282!5a<390q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk;m87>53;397~J49;0:wE?ia:O745=9r.8j94<;|&1bf<58:1bmn4?::m12a<722cj47>5;c594?5=83:p(?hl:g78L6773`3?6=44i`a94?=h:?n1<75rs`:94?4|Vh201:464:p611=838p1:4=6e9]63b52z\bg>;02hi0qpl<0c83>6<52=qG?<<51zJ2bd=zD:;86{#:oi1>>d029086=4?{%0eg?`23A9:<6g64;29?lgd2900c?8k:188ygge29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rs`:94?4|Vh201ll513d8yv43?3:1>v38:8689dd=:=n0q~<;8;296~;02;{zjo:1<7=52;6xH67528qC=ko4}M127?7|,:l?6>5r$3d`>77a3`kh6=44o34g>5<479K7465<7}:?33?70om:36g?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}cfa>5<02;0iwA=>2;3xL4`f3tF8=>47{%1e0?5<,:l96>:k;%164?5a>2.8in4=6e9'7`>=i11e?h:50:&0a`<5ml1e?h851:'6ce=9ol0V4=51z0f>x\5m>09w>>5328~m7ca2900el650;9j6`c=831d>:950;&12g<5??1e>;o50:9l623=83.9:o4=779m63g=921d>::50;&12g<5??1e>;o52:9l63c=83.9:o4=779m63g=;21i;7>5b;79g~J49;0:wE?ia:O745=?r.8j?4<4g9'7`g=ij1/?hm527f8 6c?2h20b>k;:19'7`c=:lo0b>k9:09~ 7`d2;:o7W7<:3y1a?4d2tP9i:4={2296<k09;;5a27c94>=h:>?1<7*=6c8133=i:?k1=65`26694?"5>k09;;5a27c96>=h:?o1<7*=6c8133=i:?k1?65fb783>!41j3h>7c<9a;28?ld3290/>;l5b49m63g=921bn>4?:%05f?d23g8=m7<4;hcg>5<#:?h1n85a27c97>=e?3:197:58zN057<6sA;mm6sC3019=~"4n;088k5+3dc9ef=#;li1>;j4$2g;>d>76a3`k36=44i`a94?=h:?n1<75f2g494?=n:lo1<75m7;297?7=;rF8=?4>{I3ee>{#:oi1>=<4i`a94?=h:?n1<75fa983>>d029086=4?{%0eg?`23A9:<6g64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|a77`=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd44?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3th26=4=:183!4ak3;?:6F<119j57`=831d>9j50;9~wd>=838pRl64=8826c=z{hi1<77}Y:?n01:4=6e9~w7`12909wSk4>319~w=<72;q6;7o7;<;961b52z?06c<6;816?9l525f8yv55m3:1>v38:`a8964a2;>o7psma;290?5=>rF8=?4>{I3ee>{K;891;v*5=#;lo1>hk4n2g5>4=z,;lh6???;hc;>5<{I3ee>{#:oi1>=<4i`a94?=h:?n1<75fa983>>d029086=4?{%0eg?`23A9:<6g64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|a77`=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd>29096=4?{%0eg?73>2B8==5f13d94?=h:=n1<75rs`:94?4|Vh20144>2g9~wde=838pRlm4=20e>4563ty9:i4?:3y]63b<5>09:i5rs3gf>5<5sW8ni63<2g8275=z{10;6?u27;c;?8?=:=n0q~==e;296~;02hi01>2}#;o81?9h4$2gb>de<,:oh6?8k;%1f4$2gf>7cb3g9n:7?4}%0eg?4682cj47>5;hc`>5<5<0;6>4>:2yO744=9rB:jl5r$3d`>7653`kh6=44o34g>5<{t:=21<75<7s-8mo7;k;I124>o6;90;66g>3083>>i5479K7465<52z\1a`=:;;l1=>>4}r:94?4|5>0j4636:36g?xu4:l0;6?u27;c`?855n38?h6srb3494?2=;3?=:0yK5cg62a3-9nm7ol;%1fg?41l2.8i54n8:l0a1<73-9ni75;n05`?6=3`8ni7>5;c594?5=939p@>?=:0yK5cg5;hc;>5<0;6>4?:1y'6ce=n<1C?<>4i8694?=nij0;66a=6e83>>{ti10;6?uQa99>3??33ty98:4?:3y>3?41l2T9:i5rs36;>5<5sWkh7095ab9~yg55n3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj00;6?4?:1y'6ce=9=<0D>??;h31b?6=3f8?h7>5;|qbvPnc:?06c<6;81v?8k:181[41l27<6?8k;|q1a`<72;qU>hk4=20e>4573ty36=4={<59e==:138?h6s|33g94?4|5>0jo63<2g810a=zuk853;294~"5nj099<5G3028m4572900e<=>:188k72c2900q~<5>0j463n:`:894e=i116>;4n8:p6`c=839pR?kj;<596`c<5;=m6<=?;|q13`<72=q6m7h650:p623=838pR?9:;<3`>70c3-8n57<86:l1a=<63ty9;94?:3y]622<5h09:i5+2d;96205<5sW8=i638:34g?!4b138<:6`=e980?xue>3:1>vPm6:?12?gd3-8n57l:;o0fh5m1097p}nd;296~Xfl27<6lm4$3g:>g35r}c00e?6=03<1mvB<1382M7ai2wG?<=55z&0b7<4<01/?hl5bg9'7c>=i2.8ii4=d79'7c1=?2w/>km51ga8^<5=:r8m6?j5}[0f3?4|;809m7s`2ed94?"5>k09hh5a27c94>=h:mn1<7*=6c81``=i:?k1=65`2ea94?"5>k09hh5a27c96>=h:m=1<7*=6c81``=i:?k1?65fc983>!41j3i<7c<9a;28?le1290/>;l5c69m63g=921bo84?:%05f?e03g8=m7<4;ha3>5<#:?h1o:5a27c97>=e::o1<7=51;1xH67528qC=ko4}%0eg?4592cih7>5;h`f>5<5<42:0>w)oel3:17dlj:188k7b12900n>?6:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`05g<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xuel3:1?vPmd:?05<<6;816?309~w7b12909wS?7:181856138?h63<1c8275=z{:;26=4={<12e?43l278=o4>309~yvdc2909wSlk;<12g?dc3tyii7>52z\aa>;49j0ii6s|2e494?4|V;n=70=>c;0g2>{zj;9m6=4<:080I56:3;pD5;c12g?6=;3919v*=fb805f=njm0;66gme;29?j4c>3:17o=>9;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23b>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{tjm0;6>uQbe9>74?=9:;01>?n:013?xuem3:1?vPme:?05<<6;916?3:1>vP=d79>74d=:=n0q~=>8;296~;490098i5230`956652z?05d<5vPmd:?05f5<5sW8o:63<1b81`3=zuk8?<7>53;397~J49;0:wE?ia:'6ce=:;;0eoj50;9jf`<722e9h;4?::`05f<72:0868u+2ga974e>i5l?0;66l<1883>6<729q/>km55e9K7465<o6=44}c12e?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67e29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{kn1<7=t^cf8967>289:70=>a;304>{tjl0;6>uQbd9>74?=9::01>?n:012?xu5l?0;6?uQ2e48967e2;>o7p}<1983>7}:;831>9j4=23a>4573ty8=44?:3y>74g=:=n01>?m:012?x{tjm0;6?uQbe9>74e=jm1vok50;0xZgc<5:;h6ok4}r0g2?6=:rT9h;5230a96a0k4?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo=<1;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb3g5>5<5290;w)N4991b=?h50;9l61b=831v>;4;80:?<5rs213>5<5s48?<7lk;<105?43l2wx>ih50;0xZ7ba348?<7h650:p6ab=838pR?jk;<00b?4c>2.9i44=dd9m6`>=92wx>im50;0xZ7bd3488i7h652:p6a1=838pR?j8;<0f2?43l2.9i44=dd9m6`>=;2wxo54?:3y]g==::=:1nh5+2d;9g2=i:l21<6s|c783>6}Yk?16>>h5bd9>767=9::0(?k6:b58j7c?281vn;50;1xZf3<5;9n6ok4=20e>4573-8n57m8;o0f;5m?0:>k5+2d;9g2=i:l21?6srs3ge>5<5sW8nj638:3ge?xuf03:1>vPn8:?4>d>52z\1a`=:?38ni6s|26594?5|V;=<70952658975f2j20(?k6:355?k4b03:0q~<85;297~X5?<16;7<85:?17d2.9i44=779m6`>=92wx>::50;1xZ71334=1>::4=31b>f3<,;o26?99;o0f53z\12`=:?38=i63=3`8`4>"5m009;;5a2d:97>{t;;=1<7;5;h09hk5+2d;97705<5s4=1n95222c96ab<,;o26><9;o0f52z?4>g5<5;9j6?jl;%0f=?55>2d9i54=;|q05c<72;q6;7ok;<00e?4c?2.9i44<279m6`>=;2wvn>j>:186>6<1sE9:>7?tH0db?xJ49:0jw)=i4;18 6`52:>m7)=ja;c`?!5bk38=h6*h4m=0:7)=j9;c:?k5b=3:0(>kj:3gf?k5b>3;0q)of03:17do6:188mde=831d>;j50;9j6`c=831i;7>55;091~J49;0:wE?ia:'6ce=:980elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K746>o><3:17dol:188k70c2900qo=>1;295?6=8r.9jn4>2d9K7465<5sWk37095959~wd?=838pRl74=68b4>{t:==1<744c34=1m?5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi??h50;094?6|,;lh6<:9;I124>o6:o0;66a=4e83>>{e;=<1<7=50;2x 7`d2;?97E=>0:k275<722c:?<4?::m10a<722wxm54?:3y]e==:?3k37p}n9;296~Xf12788;4>319~w70c2909wS<9d:?4>70c3ty9ih4?:2y]6`c<5:8m6<k4=4e9~w6222909w095a89>710=:=n0qpl>7683>1<52{#:oi1><<4i`a94?=h:?n1<75fa983>>o?<3:17o950;694?6|,;lh6k84H233?lg52900e4:50;9jef<722e9:i4?::aeg<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17p}n8;296~Xf027jn7?=f:p611=838p1:464:?bf?43l2wx494?:3y]<1=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk;>;7>54;091~J49;0:wE?ia:O745=9r.8j94<;|&1bf<59;1bmn4?::m12a<722cj47>5;h:7>5<0;694?:1y'6ce=n?1C?<>4i`094?=n1=0;66gnc;29?j41l3:17plnb;296?6=8r.9jn4>479K7465<7}:?33?70om:36g?xu?<3:1>vP74:?4>d452z?4>70c3W8=h6s|25;94?4|Vhi01:4nc:~f4dd29086<4<{M126?7|@8lj7pB<1282!5a<390q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk;?;7>53;397~J49;0:wE?ia:O745=9r.8j94<;|&1bf<58:1bmn4?::m12a<722cj47>5;c594?5=83:p(?hl:g78L6773`3?6=44i`a94?=h:?n1<75rs`:94?4|Vh201:464:p611=838p1:4=6e9]63b52z\bg>;02hi0qpl:2;297?7=;rF8=?4>{I3ee>{K;891=v*5fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7d7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<7?<:0y'7c2=;2w/>km520d8mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:k:0?6=3`kh6=44o34g>5<9j50;9~wd>=838pRl64=``957`52z?4><2<5hh1>9j4}r07{t:=31<7h;:29~ 7`d2;;87dol:188k70c2900el650;9a3?6=;3:1N4991G?<<51z&27`<4n91ve4:50;9jef<722e9:i4?::pe=<72;qUm5527;;7?xu5<>0;6?u27;05`>X5>m1v?:7:181[gd34=1mn5r}c16b?6=;3818vB<1382M7ai2wG?<=51z&0b1<43t.9jn4=1g9jef<722e9:i4?::kb??;h;7>5<=7E=>0:k26c<722e98i4?::pe=<72;qUm552ac826c=z{;><6=4={<59=1=:ik098i5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi?4:50;196?2|D:;96h;:29~ 7`d2;;m7dol:188k70c2900el650;9a3?6=;3:1N4991b594?::kbg?6=3f8=h7>5;|`bf?6=:3:172c3ty9854?:3y>3?41l2T9:i5rs36:>5<5sWkh7095ab9~yg5d83:1?7<54zN057<6sA;mm6sC30195~"4n=087p*=fb815c=nij0;66a=6e83>>of03:17o950;194?6|,;lh6k;4H233?l?32900elm50;9l63b=831vnll50;094?6|,;lh6<:9;I124>o6:o0;66a=4e83>>{ti10;6?uQa99>eg<6:o1v?:8:18181=1=16mo4=4e9~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psm1b694?0=;32p(?hl:0a7?j7en3:17d?l7;29?l7el3:17d?ld;29?l7d=3:17d?mc;29?g7d;3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj8i:6=4<:183!4ak3?o7E=>0:k275<722c:?<4?::m10a<722wi=n<50;694?6|,;lh6?;<;I124>o6;90;66g>3083>>o6;;0;66a=4e83>>{t9kl1<7{t9kn1<7{t9j?1<7{t9j:1<772c34;h>7?<0:p5f7=838p1:36g?87d:3;8>6srb070>5i6c683>>o6<10;66g>c983>>o6k00;66g>c`83>>o6kk0;66g>4`83>>o6k<0;66g>4683>>d6=;0;6>4?:1y'6ce==j1C?<>4i013>5<5<53;294~"5nj0>h6F<119j566=831b=>?50;9l61b=831vn<;?:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i5>7?<0:p51>=838pR<:7;<366?7492wx=n650;0xZ4e?34;>=7?<1:p5f?=838pR=7?<3:p5fd=838pR<7?<1:p51b=838p1<;=:36g?87293;8<6s|15g94?4|58>n6?:k;<365?74<2wx=9h50;0x94372;>o70?:1;301>{zj=831b=n750;9j5fg=831b=nl50;9j5f3=831b9?4?::`6=?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f01=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd203:1;7>50z&1bf<5=>1C?<>4i013>5<5<5<6=44o36g>5<6=4={_76?83?2;>o7p}>c683>7}Y9j=018751228yv7303:1>vP>499>1<<6;81v47?<1:p5f?=838pR4553ty:ol4?:3y]5fg<5<21=>=4}r3`f?6=:rT:oo52598271=z{8i>6=4={_3`1>;2?3;8<6s|5383>7}Y=;169:4>309~w00=838p187525f890>=9::0q~;8:1818302;>o70;7:016?x{e;1l1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::aa`<72:0;6=u+2ga91a=O;8:0e<=?:188m4562900c?:k:188yg7e:3:1?7>50z&1bf<5=81C?<>4i013>5<5<0:k275<722c:?<4?::m10a<722wii94?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo=k6;290?6=8r.9jn4:f:J055=n9::1<75f12394?=n9:81<75`25f94?=zj8=26=4;:183!4ak38>86F<119j566=831b=>?50;9j564=831d>9j50;9~f6>229086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=zjm?1<7=50;2x 7`d2;?:7E=>0:k275<722c:?<4?::m10a<722wiii4?:483>5}#:oi1:85G3028m4572900e<=>:188m4552900e<=<:188k72c2900qok9:186>5<7s-8mo789;I124>o6;90;66g>3083>>o6;;0;66g>3283>>i50<729q/>km5659K7465<5<o6=44}c1gN4991b=>>50;9j567=831b=><50;9j565=831d>9j50;9~f6>d29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e;0;1<7=50;2x 7`d2;?:7E=>0:k275<722c:?<4?::m10a<722wi?5k50;194?6|,;lh68m4H233?l7483:17d?<1;29?j43l3:17plja;297?6=8r.9jn4=509K7465<o6=44}c3aa?6=<3:1N4991b=>>50;9j567=831b=><50;9l61b=831vn5<7s-8mo7<:8:J055=n9::1<75f12394?=n9:81<75f12194?=n9:>1<75f12794?=n9:<1<75`25f94?=zj8>26=4<:183!4ak3?h7E=>0:k275<722c:?<4?::m10a<722wi=8;50;194?6|,;lh6?;>;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`g5?6=<3:1N4991b=>>50;9j567=831b=><50;9l61b=831vni950;794?6|,;lh6?;:;I124>o6;90;66g>3083>>o6;;0;66g>3283>>i56<729q/>km55b9K7465<o6=44}c155?6=<3:1N4991b=>>50;9j567=831b=><50;9l61b=831vn>8::185>5<7s-8mo7<:6:J055=n9::1<75f12394?=n9:81<75f12194?=n9:>1<75`25f94?=zj:3j6=4;:183!4ak3<;7E=>0:k275<722c:?<4?::k277<722e98i4?::a7t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd4i;0;6;4?:1y'6ce=:<<0D>??;h304?6=3`;8=7>5;h306?6=3`;8?7>5;h300?6=3f8?h7>5;|`0=`<72:0;6=u+2ga91f=O;8:0e<=?:188m4562900c?:k:188yg5d>3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj:ih6=49:183!4ak38>:6F<119j566=831b=>?50;9j564=831b=>=50;9j562=831d>9j50;9~f6e?29086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e;9n1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::a7ag=8381<7>t$3d`>4213A9:<6g>2g83>>i5k4?::m10a<722wi?;=50;094?6|,;lh6<:9;I124>o6:o0;66a=4e83>>{e;h:1<7<50;2x 7`d28>=7E=>0:k26c<722e98i4?::a7fg=8381<7>t$3d`>4213A9:<6g>2g83>>i5;6=>09:i52147956752z\0`g=:;9n1=?h4}r16b?6=52z\03f=:9>31=>?4}r373?6={t;k<1<7;6?>09:i5214795662hi01>>m:`:89c6=i116ho4n8:?0`4bc8b<>;>13k370?m0;c;?87a<3k370?mc;c;?873?3k370;=:`:896>42h201nh5a99>70`=i116?4:5a99>7f6=i11v>7;:180[5><278594=6e9>72290>wS6:;<3af?7a?2784h4>319>5gc=9:;01<:6:012?xu4k90;6>uQ3b2896e72;{t9l<1<710;6?uQ37:8943>288m7p}<8283>7}Y;1901>6<:34g?xu40h0;6?uQ39c896>a2;>o7p}:2;297~X2:27>>7<9d:?6e?353ty8h<4?:2y]7a7<5:n:6?8k;4573tyno7>52z?fa?74927nh7<;d:p=<<72>q6=ol51g489;4>80:?=5238c956653z?2f5<5>m16=o<5122896?f289:7p}k4;296~;dn3kh70j::36g?xuc>3:1>v3k7;07`>;c;3;8=6s|16:94?4|58=<6lm4=05:>72c3ty85=4?:3y>7<7=:=n01>6j:012?xu48k0;6?u231`963b<5:n=6<==;|q025<72;q6?;?525f89602289;7p}7}:;ji1>9j4=2a;>4563tyhj7>53z?`b?41l2785<4>319>`6<6;91v>m::18185d>38?h632g9~wc6=838p1k>527f89`2=9:;0q~?:4;296~;6=<098i5215`95677cb34o96?:k;|qf7?6=;r7on7309>ad<58;:181851=38?h63<62826c=z{:n>6=4={<1g5?4bm278h;4=4e9~w43?2909w0?:7;c`?872138?h6s|38;94?5|5:3j6?:k;<1b6?748278on4>319~w6?e2909w0=6c;07`>;4i;0:?<5rs2;g>5<5s492i7<;d:?0e5<6:o1v>6;:18185?;3kh70=75;07`>{t9o>1<7=t=0d7>70c34;i>7?<1:?g5?7492wxio4?:3y>a`<5bc82b0=:9k81>9j4=2:`>45734;ii7?<2:?20<<6;916?;?51238yvb72909w0j::013?8b62;>o7p}k2;296~;c=3;8=63k3;07`>{t9kl1<7de<58i?67}:;1l1=?h4=2:f>72c3ty:o84?:5y>5f2=9j?01<;<:0a6?83f28i>70?l6;07`>{t;9i1<7de<5::o6?:k;|q20f<72;q6=995ab9>505=9=i0q~;::1818352hi018o5549~w`3=839p1h8525f89`g=9::01>jn:00e?xub?3:1>v3j8;07`>;bi3;8=6s|1b594?>|58hi6?;m;<3`0?7d?27:9>4>c69>1d<6k>16=n8512289a7=9:801>8>:011?85>i3;8>6s|1b:94?>|58hi6?;k;<367?7d027>m7?l8:?2g3<6;816h:4>319>733=9:;01>7l:012?85d>3;8=6s|1b;94?>|58hi6?;i;<367?7d127>m7?l9:?2g3<6;;16h:4>309>733=9:801>o=:011?85dk3;8>6s|1bc94??|58hi6?8>;<367?7di27>m7?la:?0<0<6;916=n8512189a1=9:801>8::010?85f:3;8?634>cc9>1d<6kk16?5;5123894e1289?70j8:010?851=3;8863:4}r3`g?6=:r7:no4=659>5f0=9:?0q~?ld;297~;6jk09:8521b695fb<58i=6<=9;|q027<72;q6?8h5ab9>735=:=n0q~=l9;296~;4k90jo63349om7<;d:p7d7=838p1>o=:36g?85>m3;8=6s|38d94?4|5:3?6lm4=2c3>72c3ty:ni4?:3y>5f2=9kn01u21ca963b<58i?6370;n:06;?873138?h6s|15c94?4|58?86<:n;<37f?43l2wx?i950;0x96b1289;70=k8;07`>{tm90;68u2dc8132=:mm0:?>52e78276=:m10:?>523e:9567<,;o26ih4n3g;>5=z{mo1<7;t=e`9623<5ln1=><4=d49564<5l21=>?4=2f;>4543-8n57ji;o0f71334oo6<=>;45634o36<=?;<1g55z?gf?41m27nh7?<0:?f2?74827n47?<2:?0`=<6;;1/>h75dg9m6`>=;2wvn>:l:18;>4?=:oqG?<<51zJ2bd=zD:;86?ua3g79<6=#;o>1:6s+2ga9e6=]:l=1?v==:33951>i4j00;66a75;29?g74n3:1;7?57zN057<6sA;mm6sC30195~"4n=0;7p*=fb826f=ni10;66a=5c83>>i5=m0;66a=5g83>>i5>80;66g>f483>>o6n?0;66l>2983>25;hcb>5<5<5<3`kh6=44o34g>5<3d80b5=za0>1<75fab83>>i5>m0;66s|a983>7}Yi116;77;;|q102<72;q6;7<9d:\12a=z{;>36=4={_c`?81=ij1vqo??0;297?7=;rF8=?4>{I3ee>{#:oi1j45fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7A=>2;3x 45b2:l;7pg64;29?lgd2900c?8k:188yvg?2909wSo7;<59=1=z{;><6=4={<5963b7}Yij16;7ol;|abc<72<096;u+2ga9bc=nnh0;66g>f483>>o6n?0;66g>0183>>iaj3:17ohj:187>5<7s-8mo7;i;I124>o6;90;66g>3083>>o6;;0;66a=4e83>>{enm0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3tymm7>52z\ee>;al3;8<6s|1g794?4|V8l>70hj:013?xu6n?0;6?uQ1g489cc=9:;0q~??0;296~X68916jh4>339~wcd=838pRkl4=gf961b72c34lo6<=>;|a55g=83>1?78t$3d`>46f3`;m97>5;h334?6=3`lj6=44o022>5<0:k275<722c:?<4?::m10a<722wi===50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl>0483>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|q2b0<72:qU=k;4=025>45734;;?7?<1:p556=838pR<>?;<332?7492wxjl4?:3y]bd=:9991=>>4}r335?6=:rT:<<52117961b7>52z?243<5v3>02810a=:99?1=>?4}|`11f<72:0;6=u+2ga91`=O;8:0e<=?:188m4562900c?:k:188yg42m3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj;<;6=4<:183!4ak3?h7E=>0:k275<722c:?<4?::m10a<722wi>;<50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17p}ia;293~;ai38=h63if;db?877i3lj70<:c;305>;5=l0:?=522729567<5;<96<=>;|q245<72>q6==>527f89c`=99:01<>n:023?842k3;8<63=5d8274=::?:1=>>4=341>4573ty:j84?:2y]5c3<5ol1=k;4=02b>4`23ty:j;4?:3y]5c0<5ol1=k84}rcb>5<4sWkj70hn:`:894672h20q~<:b;296~X5=k16>8m525f8yv42l3:1>vP=5e9>60c=:=n0q~<:f;296~X5=o16>;>525f8yv4193:1>vP=609>634=:=n0q~hm:1818`f2hi01kh5fc9~w4662909w0??0;c`?877i3;;=6srs`:94?4|Vh201<<7:`c8yv42j3:1>vP=5c9>57>=:vP=5g9>57>=:816=?652738yv7a=3:1>vP>f49>57>=9o?0q~?i6;296~X6n?16=?651g48yxda83:197<55zN057<6sA;mm6sC30192~"4n=0;7)=i2;17b>"4mh0jo6*{#:oi1>=94Z8196~432;81qW;:ka7?6=,;5=h5>h0:76l8:180>4<4sE9:>7?tH0db?x"5nj09<>5fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7d7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<75<42808wA=>2;3xL4`f3t.9jn4=029jef<722e9:i4?::kb??;h;7>5<<2wx>9950;0x92<5>m1U>;j4}r07d><5h0j46s|26694?4|V;=?70o527f8 7c>2;=87cvP=6d9>3?41l2.9i44=729m6`>=92wxn>4?:3y]f6=:i3kh7)5<02;0iwA=>2;3xL4`f3tF8=>4n{%1e0?6<,:l96>:k;%164?5a>2.8in4=6e9'7`>=i11e?h:51:&0a<;%1fa?4bm2d8i;4>;|&1bf<5891Q5>4>{3g9y_4b?38p?=4<3;je=<722cj57>5;h0fa?6=3f8<;7>5$34a>7113g8=m7>4;n041?6=,;5$34a>7113g8=m7<4;n05a?6=,;:i;%1fe?gd3-9no7<9d:&0a=;%1f=?g>3g9n97?4$2gf>7cb3g9n:7?4}%0eg?46<2P2?7v=?:3;9ylg?2900el750;9j6`c=831d>:950;&12g<5??1e>;o50:9l623=83.9:o4=779m63g=921d>::50;&12g<5??1e>;o52:9l63c=83.9:o4=779m63g=;21bn;4?:%05f?d23g8=m7>4;h`7>5<#:?h1n85a27c95>=nj:0;6)<9b;`6?k41i3807dok:18'63d=j<1e>;o53:9a3?6==391;vB<1382M7ai2wG?<=59z&0b7<4k7:`:8j6c3281/?h75a89m7`3=92.8ih4=ed9m7`0=92w/>km52078md>=831bm44?::kbg?6=3f8=h7>5;h0fa?6=3k=1<7;52;7xH67528qC=ko4}%0eg?47:2cjo7>5;n05`?6=3`k36=44i`;94?=ni;0;66l8:186>5<7s-8mo7h8;I124>J49;0:w)?{ni90;66gn2;29?l?32900elm50;9l63b=831vn>?>:182>5<7s-8mo7?=e:J055=h9;n1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;c3?xu5<>0;6?u2303957b<5>0j>6s|25:94?4|5>09:i5Q27f8yv4313:1>vPnc:?4>dek4?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo=;6;297?6=8r.9jn4=509K7465<o6=44}rc;>5<5sWk37095a99~wd?=838pRl74=265>4563tyjo7>52z\bg>;4:o0:?<5rs34g>5<5sW8=h638:34g?xu5ml0;6>uQ2dg8964a289;70=;6;304>{t;;o1<7;4:o098i5rs266>5<5s4=1m452354961bs-9m>7=;f:&0ad=i11e?h:51:&0a<;%1fa?4bm2d8i;4>;|&1bf<59?1bm54?::kb=?6=3`kh6=44o34g>5<0<52=;hc`>5<t$3d`>c1<@:;;7A=>2;3x 45b2:l;7pgn0;29?lg52900e4:50;9jef<722e9:i4?::a747=83;1<7>t$3d`>44b3A9:<6a>2e83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02h:0q~<;7;296~;4980:>i527;c1?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}c11b?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f62129086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}rc`>5<5sWkh70==f;305>{t:?n1<77}:?3kh70==f;07`>{t;=?1<7;45<22:02;3xL4`f3tF8=>46{%1e6?53n2.8il4nc:&0af<5>m1/?h65a99m7`2=92.8i44n9:l0a0<63-9ni75;hc`>5<5<0;684=:4yO744=9rB:jl5r$3d`>7653`kh6=44o34g>5<>of:3:17o950;794?6|,;lh6k94H233?I56:3;p(<=j:2d3?xof83:17do=:188m<2=831bmn4?::m12a<722wi?i6:m0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:`28yv43?3:1>v3<10826a=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk99j7>53;294~"5nj0>h6F<119j566=831b=>?50;9l61b=831vn>:9:180>5<7s-8mo7<:1:J055=n9::1<75f12394?=h:=n1<75rs`:94?4|Vh201:4n8:pe<<72;qUm452354956777`=9::01>:9:013?xu4:l0;6?u27;c`?855n38?h6s|35794?4|5>0j563<47810a=zuk8=6=4::284I56:3;pDs-9m>7=;f:&0ad=i11e?h:51:&0a<;%1fa?4bm2d8i;4>;|&1bf<5911bm54?::kb=?6=3`kh6=44o34g>5<0<52=;hc`>5<t$3d`>c1<@:;;7A=>2;3x 45b2:l;7pgn0;29?lg52900e4:50;9jef<722e9:i4?::a747=83;1<7>t$3d`>44b3A9:<6a>2e83>>{ti10;6?uQa99>3??33tyj57>52z\b=>;02h:0q~<;7;296~;4980:>i527;c1?xu5<10;6?u27;05`>X5>m1v?:6:181[gd34=1mn5r}c11b?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f62129086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{h21<7?4}rc`>5<5sWkh70==f;305>{t:?n1<77}:?3kh70==f;07`>{t;=?1<7;45<2sWk37095a99>e?g?34;h6l64=349e==z{h31<7;t^`;89263<5ml1v?98:181[40?279:7<9d:&1a<<5??1e>h650:p623=838pR?9:;<3`>70c3-8n57<86:l1a=<63ty9;94?:3y]622<5h09:i5+2d;96205<5sW8=i638:34g?!4b138<:6`=e980?xue>3:1>vPm6:?12?gd3-8n57l:;o0fh5m1097p}nd;296~Xfl27<6lm4$3g:>g35r}c00e?6=03<1mvB<1382M7ai2wG?<=55z&0b7<4<01/?hl5bg9'7c>=i2.8ii4=d79'7c1=?2w/>km51ga8^<5=:r8m6?j5}[0f3?4|;809m7s`2ed94?"5>k09hh5a27c94>=h:mn1<7*=6c81``=i:?k1=65`2ea94?"5>k09hh5a27c96>=h:m=1<7*=6c81``=i:?k1?65fc983>!41j3i<7c<9a;28?le1290/>;l5c69m63g=921bo84?:%05f?e03g8=m7<4;ha3>5<#:?h1o:5a27c97>=e::o1<7=51;1xH67528qC=ko4}%0eg?4592cih7>5;h`f>5<5<42:0>w)oel3:17dlj:188k7b12900n>?6:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`05g<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xuel3:1?vPmd:?05<<6;816?309~w7b12909wS?7:181856138?h63<1c8275=z{:;26=4={<12e?43l278=o4>309~yvdc2909wSlk;<12g?dc3tyii7>52z\aa>;49j0ii6s|2e494?4|V;n=70=>c;0g2>{zj;9m6=4<:080I56:3;pD5;c12g?6=;3919v*=fb805f=njm0;66gme;29?j4c>3:17o=>9;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23b>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{tjm0;6>uQbe9>74?=9:;01>?n:013?xuem3:1?vPme:?05<<6;916?3:1>vP=d79>74d=:=n0q~=>8;296~;490098i5230`956652z?05d<5vPmd:?05f5<5sW8o:63<1b81`3=zuk8?<7>53;397~J49;0:wE?ia:'6ce=:;;0eoj50;9jf`<722e9h;4?::`05f<72:0868u+2ga974e>i5l?0;66l<1883>6<729q/>km55e9K7465<o6=44}c12e?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67e29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{kn1<7=t^cf8967>289:70=>a;304>{tjl0;6>uQbd9>74?=9::01>?n:012?xu5l?0;6?uQ2e48967e2;>o7p}<1983>7}:;831>9j4=23a>4573ty8=44?:3y>74g=:=n01>?m:012?x{tjm0;6?uQbe9>74e=jm1vok50;0xZgc<5:;h6ok4}r0g2?6=:rT9h;5230a96a0k4?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo=<1;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb3g5>5<5290;w)N4991b=?h50;9l61b=831v>;4;80:?<5rs213>5<5s48?<7lk;<105?43l2wx>ih50;0xZ7ba348?<7h650:p6ab=838pR?jk;<00b?4c>2.9i44=dd9m6`>=92wx>im50;0xZ7bd3488i7h652:p6a1=838pR?j8;<0f2?43l2.9i44=dd9m6`>=;2wxo54?:3y]g==::=:1nh5+2d;9g2=i:l21<6s|c783>6}Yk?16>>h5bd9>767=9::0(?k6:b58j7c?281vn;50;1xZf3<5;9n6ok4=20e>4573-8n57m8;o0f;5m?0:>k5+2d;9g2=i:l21?6srs`:94?4|Vh201:4n8:pe<<72;qUm4527;c:?xu5ml0;6?uQ2dg892<5ml1v?98:180[40?27<6?98;<00e?e?3-8n57<86:l1a=<73ty9;84?:2y]623<5>09;85222c9g3=#:l31>:84n3g;>4=z{;=?6=4<{_040>;02;=?70<l0;6>uQ27g892<5>l16>>o5c19'6`?=:><0b?k7:29~w6402909w095b79>66g=:ml0(?k6:205?k4b03:0q~==5;296~;02k>01?=n:3fg?!4b1399:6`=e982?xu4:=0;6?u27;`0?844i38oo6*=e88063=i:l21>6s|30d94?4|5>0jh63=3`81`2=#:l31??84n3g;>6=zuk8h47>55;091~J49;0:wE?ia:O745=9r.8j94?;|&1bf<5811bmn4?::m12a<722cj47>5;hc:>5<?>:182>5<7s-8mo7?=e:J055=h9;n1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;c3?xu5<>0;6?u2303957b<5>0j>6s|25:94?4|5>09:i5Q27f8yv4313:1>vPnc:?4>de4?:481>0}K;881=vF>f`9~H67428q/?k:50:'6ce=:920elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K746>o><3:17dol:188k70c2900qo=>1;295?6=8r.9jn4>2d9K7465<5sWk37095959~wd?=838pRl74=68b4>{t:==1<744c34=1m?5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi>?<50;d96?7dsE9:>7?tH0db?xJ49:03w)=i4;28 6`52:>o7)=:0;1e2>"4mj09:i5+3d:9e==i;l>1=6*h4m<0:7p*=fb8144=]1:0:w<;5}[0f3?4|9>0:57sfa983>>of13:17b<82;29 70e2;=:7c<9a;28?j4083:1(?8m:352?k41i3;07b<9f;29 70e2;=:7c<9a;08?j40l3:1(?8m:352?k41i3907b<8c;29 70e2;=:7c<9a;68?j40j3:1(?8m:352?k41i3?07b<8a;29 70e2;=:7c<9a;48?j4013:1(?8m:352?k41i3=07b<88;29 70e2;=:7c<9a;:8?j40?3:1(?8m:352?k41i3307b<85;29 70e2;=:7c<9a;c8?j40<3:1(?8m:352?k41i3h07b<9e;29 70e2;=:7c<9a;a8?g1=83;h6i4>czN057<6sA;mm6sC30193~"4n;088k5+3dc9ef=#;li1>;j4$2g;>d>v?::009y_4b?38p=:4>0;je=<722cj57>5;n046?6=,;;o05e?6<3f8<<7>5$34a>7163g8=m7?4;n05b?6=,;;o05e?4<3f85$34a>7163g8=m7=4;n04g?6=,;;o05e?2<3f85$34a>7163g8=m7;4;n04e?6=,;;o05e?0<3f8<57>5$34a>7163g8=m794;n04;o05e?><3f8<;7>5$34a>7163g8=m774;n041?6=,;;o05e?g<3f8<87>5$34a>7163g8=m7l4;n05a?6=,;;o05e?e<3`h:6=4+27`9f5=i:?k1<65fag83>!41j3h;7c<9a;38?lgb290/>;l5b19m63g=:21bnn4?:%05f?d73g8=m7=4;h`a>5<#:?h1n=5a27c90>=njh0;6)<9b;`3?k41i3?07dl6:18'63d=j91e>;o56:9jf=<72-8=n7l?;o05e?1<3`h<6=4+27`9f5=i:?k1465fb783>!41j3h;7c<9a;;8?ld3290/>;l5b19m63g=i21bn>4?:%05f?d73g8=m7l4;hcg>5<#:?h1n=5a27c9g>=e?3:197<55zN057<6sA;mm6s+2ga965>5}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{ei3:197<55zN057<6sA;mm6s+2ga965>5}#:oi1j:5G3028md6=831bm?4?::k:0?6=3`kh6=44o34g>5<51;294~"5nj0:>h5G3028k44c2900q~o7:181[g?34=1595rs`;94?4|Vh301:4n0:p611=838p1>?>:00g?81=i;1v?:7:18181=:?n0R?8k;|q10<<72;qUmn527;c`?x{e9j0;684=:4yO744=9rB:jl5r$3d`>76?3`kh6=44o34g>5<>of:3:17o950;794?6|,;lh6k94H233?lg72900el<50;9j=1<722cjo7>5;n05`?6=3th8=<4?:083>5}#:oi1=?k4H233?j75l3:17p}n8;296~Xf027<64:4}rc:>5<5sWk27095a19~w7202909w0=>1;31`>;02h80q~<;8;296~;02;{zj;<1<7;52;7xH67528qC=ko4}%0eg?4702cjo7>5;n05`?6=3`k36=44i`;94?=ni;0;66l8:186>5<7s-8mo7h8;I124>of83:17do=:188m<2=831bmn4?::m12a<722wi?i6:m0;66s|a983>7}Yi116;77;;|qb=?6=:rTj5638:`28yv43?3:1>v3<10826a=:?3k97p}=4983>7}:?38=h6P=6e9~w72>2909wSol;<59ef=zuk836=4::386I56:3;pD5;hc:>5<?>:182>5<7s-8mo7?=e:J055=h9;n1<75rs`:94?4|Vh201:464:pe<<72;qUm4527;c3?xu5<>0;6?u2303957b<5>0j>6s|25:94?4|5>09:i5Q27f8yv4313:1>vPnc:?4>de55;091~J49;0:wE?ia:'6ce=:920elm50;9l63b=831bm54?::kb=?6=3`k96=44b683>0<729q/>km5f69K746>o><3:17dol:188k70c2900qo=>1;295?6=8r.9jn4>2d9K7465<5sWk37095959~wd?=838pRl74=68b4>{t:==1<744c34=1m?5rs36;>5<5s4=1>;j4^34g?xu5<00;6?uQab9>3?gd3twi?84?:481>0}K;881=vF>f`9~ 7`d2;:37dol:188k70c2900el650;9je<<722cj>7>5;c594?3=83:p(?hl:g58L6773`k;6=44i`094?=n1=0;66gnc;29?j41l3:17pl<1083>4<729q/>km513g8L6773f;9h7>5;|qb2909wSo6;<59e5=z{;><6=4={<125?75l27<6l<4}r07{t:=31<7850;796?3|D:;965<2290;w)5;hc1>5<1<75fab83>>i5>m0;66sm30394?7=83:p(?hl:00f?M5682e:>i4?::pe=<72;qUm5527;;7?xuf13:1>vPn9:?4>d652z?054<6:m16;7o=;|q10=<72;q6;7<9d:\12a=z{;>26=4={_c`?81=ij1vqo=8:186>7<2sE9:>7?tH0db?x"5nj09<55fab83>>i5>m0;66gn8;29?lg>2900el<50;9a3?6==3:1N4991bm=4?::kb6?6=3`3?6=44i`a94?=h:?n1<75rb232>5<6290;w)N4991d=?j50;9~wd>=838pRl64=68:0>{ti00;6?uQa89>3?g73ty98:4?:3y>747=9;n01:4n2:p61>=838p1:4=6e9]63b52z\bg>;02hi0qpl<8;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psmb;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psmc;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7psmd;291?4==rF8=?4>{I3ee>{#:oi1>=64i`a94?=h:?n1<75fa983>>of13:17do=:188f2<72<0;6=u+2ga9b2=O;8:0el>50;9je7<722c287>5;hc`>5<9950;0x9676288o7095a39~w72?2909w09527f8Z70c3ty9844?:3y]ef=:?3kh7ps|a983>`}Yi116;7o7;:5a99>70d><5:21m552b;c;?8e=i116h7o7;|qb=?6=mrTj5638:`;89dd?<5;21m452358b=>;4=3k270=9:`;8961=i016?54n9:?a>d?<5j0j563k:`;8yv40:3:1>vP=739>`?41l2.9i44=709m6`>=82wx>:>50;0xZ71734i1>;j4$3g:>7163g8n47?4}r05b?6=:rT9:k52b;05`>"5m009;<5a2d:96>{t:>n1<7h5m1087p}=7b83>7}Y:>i01>9527f8 7c>2;=:7cvP=7c9>73<5>m1/>h752638j7c?2<1v?9n:181[40i27897<9d:&1a<<5?81e>h656:p62?=838pR?96;<17>70c3-8n57<81:l1a=<03ty9;54?:3y]62><5;21>;j4$3g:>7163g8n4764}r043?6=:rT9;:5227812a=#:l31>:?4n3g;><=z{;=>6=4={_041>;6k38=h6*=e88134=i:l21m6s|26694?4|V;=?70o527f8 7c>2;=:7cvP=6d9>3?41l2.9i44=709m6`>=k2wxn<4?:3y]f4=:l3kh7)7}Yil16n7ol;%0f=?d73g8n47<4}r``>5<5sWhh70=7:`a8 7c>2k:0b?k7:29~wgd=838pRol4=259ef=#:l31n=5a2d:90>{tjh0;6?uQb`9>7352z\a=>;4=3kh7)g6h658:pf3<72;qUn;52278bg>"5m00i<6`=e98:?xue<3:1>vPm4:?2g?gd3-8n57l?;o0fh75b19m6`>=j2wxmi4?:3y]ea=:?3kh7)4g=91099vB<1382M7ai2wG?<=55z&0b7<4<01/?hl5bg9'7c>=i2.8ii4=d79'7c1=?2w/>km51gf8^<5=:r;=6<=5}[0f3?4|910:=7s`2e`94?"5>k09hl5a27c94>=h:m31<7*=6c81`d=i:?k1=65`2e:94?"5>k09hl5a27c96>=h:l?1<7*=6c81`d=i:?k1?65`2d694?"5>k09hl5a27c90>=h:l91<7*=6c81`d=i:?k1965`2d094?"5>k09hl5a27c92>=h:l;1<7*=6c81`d=i:?k1;65`2d294?"5>k09hl5a27c9<>=h:ml1<7*=6c81`d=i:?k1565`2ef94?"5>k09hl5a27c9e>=h:mi1<7*=6c81`d=i:?k1n65`2e594?"5>k09hl5a27c9g>=nk=0;6)<9b;a0?k41i3:07dm=:18'63d=k:1e>;o51:9jg4<72-8=n7m<;o05e?4<3`in6=4+27`9g6=i:?k1?65fce83>!41j3i87c<9a;68?led290/>;l5c29m63g==21boo4?:%05f?e43g8=m784;hab>5<#:?h1o>5a27c93>=nk00;6)<9b;a0?k41i3207dm7:18'63d=k:1e>;o59:9jg3<72-8=n7m<;o05e?g<3`i>6=4+27`9g6=i:?k1n65fc183>!41j3i87c<9a;a8?g44m3:1?7?53zN057<6sA;mm6s+2ga9677>i5l?0;66l<1b83>6<42km530a8mgb=831bnh4?::m1`3<722h8=44?:283>5}#:oi19i5G3028m4572900e<=>:188k72c2900qo=>a;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23a>5<4290;w)N4991b=>>50;9j567=831d>9j50;9~wgb=839pRoj4=23:>456349:m7?<0:pf`<72:qUnh5230;9566<5:;j6<=>;|q1`3<72;qU>i84=23a>72c3ty8=54?:3y>74?=:=n01>?m:013?xu4900;6?u230c961b<5:;i6<=>;|pfa<72;qUni5230a9fa=z{ko1<74>:2yO744=9rB:jl5r$3d`>7463`ho6=44icg94?=h:m<1<75m30a94?5=;3?p(?hl:23`?ldc2900eok50;9l6a0=831i?<750;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl<1`83>6<729q/>km55e9K7465<o6=44}c12f?6=;3:1319~wgc=839pRok4=23:>457349:m7?<1:p6a0=838pR?j9;<12f?43l2wx?<650;0x967>2;>o70=>b;304>{t;831<772c349:n7?<1:~wgb=838pRoj4=23`>gb7}Y:m<01>?l:3f5?x{e:=:1<7=51;1xH67528qC=ko4}%0eg?4592cih7>5;h`f>5<5<42:0>w)oel3:17dlj:188k7b12900n>?6:180>5<7s-8mo7;k;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`05g<72:0;6=u+2ga9607<@:;;7d?<0;29?l7493:17b<;d;29?xuel3:1?vPmd:?05<<6;816?309~w7b12909wS?7:181856138?h63<1c8275=z{:;26=4={<12e?43l278=o4>309~yvdc2909wSlk;<12g?dc3tyii7>52z\aa>;49j0ii6s|2e494?4|V;n=70=>c;0g2>{zj;>:6=4<:080I56:3;pD5;c12g?6=;3919v*=fb805f=njm0;66gme;29?j4c>3:17o=>9;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb23b>5<4290;w)t$3d`>7363A9:<6g>3183>>o6;80;66a=4e83>>{tjm0;6>uQbe9>74?=9:;01>?n:013?xuem3:1?vPme:?05<<6;916?3:1>vP=d79>74d=:=n0q~=>8;296~;490098i5230`956652z?05d<5vPmd:?05f5<5sW8o:63<1b81`3=zuk8?>7>53;397~J49;0:wE?ia:'6ce=:;;0eoj50;9jf`<722e9h;4?::`05f<72:0868u+2ga974e>i5l?0;66l<1883>6<729q/>km55e9K7465<o6=44}c12e?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67e29086=4?{%0eg?4292B8==5f12294?=n9:;1<75`25f94?=z{kn1<7=t^cf8967>289:70=>a;304>{tjl0;6>uQbd9>74?=9::01>?n:012?xu5l?0;6?uQ2e48967e2;>o7p}<1983>7}:;831>9j4=23a>4573ty8=44?:3y>74g=:=n01>?m:012?x{tjm0;6?uQbe9>74e=jm1vok50;0xZgc<5:;h6ok4}r0g2?6=:rT9h;5230a96a04?:282>6}K;881=vF>f`9~ 7`d2;8:7dlk:188mgc=831d>i850;9a74e=8391?7;t$3d`>67d3`ho6=44icg94?=h:m<1<75m30;94?5=83:p(?hl:4f8L6773`;8<7>5;h305?6=3f8?h7>5;|`05d<72:0;6=u+2ga91a=O;8:0e<=?:188m4562900c?:k:188yg56j3:1?7>50z&1bf<5=81C?<>4i013>5<5<289;70=>a;305>{t:m<1<7o70=>b;305>{z{kn1<7i84=23`>7b13twi>9:50;195?5|D:;964:{%0eg?56k2cih7>5;h`f>5<5<4290;w)t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd49k0;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3tyih7>53z\a`>;4900:?<5230c9566;49k098i5rs23;>5<5s49:57<;d:?05g<6;91v>?6:181856i38?h63<1c8274=zuzho6=4={_`g?856k3ho7p}me;296~Xem278=n4me:p6a0=838pR?j9;<12g?4c>2wvn?:::180>4<4sE9:>7?tH0db?x"5nj09><5fbe83>>oem3:17b5;c12=?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f67f29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e;8h1<7=50;2x 7`d2;?:7E=>0:k275<722c:?<4?::m10a<722wxni4?:2y]fa=:;831=>?4=23b>4573tyii7>53z\aa>;4900:?=5230c956752z\1`3=:;8h1>9j4}r1274d=9::0q~=>9;296~;49h098i5230`956752z\a`>;49j0ih6s|bd83>7}Yjl16?{I3ee>{#:oi1>??4icf94?=njl0;66a=d783>>d49j0;6>4<:4y'6ce=;8i0eoj50;9jf`<722e9h;4?::`05<<72:0;6=u+2ga91a=O;8:0e<=?:188m4562900c?:k:188yg56i3:1?7>50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj:;i6=4<:183!4ak38>=6F<119j566=831b=>?50;9l61b=831voj50;1xZgb<5:;26<=>;<12e?7482wxnh4?:2y]f`=:;831=>>4=23b>4563ty9h;4?:3y]6a0<5:;i6?:k;|q05=<72;q6?<7525f8967e289;7p}<1883>7}:;8k1>9j4=23a>4563twxni4?:3y]fa=:;8i1ni5rscg94?4|Vko01>?l:cg8yv4c>3:1>vP=d79>74e=:m<0qpl=3c83>6<62:qG?<<51zJ2bd=z,;lh6?<>;h`g>5<i850;9a74?=8391<7>t$3d`>0b<@:;;7d?<0;29?l7493:17b<;d;29?xd49h0;6>4?:1y'6ce==m1C?<>4i013>5<5<53;294~"5nj099<5G3028m4572900e<=>:188k72c2900q~lk:180[dc349:57?<1:?05d<6;91vok50;1xZgc<5:;26<=?;<12e?7492wx>i850;0xZ7b1349:n7<;d:p74>=838p1>?6:36g?856j3;8<6s|30;94?4|5:;j6?:k;<12f?7492wvoj50;0xZgb<5:;h6oj4}r`f>5<5sWhn70=>c;`f?xu5l?0;6?uQ2e48967d2;n=7psm22a94?5=939p@>?=:0yK5cg5<29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e;8k1<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th8=o4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17p}md;297~Xel278=44>309>74g=9::0q~lj:180[db349:57?<0:?05d<6;81v?j9:181[4c>278=o4=4e9~w67?2909w0=>9;07`>;49k0:?=5rs23:>5<5s49:m7<;d:?05g<6;81vq~lk:181[dc349:o7lk;|qaa?6=:rTii63<1b8aa>{t:m<1<75<42808wA=>2;3xL4`f3t.9jn4=209jfa<722cii7>5;n0g2?6=3k9:o7>53;191~"5nj08=n5fbe83>>oem3:17b50z&1bf<2l2B8==5f12294?=n9:;1<75`25f94?=zj:;j6=4<:183!4ak3?o7E=>0:k275<722c:?<4?::m10a<722wi?;I124>o6;90;66g>3083>>i56}Yjm16?<751238967f289;7p}me;297~Xem278=44>319>74g=9:;0q~v3<18810a=:;8h1=>>4}r12=?6=:r78=l4=4e9>74d=9:;0qp}md;296~Xel278=n4md:pf`<72;qUnh5230a9f`=z{;n=6=4={_0g2>;49j09h;5r}c11b?6=;3:1N4991b=>>50;9j567=831d>9j50;9~f65629086=4?{%0eg?3d3A9:<6g>3183>>o6;80;66a=4e83>>{e;:91<7=50;2x 7`d2??;h304?6=3`;8=7>5;n07`?6=3th8?84?:283>5}#:oi19n5G3028m4572900e<=>:188k72c2900qo=<7;297?6=8r.9jn4:c:J055=n9::1<75f12394?=h:=n1<75rb21:>5<4290;w)t$3d`>0e<@:;;7d?<0;29?l7493:17b<;d;29?xd4;m0;6>4?:1y'6ce==j1C?<>4i013>5<5<53;294~"5nj0>o6F<119j566=831b=>?50;9l61b=831vn>:>:180>5<7s-8mo7;l;I124>o6;90;66g>3083>>i55;h305?6=3f8?h7>5;|`1a3<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17p}<2d83>6}:::l1ni5233d961b<5:9:6<=>;|q075<72:q6>9>5be9>767=:=n01>=<:012?xu4;;0;6>u22539fa=:;:91>9j4=216>4563ty8?94?:2y>614=jm16?>;525f89650289:7p}<3783>6}::=91ni52325961b<5:926<=>;|q07=<72:q6>9:5be9>76?=:=n01>=m:012?xu4<;0;6?u222f9fa=:;=91>9j4}r10e?6=;r79884md:?07g<5j51238yv54k3:1?v3=478a`>;4;m098i5232d956753z?17g717=9:;0q~=;0;297~;5;j0ih63<40810a=:;=91=>?4}r0gf?6=:rT9ho5222f96a0<,;o26?jn;o0f52z\1`<=:::i1>i84$3g:>7bf3g8n47?4}r0g52z\1a0=::=<1>i84$3g:>7bf3g8n47=4}r0f0?6=:rT9i95225796a0<,;o26?jn;o0f52z\1a6=::=>1>i84$3g:>7bf3g8n47;4}r0f6?6=:rT9i?5225196a0<,;o26?jn;o0f52z\1a4=::=81>i84$3g:>7bf3g8n4794}r0f4?6=:rT9i=5225396a0<,;o26?jn;o0f52z\1`c=::=:1>i84$3g:>7bf3g8n4774}r0g`?6=:rT9hi5222d96a0<,;o26?jn;o0f52z\1`f=:::o1>i84$3g:>7bf3g8n47l4}r0g3?6=:rT9h:522d4961b<,;o26?jn;o0f53z\`5>;5;k0ii63<408275=#:l31o>5a2d:96>{tkl0;6>uQcd9>610=jl16?>h51228 7c>2j90b?k7:29~wfb=839pRnj4=366>gc<5:9o6<=?;%0f=?e43g8n47:4}ra`>5<4sWih70<;4;`f?854j3;8<6*=e88`7>h5m10>7p}lb;297~Xdj2798>4me:?07<<6;91/>h75c29m6`>=>2wxol4?:2y]gd=::=81nh523259566<,;o26n=4n3g;>2=z{j31<7=t^b;897262ko01>=::013?!4b13i87c;4;80:?=5+2d;9g6=i:l21m6s|c483>6}Yk<16>>k5bd9>77`=9::0(?k6:b18j7c?2k1vn>50;6xZf6<5;9n6oj4=20e>456348n:7?=f:&1a<vPn9:?4>d?7>53z\137=:?38<>63=3`8`0>"5m009;<5a2d:94>{t:>:1<7=t^353?81=:>:01?=n:b08 7c>2;=:7c3?41n279?l4l1:&1a<<5?81e>h652:p62b=839pR?9k;<5962b<5;9j6nk4$3g:>7163g8n47=4}r04g?6=;rT9;n527;04g>;5;h0hh6*=e88134=i:l2186s|26`94?5|V;=i709526`8975f2ji0(?k6:352?k4b03?0q~<8a;297~X5?h16;7<8a:?17d=>2wx>:750;1xZ71>34=1>:74=31b>fg<,;o26?9>;o0f53z\13==:?38<463=3`8`=>"5m009;<5a2d:9<>{t:>=1<7=t^354?81=:>=01?=n:b:8 7c>2;=:7c3?40=279?l4l6:&1a<<5?81e>h65a:p622=839pR?9;;<59622<5;9j6n;4$3g:>7163g8n47l4}r05a?6=;rT9:h527;05a>;5;h0h<6*=e88134=i:l21o6s|33194?4|5>0i=63=3`81`g=#:l31??<4n3g;>5=z{:8:6=4={<59ec=:::k1>i74$3g:>6453g8n47?4}r114?6=:r7<6lk4=31b>7b?3-8n57==2:l1a=<53ty8>i4?:3y>3?dd3488m7h653:p77e=838p1:4mb:?17d<5m=1/>h753308j7c?2=1v>>o52d18 7c>2:897cv38:c;8975f2;o97)h5m10=7p}<2883>7}:?3h370<"5m008>?5a2d:93>{t;;21<7;5;h09i=5+2d;97745<5s4=1n;5222c96a`<,;o26><=;o0f52z?4>g2<5;9j6?jk;%0f=?55:2d9i54n;|q061<72;q6;7l<;<00e?4ck2.9i44<239m6`>=j2wx?>of03:17do6:188md4=831i;7>55;294~"5nj0m;6F<119je5<722cj>7>5;h;7>5<:183!4ak3;9i6F<119l57b=831vl650;0xZd><5>0286s|a883>7}Yi016;7o?;|q102<72;q6?9650;0x92<5>m1U>;j4}r07=?6=:rTjo638:`a8yxd41:0;6>4>:2yO744=9rB:jl5rL230>4}#;o>1<6s+2ga96555<7s-8mo7h:;I124>o><3:17dol:188k70c2900q~o7:181[g?34=1595rs364>5<5s4=1>;j4^34g?xu5<10;6?uQab9>3?gd3twi484?:282>6}K;881=vF>f`9~H67428q/?k:50:'6ce=:990elm50;9l63b=831bm54?::`4>5<4290;w)5;hc`>5<5<5sWk37095959~w7202909w09527f8Z70c3ty9854?:3y]ef=:?3kh7psm3c;94?5=939p@>?=:0yK5cg5=z,;lh6?><;hc`>5<50z&1bf>ofk3:17b<9d;29?xuf03:1>vPn8:?4><252z?4>70c3W8=h6s|25:94?4|Vhi01:4nc:~f60b29086<4<{M126?7|@8lj7pB<1282!5a<3:0q)ofk3:17b<9d;29?lg?2900n:4?:283>5}#:oi1j85G3028m<2=831bmn4?::m12a<722wxm54?:3y]e==:?33?7p}=4683>7}:?38=h6P=6e9~w72?2909wSol;<59ef=zuk88:7>5e;1955}#:oi1>>84o311>5<5<5<5<5<5<5<5<>290;w)t$3d`>31<@:;;7d?<0;29?l7493:17d?<2;29?l74;3:17d?<4;29?j43l3:17pl=3483>6<729q/>km55b9K7465<o6=44}r006?6=:rT9??52227961b52z\166=:::>1=>>4}r01552221956652z\16<=:::91=>?4}r01e?6=:rT9>l52221956452z\16g=:::91=>:4}r01g?6=:rT9>n52221956552z\16a=:::91=>;4}r01a?6=:rT9>h52221956152z\16c=:::91=>84}r004?6=:rT9?=52226956752z\161=:::>1=>=4}r011?6=:rT9>852226956452z\162=:::>1=>:4}r007?6=:r79?>4=4e9>663=9::0q~<<4;296~;5;=098i52227956755;193~"5nj03n6a76;29?l73<3:17d=9e;29?l>d2900e5;50;9a?29086=4?{%0eg?3c3A9:<6g>3183>>o6;80;66a=4e83>>{e000;6>4?:1y'6ce=:<;0D>??;h304?6=3`;8=7>5;n07`?6=3ty3:7>52z\;2>;?138?h6s|15694?4|V8>?706n:012?xu4>l0;6?uQ37g89=g=9::0q~6l:181[>d34236<=?;|q;1?6=:rT396378;305>{t0>0;6?u28`810a=:000:?=5rs9:94?4|5121>9j4=9;95672}#:oi1?:84o252>5<86=44i24e>5<5<6=4<:183!4ak3?o7E=>0:k275<722c:?<4?::m10a<722wi?:=50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl<7583>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|q034<72;qU?:?4=257>72c3ty:8>4?:3y]515<5:=>6<=>;|q02c<72;qU?;h4=256>4573ty8;:4?:3y]721<5:=86<=?;|q02`<72;qU?;k4=250>4563ty8;?4?:3y>723=:=n01>9;:013?xu4?:0;6?u2361961b<5:=?6<=>;|a517=83>1<7>t$3d`>0`<@:;;7d?<0;29?l7493:17d?<2;29?j43l3:17plka;297?6=8r.9jn4:d:J055=n9::1<75f12394?=h:=n1<75rb224>5<4290;w)t$3d`>32<@:;;7d?<0;29?l7493:17d?<2;29?l74;3:17b<;d;29?xd5kk0;684?:1y'6ce=>?1C?<>4i013>5<5<5<55;294~"5nj0=96F<119j566=831b=>?50;9j564=831b=>=50;9l61b=831vn?j=:180>5<7s-8mo7<:1:J055=n9::1<75f12394?=h:=n1<75rb9f94?2=83:p(?hl:370?M5682c:?=4?::k274<722c:??4?::m10a<722wi?:>50;194?6|,;lh68j4H233?l7483:17d?<1;29?j43l3:17pl<7983>6<729q/>km52438L6773`;8<7>5;h305?6=3f8?h7>5;|`1`5<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17p}n1;29g~Xf927:?k4n8:?e4?g?348mh7o7;<0`6l64=2`:>d><5:55z\02`=:;?o1>;j4=9`973c<5:==6>8j;<144?7482wxh54?:3y]`==:n90jh6s|38094?4|V:3970=63;c`?xu4j00;6?uQ3c;896d>2;23ty:8=4?:3y>56`=9o?01<:>:36g?xu5kh0;6?u22b`961b<5;n96<=?;|q1gf<72;q6>nj525f897b5289:7p}>4383>6}:9:l1>8l4=9f9566<5:=36<=?;|q206<72=q6=>h524f8961128>8706k:012?85003;8=6s|15694?5|589m6?;i;<:a>423342o6<==;|q1gc<72;q6>n65ab9>6a6=:=n0q~?;5;296~;6;o09:<523c;9ef=z{:=<6=4={<142?50?278;54=4e9~w6162909w0=9e;c`?850>39<=6s|d883>3}::on1m4522b:9e<=:;991m4521539566<5mk1>9j4=224>4563ty8<;4?:5y>6cb=:lo01?<=:`;897562h301>>8:36g?xu?>3:1>v375;c`?8>e21<0q~6l:1818>e21i015j525f8yv44:3:1>v3=308bg>;5;?09??5rs220>5<4s49;?7<9d:?204<6;816?=951228yv5>;3:1?v3>3g82b3=:;091>;j4=253>4563ty8<94?:3y>755=ij16?=;525f8yv51n3:1>v3<77802c=:;>:1>9j4}r0g5?6=:r79h?4=4e9>6a6=9;l0q~<<1;296~;5;809:i5215395647>55z\1`6=::on1>:94=226>454348hn7?<3:?1ga<6;;1/>h753138j7c?291v>>?:18784al38<963<048274=::jh1=><4=3ag>4563-8n57=?1:l1a=<63ty9jk4?:5y>6cb=:>>01>>::013?84dj3;8=63=ce8275=#:l31?=?4n3g;>7=z{;ln6=4;{<0e`?41m278<84>339>6fd=9::01?mk:010?!4b139;=6`=e980?xua;3:1>v3i0;040>;ci3;8=6*=e88e6>h5m10;7p}i1;297~;a83h870h?:34f?8bf289;7)52z?167<5?916>>852378 7c>2;8=7cv3=23812c=:::<1>?:4$3g:>7413g8n47<4}r004?6=:r79>?4=7e9>660=:::0(?k6:305?k4b0390q~<=f;296~;5:;09;n52224967`<,;o26?<9;o0f52z?167<5?k16>>8523g8 7c>2;8=7cv3=23813d=:::<1>?j4$3g:>7413g8n4784}r01g?6=:r79>?4=789>660=:;i0(?k6:305?k4b03=0q~<=b;296~;5:;09;552224967d<,;o26?<9;o0f52z?167<5?>16>>8523c8 7c>2;8=7cv3=238130=:::<1>?74$3g:>7413g8n47o4}r01?4=759>660=:;20(?k6:305?k4b03h0q~<=3;296~;5:;09:h522249675<,;o26?<9;o0f44|D:;96h::9f8 6`32?1v(?hl:004?j5cj3:17d?6c;29?j50k3:17d?6e;29?l52i3:17b6i:188mc2=831b>5>50;9j6=7=831b>4>50;9j6d0=831b=>650;9j6d4=831b=4750;9a2<<72:0969uC30095~N6nh1v@>?<:0y'7c2=:2w/>km520`8mde=831d>;j50;9je=<722h<6=4<:183!4ak3l>7E=>0:N057<6s-;8i7=i0:j=1<722cjo7>5;n05`?6=3thjn7>52;294~"5nj0:8;5G3028m44a2900c?:k:188yvg?2909wSo7;44a3ty98:4?:3y>3??334ki6?:k;|q10=<72;q6;7<9d:\12a=z{;>26=4={_c`?81=ij1vqo=8c;297?7=;rF8=?4>{I3ee>{K;891=v*5fab83>>i5>m0;66gn8;29?g1=8391<7>t$3d`>c3<@:;;7d7;:188mde=831d>;j50;9~wd>=838pRl64=68:0>{t:==1<7?<:0y'7c2=:2w/>km520a8mde=831d>;j50;9je=<722c3i7>5;c594?2=83:p(?hl:g:8L6773`3?6=44i`a94?=n:?i1<75`27f94?=z{h21<7<2wx4h4?:3y]<`=:?38=o6s|25594?4|5>09:i5Q27f8yv4303:1>vPnc:?4>de53;294~"5nj099?5G3028m4572900e<=>:188k72c2900qo5<5<53;294~"5nj0>o6F<119j566=831b=>?50;9l61b=831vn>6?:187>5<7s-8mo78?;I124>o6;90;66g>3083>>o6;;0;66a=4e83>>{e;ml1<7:50;2x 7`d2??;h304?6=3`;8=7>5;h306?6=3f8?h7>5;|`5f?6=:3:1479K7465<52;294~"5nj0:8;5G3028m44a2900c?:k:188yv5cj3:1>vP7ad=:?n0q~?6c;296~X61j16>o951228yv50k3:1>vP<7b9>72e=:?n0q~?6e;296~X61l16>o951238yv52i3:18vP<5`9>7ad=0l165=4>319>2g<6:o1v5h50;6xZ=`<50:1>9j4=2:3>456349oj7?<1:pb1<72=qUj952688b<>;4?j0j463{t:h<1<7;4lo0:??5rs0;:>5<5sW;2563=b98277=z{:o;6=4={<1gf?gd349n=7<;d:p2<<72;q6:44=6e9>=5<6;81v>6>:181850k3kh70=72;07`>{t;mo1<772c349n=7?=f:p6g3=839p1?l7:36g?85?83;8>632g<59i:18185?838?h63<83826c=zuk9i:7>53;397~J49;0:wE?ia:O745=9r.8j949;|&1bf<6nl1bik4?::k04=<722e9h;4?::`4>5<4290;w)k4?::kg5;|qfb?6=:rTnj638:e:8yv43?3:1>v38:36g?[4c>2wx>9650;0xZ66?34=1=?h4}|`01a<72:0:6>uC30095~N6nh1v@>?<:0y'7c2=>2w/>km51gg8m``=831b?=650;9l6a0=831i;7>53;294~"5nj02>6F<119j57`=831bh54?::m10a<722wxik4?:3y]ac=:?3n37p}=4683>7}:?38?h6P=d79~w72?2909wS=?8:?4>44a3twi?4950;195?5|D:;96h;:79~ 7`d28ln7dki:188m66?2900c?j9:188f2<72:0;6=u+2ga9=7=O;8:0e<=831d>9j50;9~w``=838pRhh4=68g<>{t:==1<7obn3:17d=?8;29?j4c>3:17o950;194?6|,;lh64<4H233?l75n3:17dj7:188k72c2900q~ki:181[ca34=1h55rs364>5<5s4=1>9j4^3f5?xu5<10;6?uQ31:892<6:o1vqo=k3;297?7=;rF8=?4>{I3ee>{K;891=v*>o4810;66a=d783>>d029086=4?{%0eg??53A9:<6g>2g83>>oc03:17b<;d;29?xubn3:1>vPjf:?4>a>52z?4>72c3W8o:6s|25:94?4|V::3709513d8yxd4=<0;6?4?:1y'6ce=9=<0D>??;h31b?6=3f8?h7>5;|`01<<72;0;6=u+2ga9510<@:;;7d?=f;29?j43l3:17pl<6683>7<729q/>km51548L6773`;9j7>5;n07`?6=3th89:4?:283>5}#:oi1>8?4H233?l7483:17d?<1;29?j43l3:17pl7<729q/>km51548L6773`;9j7>5;n07`?6=3ty8n;4?:5y]7g0<5:>n6>l9;<166?5e>278n;4=d79~w<0=839pR484=232>44c349i:7=?8:p72b=838pR>9k;<165?50k2wx?8l50;6xZ63e349m=7=:a:?016<4=h16?8?534c8yv5ck3:1>vP707=;mh0q~=l1;297~X4k816?k?53b2896342:i;7p}7}Y;ki01>h>:2``?xu>?3:1?vP67:?051<5>>16?8?52938yv5e<3:1>vP7c7=;k>0q~=9c;296~X4>j16?8<537:8yv5e:3:1>vP7c7=;h90q~=89;296~X4?016?k?536;8yv51m3:1>vP<6d9>71e=;?o0q~j7:180[b?349>>7j7;<17g?b?3tym87>56z\e0>;4;4=:0j=63<4b8b5>;4=80m86s|36g94?4|V:=n70=:2;14g>{t;{t;081<76s|3c194?4|V:h870=i1;1``>{t;mn1<7{t;h=1<77}Y1116?8<5999~w<3=838pR4;4=271><387>52z\011=:;9j4}r1653z\0=0=:;o;1?4:4=270>6?33ty8nl4?:3y]7gg<5:l:6>ln;|q0<6<72;qU?5=4=271>6>43ty85;4?:3y]7<0<5:3<6?j9;|q0`7<72;qU?i<4=2f0>7b13ty84;4?:3y]7=0<5:?86>69;|q06>f3ty8i>4?:5y]7`5<5:>n6>k=;<1e5?5b:2789>42909wS=99:?016<4>01v?j<:18185a938o863<4b81`6=z{;k<6=4={<17a?4f?2789<4=a79~w4302909w0=:3;363>;4=;0:9:5rs0;`>5<4s49?i7?6c:?016<61j16?8?518a8yv73?3:1>v3<528202=:;<81=994}r1a3?6=:r78n;4jf:?0f=<592789<4=919~w7`22909w0=;e;0e1>;4=8094=5rs4194?4|5:?968<4=274>4563ty>87>52z?016<2:2789:4>319~w6??2909w0=:2;1:0>;41>08<55rs3a;>5<5s49m=7h>:9d8963421l01>;>:9d8yv70?3:1>v3<52823d=:;<81=:94}r3b5?6=:r789>4>a09>704=9h;0q~=m9;296~;46=48{<166?>2349?o76:;<16`?ca3492;7ki;<1`7?ca349o?7ki;<153?75n2wx=8m50;0x962b28?h70=:3;36g>{t;j>1<76e7349h?7=?8:p700=838p1>;::00e?852?38?h6s|16g94?4|5:?86<9j;<166?7>02wx>4>50;0x962b2;3;70=i1;0:4>{t9l=1<74c0349>>7?j7:p70c=838p1>;=:27e?852l39;46s|2`494?5|5:>n6?o9;<1e5?4f>2789>4=a79~w45?2909w0=;e;30<>;4=80:?55rs2f7>5<5s49>>7=k1:?0`6<4811v?o=:18185a938j>63<5081e7=z{8326=4={<167?7>12789<4>989~w4eb2909w0=:3;3`a>;4=;0:i;5rs4`94?4|5:?868l4=27:>44a3ty9484?:3y]6=3<5:l:6?6:;%0f=?4?<2d9i54?;|q1<6<72;qU>5=4=2d2>7>43-8n57<74:l1a=<63ty94h4?:3y]6=c<5:l:6?6j;%0f=?4?<2d9i54=;|q15j4=2d2>7>c3-8n57<74:l1a=<43ty94n4?:3y]6=e<5:l:6?6l;%0f=?4?<2d9i54;;|q15l4=2d2>7>e3-8n57<74:l1a=<23ty94l4?:3y]6=g<5:l:6?6n;%0f=?4?<2d9i549;|q1<<<72;qU>574=2d2>7>>3-8n57<74:l1a=<03ty9454?:3y]6=><5:l:6?67;%0f=?4?<2d9i547;|q1<2<72;qU>594=2d2>7>03-8n57<74:l1a=<>3ty94;4?:3y]6=0<5:l:6?69;%0f=?4?<2d9i54n;|q1<7<72;qU>5<4=2d2>7>53-8n57<74:l1a=52z\4f>;4h5m10;7p}89;296~X012788h4;7:&1a<<0i2d9i54>;|q4;4n80?:6*=e884e>h5m1097p}87;297~X0?2788h4;4:?0b4<3<2.9i448a:l1a=<43ty<97>53z\41>;463"5m00;4n80?<6*=e884e>h5m10=7p}82;297~X0:2788h453z\45>;4"5m00;4n808o6*=e884e>h5m10j7p}9e;297~X1m2788h453z\5`>;4"5m00;4n80>=6*=e884e>h5m10n7p}72;297~X?:2788h4:0:?0b4<282.9i448a:l1a=52z\;5>;4n80?j6*=e884e>h5m10:<6s|8183>7}Y0916?k?54d9'6`?=?h1e>h65109~w2`=838pR:h4=2d2>1b<,;o26:o4n3g;>44h7)4:p3f<72;qU;n523g390d=#:l31;l5a2d:950=z{><1<76Xff~;<=>Pilr\4Zhh|9:;<<<4138LQQVR\3XJ07>110927?Yflm:;<=2>2;2=e>712H69?76111922?OIX\^1M1<<:9<2?7g<:80J0??51?33?77=AGZ^X7O32082:`=5;3KTJ^L32282:42<::0BB][[:@]EWG:5;3;546<5D=394;?<:3Oy0<4?>d91>LHW]]0O0<4?>g91>JSSX\^1]1?50?:86?W;93:5=6=i;28JJUSS2Z]7?7?11:6;?1>630?0M1??>49B8479=2K7=?0:;@>27;3G;9?4>7L2>7?78E97?6<1J0<714:C?5;3G;::03285N<31=0>G;:7>0M1=14:C?0;2GKM9?0MAK?M49BH@6Tk2KGI=Qbuy2345b?10g8EVtaWge<=>>_hos[DUunVddx=>?10;8EZ@TJ5;;245N_GQA847912KTJ^L313<:?DYA[K6:?374A^DPF973601JSK]M<07==>GXNZH7=;06;@]EWG:6?730MRH\B=3;:<=FWOYI0<718:C\BVD;9730MRH\B=03:<=FWOYI0??19:C\BVD;:;4i7LQISC>17?7912KTJ^L322<;?DYA[K69255N_GQA868?3HUM_O2;>99B[CUE4<437LQISC>5:==FWOYI0:07;@]EWG:?611JSK]M<8<7?Dekz?1Jcxz@Ed9BkprHMVg~t=>?0g9BkprHMVg~t=>?0033?Dir|FOTaxv?01225464AnwwK@Yhz9:;>4:Cpaq2E5=DOE?6M7M59@?011g?FGTWds<=>?30f8GDUXe|r;<=>;1b9@EVYj}q:;<=;i;BCP[hs89:;Sdc2:AF7>EKC:1H@_=4COV6?FHS&30OBCBIUVF@2=DZLK_II<4CR68GV(q>2Igil|>3:Aoo0=DdbOe;6Mck^DPI423:0=C48:596J310<4?A:6:3:596J313<5?A:6294?7I2>>59G87813M686=0;;E>0:1=C4=4?7I2:>59G83833M6<295K<9<7?A:>6>1Omyo30?58@drf484>7Io{a@:8@drfI5:556Jnt`C?558>3MkmL2>1?`8@drfI5;96=06;EcweD:6:720HlznA=3=<>Bf|hK7>3o4D`vbE95=8720HlznA=1=<>Bf|hK78364D`vbE93902NjxlO36?:8@drfI5=546Jnt`C?<;>?10f8@jssGLUd~=>?0328@jssGLUd~=>?0^kntZBh}}ENSb|?0122`>Bh}}ENSb|?01314>Bh}}ENSb|?013\mhvXLfCHQ`r1235472:0=B[585;6K\<283:0=B[595m6K\_`lg45679h1N_Road1234ZojxVOXSl`k01235d=B[Vkeh=>?10c8AVYffm:;<4ER]`iuYby9:;>d:GP[fkwWl{;<=?=0:GP[fkwWl{;<=?Pilr\AVYdeyUn}=>?10;8AVYhz9:;<<64ER]lv5678Vcf|RK\_np34566=2O_MNE>0:GWEFMXkdzTi|>?0105?@RFKBUha}Qjq1234ZojxVO_MNEPclr\at6789;:<6K[ABI\mhvXM]KHG<64Eocah`Ru:2Oy96K}<1<4?@t;93:596K}<0<7?CEKC;1MH>5IDB68BAEB;2LO\?5IF59EBa703OLo= Ga8:DE`4+Nf830JKj>-Hl25==ANm;&Ec8:DE`7+Nf;30JKj=-Hl15==ANm8&Ec=6;GDg6(Oi;830JKj=-Hl75==ANm8&Ec;m;GDg6(OiW`g{86HId258BCb4%@d37KHk3,Km5<=ANm9&Ec?>9:DE`6+Nf;;37KHk3,Km7g=ANm9&EcQfmq68BCb3?2LMh9#Fn99EBa2*Ag;27KHk4,Km54>>1MJi8"Io:8BCb1%@d:56HId7/Jj47>3OLo: Ga20:8BCb1%@d8n6HId7/JjZojx8>0JKj9-Hl\mhvXNOn=!D`>4:DE`21@Al>'Bb?74FGf4)Lh5901MJi9"Io12<>@Al>'Bb9l4FGf4)LhXadz:86HId6/JjZojxVLMh:#Fn068BCb??2LMh5#Fn99EBa>*Ag;27KHk8,Km54>5ISC78BVD)~:1M_@m4FRO\4(vfzldo6H\M^3.tdtbf}i0J^CP2,rbv`hsk2LXAR="p`pfjq7?5F339J07=N=;1B:?5F759JEFUa3@KH_Rmbp^gr4567:=1BMN]Pclr\at6789Uba}QFABQ\ghvXmx:;<=?i;HC@WZejxVoz<=>>259JEFUXkdzTi|>?00]jiuYNIJYTo`~Pep23447b3@KH_Rgbp^KBGV733@DBX85FNHVP3>OIA]Y_O<:4IOKWWQEXadzTECG[SUA255=NF@^XSnc_ds3456682CEEY]Pclr\at6788;;7D@FTR]`iuYby9:;><>4IOKWWZejxVoz<=><3:KMR0=NGHI_h6G@ABV\ekb789:9<6G@ABV\ekb789:Te`~PINC@PZgil9:;<4INC@PZgil9:;=Rgbp^KLEFRXign;<=?>d:KLEFRXe|r;<=>j;HMBGQYj}q:;<=?i;HMBGQYj}q:;<=?>e:KLEFRXe|r;<=>=f:KLEFRXe|r;<=>=1g9JKDESWds<=>?30g8MJGD\Vg~t=>?0532?LIFK]Ufyu>?01]jiue0EBLB6:KLFH(q<2CDN^84IN@P*s2>e:KLGVYffm:;<=Qfmq]JKFUXign;<=>>f:KLGVYdeyUn}=>?0368MJETWjg{Sh?012\mhvXAFIXSnc_ds34566n2CDO^Qlmq]fu5679;>0EBM\_bos[`w789;Te`~PINAP[fkwWl{;<=?>f:KLGVYdeyUn}=>?2368MJETWjg{Sh?010\mhvXAFIXSnc_ds34546n2CDO^Qlmq]fu567;;>0EBM\_bos[`w7899Te`~PINAP[fkwWl{;<==>f:KLGVYdeyUn}=>?4368MJETWjg{Sh?016\mhvXAFIXSnc_ds34526n2CDO^Qlmq]fu567=;>0EBM\_bos[`w789?Te`~PINAP[fkwWl{;<=;>f:KLGVYdeyUn}=>?6368MJETWjg{Sh?014\mhvXAFIXSnc_ds34506n2CDO^Qlmq]fu567?;>0EBM\_bos[`w789=Te`~PINAP[fkwWl{;<=9>f:KLGVYdeyUn}=>?8368MJETWjg{Sh?01:\mhvXAFIXSnc_ds345>6n2CDO^Qlmq]fu5671;>0EBM\_bos[`w7893Te`~PINAP[fkwWl{;<=7>8:KLGVYney<0EBJ@NDf8MJBHFLUd~=>?0328MJBHFLUd~=>?0^kntZOHLFDNSb|?0122<>OHLFDNec94INFLJ@t33@EG:i6G@L1]nq}6789?:i6G@L1]nq}6789<:h6G@L1]nq}6789=n7DAC0^ov|5678>;o7DAC0^ov|56781o0EBB?_lw{456708o0EBB?_lw{456718;;7DAC0^ov|5678Vcf|o5FOM2\kw6789;h7DAC0^mq4567W`g{SDAC0^mq45679=1BCA?l;HMO5Zhh|9:;=3@E[[_kndx33?LIW_[ojhtQ`r123470?119JKWBUMXUfyu>?01325>OHZMXN]Rczx123447692CD^I\JQ^ov|5678;;:=6G@REPFUZkrp9:;<>?>0:KLVATBYVg~t=>?0532?LIUL[OZS`{w012304773@EYH_K^_lw{4567=8;0EB\KRDS\ip~789:>=91028MJTCZL[Taxv?012456=NG[NYI\Qbuy2345Yney20EB\KRDSq2>OHZL[y=?5FOSGRvZojxVCD^H_}149JKWQ6l2CD^Z?Paof3456b3@EY[OH[9Ujbi>?01]jiuYNGZ:Tmcj?0122g>OH[9Ufyu>?01f8MJU7Wds<=>?1d9JKV6Xe|r;<=>>1d9JKV6Xe|r;<=>=1e9JKV6Xe|r;<=><119JKV6Xe|r;<=>Pilra?LIT8Vey<=>?1b9JKV6Xg{:;<=Qfmq]JKV6Xg{:;<=?j;HMP[fkwWl{;<=>j;HMP[fkwWl{;<=?j;HMP[fkwWl{;<=?00d8MJS7Wds<=>?113f?LIR8Vg~t=>?003e?LIR8Vg~t=>?0032b>OH]9Ufyu>?01315`=NG\:Taxv?01227`=NG\:Taxv?01220a=NG\:Taxv?0121a>OH]9Ufyu>?0102a>OH]9Ufyu>?0112a>OH]9Ufyu>?0162a>OH]9Ufyu>?0172a>OH]9Ufyu>?0142`>OH]9Ufyu>?015f?LIR8Vg~t=>?063g?LIR8Vg~t=>?09g8MJS7Wds<=>?80g8MJS7Wds<=>?9033?LIR8Vg~t=>?0^knt1=NG\;h7DAZ1^llp56798o0EB[>_omw4566W`g{SDAZ1^llp56798?0EB[KS69JKRTUMXl0EBY]RDS\ip~789::<6G@WSPFUZkrp9:;<;HMTVWCVWds<=>?1032?LIPZ[OZS`{w012364763@E\^_K^_lw{4567;8;;7DAXRSGR[hs89:;8;1028MJQUZL[Taxv?012654=NG^XYI\Qbuy234536981BCZ\]EP]nq}6789<:==5FOVPQATYj}q:;<=9>3:KLSWTBYVg~t=>?0^knt==NG^XYI\|<;Hl37>Oi9:1Bb?=4Io10?Lh3m2ANI]QGIDPBVFN^?2FDKDMNLe9OTHYFLMUXYOQ>d:NSIZGCLVY^NRo4LQO\@C@X9=k0@]CPDGD\50gJWEVNMJR=?a:NSIZBANV9:m6B_M^FEBZ5512F[ARJIF^6:?IVJWMLMS874LQO\@C@X>01G\@QKFG]4=>JWEVNMJR66;MRN[A@AW0k0@]CPXNP1[40>b9OPlkbz5;96=0n;MVji`t;9;427AZfmdp?5;?89OPlkbz59556B[ilgq818>3E^bah|35?;8HQojm{6=245CThofv91912F_e`k}<9<:?IRnelx75364LUknawRD9:1GXdcjrUA\ghvXmx:;<=?;;MVji`tSKVif|Rk~0123542?01123>JSadoyXNQlmq]fu5678Vcf|85Co`f2`>Jhim;Tbbz?01314>Jhim;Tbbz?013\mhvXDfko=R``t12354b24;`9m2GscQ]erwop9?9:2D;>6@>2:L16>H4:2D?>6@:1:M6?JBD[{n0CIM\r^cm`5678;:0CIM\r^cm`5678Vcf|RAKCRp\ekb789::?6A]249LV7D6;2EY?85@R2@17>IU<:1D^8=4OS40?JT0;2EY495@nbg`?JhdmVkeh=>?00g8KkebWhdo<=>?_hos[JhdmVkeh=>?0068Kpr7m2E~x=Qfmq]Lqq66<2E~xIr|;Uba}Q@uu020>Ir|:o0Cxz<_hos[Jss;8>0Cxz;4:Mvp02>3^N7?UGU\h1[ECQMURKG\g=WAGUIY^@NMD18TWC63X>0]1>15:S?55823X6:=394Q=31>5823X6:>384Q=394;20]1=14:S?0;2WX9Vey<=>?1`9R[4Yhz9:;TF48:546\N<0394;02:0=UI58596\N<2<6?WG;<7?0^L2:>49QE909=2XJ0:0:;SC?<;35]AL78VDK)~<1YM@M\7:PBIFU7P8>0^LCLS1Z\mhvXZHGH_=V>119QEHETW`g{S_OBCR36?WGJMGn0^LCJN^cm`5678;:0^LCJN^cm`5678Vcf|R\NMDL\ekb789::h6\NMDL\ekb789;9<6\NMDL\ekb789;Te`~PR@OFJZgil9:;=?>4R@OFJZgil9:;>Rgbp^PBI@HXign;<=<>d:PBI@HXign;<===0:PBI@HXign;<==Pilr\VDKBFVkeh=>?30f8VDKBFVkeh=>?4328VDKBFVkeh=>?4^kntZTFELDTmcj?0162g>TFELDTc>?013f?WGJMGUd~=>?0^kntZTFELDTc>?013`?WGJMGUd~=>?10g8VDKBFVey<=>>_hos[WGJMGUd~=>?10a8VDKBFVey<=>=1d9QEHCIWfx;<==199QEHTbims:<6\NMSgb`|Yffm:;<=<9;SCNV`gcqVkeh=>?0^kntZTFE[ojhtQnne23457682XJA_kndx]bja67888=7_OBRdcg}Zgil9:;=Rgbp^PBIWcflpUjbi>?003e?WGJZlkouRa}012361=UIDXnmiwPos2345YneyUYM@\jae{\kw6789;37_OBSucqp40TF[LFTaxv?0122b>TF[LFTaxv?01225`=UIZOGS`{w0123647Pmtz34566m2XJS=Qbuy234576m2XJS=Qbuy234546l2XJS=Qbuy23455682XJS=Qbuy2345Yneyn0^LQ>0^ov|5678l1YMR??_lw{45679o1YMR??_lw{456798l0^LQ>0^ov|5678;;n7_OP11]nq}67899:=6\N_02\ip~789:Te`~k;SC\54Yj}q:;<=k4R@]25Zkrp9:;<e:PB[47Xe|r;<=><109QEZ76Wds<=>?_hosg>TFW8Ufyu>?01f8VDY6Wds<=>?1d9QEZ7Xe|r;<=>>1d9QEZ7Xe|r;<=>=1e9QEZ7Xe|r;<=><119QEZ7Xe|r;<=>Pilr`?WGX:Vg~t=>?0e9QEZ4Xe|r;<=>>e:PB[7Yj}q:;<=?>e:PB[7Yj}q:;<=<>d:PB[7Yj}q:;<==>0:PB[7Yj}q:;<=Qfmqa8VDY4Wds<=>?d:PB[6Yj}q:;<=?j;SC\7Zkrp9:;<??;SC\7Zkrp9:;k;SC\0Zkrp9:;<4R@]7[hs89:;Sdcc:PB[0Yj}q:;<=j4R@]6[hs89:;=h5]A^7\ip~789::=h5]A^7\ip~789:9=i5]A^7\ip~789:8==5]A^7\ip~789:Te`~l;SC\2Zkrp9:;7_ABCR58VJKD[=R:86\@MBQ7\ZojxVXDAN];X0f8VJKD[Vkeh=>?0328VJKD[Vkeh=>?0^kntZTHEJYTmcj?012255=UGDIXSnc_ds34565>2XDAN]Pclr\at6789Uba}Q]OLAP[fkwWl{;<=>>119QKHETW`g{S_ABCR31?WUc3[YTo`~Pep2345bTTWjg{Sh?011g?WUXkdzTi|>?05f8VVYdeyUn}=>?5e9QWZejxVoz<=>83:PWH0=Umhnrh6\jae{\ekb789:9<6\jae{\ekb789:Te`~PRdcg}Zgil9:;<4Rdcg}Zgil9:;=Rgbp^PfeaXign;<=?>119Qadb~W`g{S_kndx34?WcaLfdnj6\jfEmmaZgil9:;Pilr\V``CggoTmcj?0122b>TbnMeeiR``t123572?5]egFlj`Yhz9:;?1`9Qac@ugdXX<<<4RddEvjkU[9Ujbi>?010b?WcaN{ef^^>Paof3456XadzT^hhIrnoQW5Yffm:;<=?>2:PfbCthe[Y;Sl`k01226d=UmoLyc`\\0^cm`5679Vcf|R\jfGpliWU7Whdo<=>>1008V``AzfgY_=Qnne23474f3[omJabRR2\ekb7898Te`~PRddEvjkU[9Ujbi>?0332e>TbnOxda_]?_hos[WcaN{ef^^>>6:PfbWcv02Xnj_k~Io32?WcaZl{To`~Pep23454?3[om^hPclr\at6789Uba}Q]egPfuZejxVoz<=>?1038V``UmxUha}Qjq12357>139QacTbyVcf|R\jfSgr5`=UmoXn}R``t123574Tbn\xlEc?=;SgeQwaXadzT^hhZrf35?Wct}e~27_k|umv?4;g0?c8V`urd}6:=3m4Rdqvhq:6:3:5m6\jstnw844912Xnxb{<0<:?Wct}e~7>3l4Rdqvhq:429427_k|umv?7;?89Qavsk|5?556\jstnw838>3[oxyaz37?;8V`urd}63245]erwop9?9:?1Yi~{ct^2\jjr789:Te`~PRdqvhqY7Wge<=>?1c9PMBDR[VCEJBo4SHE\FPUNLQh0_DIPBTQMEHC23Z]7=3;4SV>1:2=T_591=3;4SV>0:1=Tkex?7^m|t59Pakrd3ZoexRoad12344c<[ldSl`k0123[lkwWZoexRoad12344d<[ldSb|?0122g>Ubf}Ud~=>?0^kntZUbf}Ud~=>?00c8WkbUIDIX[_:4Srgw6>RTl2^XSnc_ds3456c3]YTo`~Pep2344b<\ZUha}Qjq1236a=S[Vif|Rk~01200>R^XL?0Xdcjr99Wmhcu49437Ygbes>2:==Sadoy0?0n;Uknaw:429437Ygbes>0:2=SadoyXN?=;UknawRDWjg{Sh?0121e>Rnelx_ORmbp^gr4567W`g{SYgbesV@[fkwWl{;<=>>d:Vji`tXign;<=>=0:Vji`tXign;<=>Pilr\PlkbzVkeh=>?0058PwoCi}k87[ML9:TJARYSQYO?7[^Nrb9UTDtXff~;<=?>e:TSEwYig}:;<a:Tj`WGJKZ]Yh6XfdSCNGVQU>=a:46XfdSCNGVQU>=aTo`~Pep2345513_co^LCLSVP50nYdeyUn}=>?0^kntZPnl[KFO^Y]65i\ghvXmx:;<=?>8:Tj`WGJKZ]Y:9ePclr\at67889=7[gkR@O@WRT1?00314>Pnl[KFO^Y]65i\mhvX^`nYM@M\WS47o4723_co^LCLSVP\ghvXmx:;<==?;WkgVDKD[^XTo`~Pep2345YneyU]ei\NMBQTVZejxVoz<=>?1078RlbUIDIX[_Qlmq]fu5679::0Zdj]ALAPSWYdeyUn}=>?1^kntZPnl[KFO^Y]_bos[`w789;:=85YiePBIFUPZVif|Rk~012175=QamXJAN]XR^antZcv89:9Sdc_WkgVDKD[^XTo`~Pep234776=2\bh_OBCRUQ[fkwWl{;<==<0:Tj`WGJKZ]YSnc_ds3455XadzTZdj]ALAPSWYdeyUn}=>?3036?SocZHGH_Z\Pclr\at678=9;7[gkR@O@WRTXkdzTi|>?05]jiuYQamXJAN]XR^antZcv89:?=<;4VhfQEHET_[Uha}Qjq123166<^`nYM@M\WS]`iuYby9:;9Rgbp^Tj`WGJKZ]YSnc_ds345369<1]ei\NMBQTVZejxVoz<=>9319UmaTFEJY\^Rmbp^gr4561W`g{S[gkR@O@WRTXkdzTi|>?07321>Pnl[KFO^Y]_bos[`w789=8<6XfdSCNGVQUWjg{Sh?015\mhvX^`nYM@M\WS]`iuYby9:;;?9228RlbUIDIX[_Qlmq]fu5671Vcf|RXfdSCNGVQUWjg{Sh?01;250=QamXJAN]XR^antZcv89;;?=5YiePBIFUPZVif|Rk~0133[lkwW_co^LCLSVP\ghvXmx:;==?>5:Tj`WGJKZ]YSnc_ds3447482\bh_OBCRUQ[fkwWl{;<6XfdSCNGVQUWfx;<=>>139UmaTFEJY\^Ra}0123644<^`nYM@M\WS]lv5678:;>7[gkR@O@WRTXg{:;<=Qfmq48Sdtw[L20[l|SD,u5a=_AECET VKB!2-5%US]K*;"<.NSBKJ2>^T\ECI;6V\T^EM@4c1S_YQYCB48\adXAm;;7Ujb_LcikwPbzzcdb<>4Xeo\Ilhhz_oydaa5:Zl85813Qe7<3?9;Ym?4;413Qe7<3=6;Ym?4;Yney90T~z6;YqwCHicmeh0Sljk0123858d3Vkoh=>?0=33:f=Ximn;<=>310c9\eab789:7=3l4_`fg45674;4o7Rokd123495=87h0Sljk0123868e3Vkoh=>?0=6=f>Yflm:;<=2:>c9\eab789:7:3l4_`fg45674>4i7Rokd12349>9j2Ujhi>?01>::==Xign;<=>>6:]bja6789Uba}QPaof3456602Ujbi>?0035?Zgil9:;=Rgbp^]bja6788;37Road123640=_hos[Zgil9:;><64_`lg45649?1Tmcj?011\mhvXWhdo<=><199\ekb789>::6Qnne2341YneyUTmcj?0162<>Yffm:;<8?9;^cm`567=Vcf|RQnne23407?3Vkeh=>?6048[dhc89:=Sdc_^cm`567>820Sl`k012453=Xign;<=9Pilr\[dhc89:<=55Paof345>6>2Ujbi>?09]jiuYXign;<=6>8:]bja6780;=7Road123=ZojxVUjbi>?083;?Zgil9::<<84_`lg4577W`g{SRoad12244>?7:]lv56788>0Sb|?012\mhvXWfx;<=>>7:]lv56798>0Sb|?013\mhvXWfx;<=?>5:cg``tb3hdo<=>?_np34565:2keh=>?0^mq4567W`g{Sl`k0123[jt789::?6mat69`kphsm{80o~=4dgd:?aoumj~bcc=4fr`7?liee=1bco}6;oCGkprKM9;37cOKotvOA5YneyUeMIaztMG3546?189mEAir|EO:=55aAEmvpIC6W`g{ScOKotvOA4743gKX;6`NS^DPF2=iIZUBCO?=;oCP[LIEWgKXSDAMotvb?kGTW@EIcxz9;oCPkprb3gKXcxzPaof34565:2dJ_b{{_`lg4567W`g{ScO\otv\ekb789::i6`NSnww[kis89::>?5aARmvpZhh|9:;=Rgbp^lBWjssWge<=>>1e9mEVir|Vey<=>?219mEVir|Vey<=>?_hos[kGTg|~Tc>?0134?kGh}}EN=95aAnwwK@YneyUeMb{{OD36?kDBZ[30bOK]R^DPF47hEM[XTEBL8;o@D[LIE?2dI^RG@Bg9mFWYNGKUjbi>?0107?kDUW@EISl`k0123[lkwWgHYSDAM_`lg45679o1eN_QFOC]nq}6789;;7cL]_HMA[hs89:;=>1038jGTXAFHTaxv?0121546Pilr\jGTXAFHTc>?0137?kEF[j1eOL]Pnnv34576m2dHM^Qaou2344YneyUeOL]Pnnv34576>2dOXLMDa:lGPDELWOYIm6`KT@AH[LIEm2dOXLMD_`lg4567:;1eHYOLK^cm`5678Vcf|R`KT@AH[dhc89:;=h5aDUC@OZhh|9:;=?<4nEVBGNYig}:;<?1048jAoiDLn0bIgaLD]lv5678;:0bIgaLD]lv5678Vcf|R`KioNF[jt789:::6`KioMFa>hCagENSl`k012367=iL`dDIRoad1234ZojxVdOecAJ_`lg45679880bIgaOD]jiuYiL`dDI<94nEmvpJCa3gNdyyAJ_`lg4567:=1eHb{{OD]bja6789Uba}QaDnwwK@Yffm:;<=?i;oFlqqIBWhdo<=>>259m@jssGLUjbi>?00]jiuYiLfCHQnne234476<2dOcxz@E^kntZhCg|~DIPilr\jAir|FOTc>?0136?kOWD;<0bD^C2s68jIBT02dGH^QISC:8jIBTW@EI=95aLEQ\MJDXfENXSDAMotva?kJC[VCDNb{{c:lO@VYffm:;<=?j;oNGWZgil9:;5aOD`8jJCXign;<=>>c:lLAZgil9:;?0033?kIqm{fjuR``t123570=4:lLr`tkipUd~=>?0^kntZhH~lxgmtQ`r1234412:lQKHETW`g{Sc\@MBQ22>hUGD]Ni6`]OLUF[dhc89:;>?5aRNOTAZgil9:;?1008jWIJ_LUba}QaRNOTA42hRLZUjbi>?013f?kSC[Vkeh=>?0^kntZhRLZUjbi>?013`?kSC[Vkeh=>?10g8jPBTWhdo<=>>_hos[kSC[Vkeh=>?10a8jPBTWge<=>>1d9mQAUXff~;<=?Pilr\jPBTWge<=>>1c9mQAUXg{:;<=?l;oWGWZiu89:;Sdc_oWGWZiu89:;=95aUVG`?kSPMVkeh=>?00g8jPQBWhdo<=>?_hos[kSPMVkeh=>?00g8jPQBW`g{Sc[XE068jSKF02d]ALQFOC37?kPJIVCDNR`YM@]JKGir|k1eZ@OPIN@lqqehQXHUecy>?00]jiuYi^YKTbbz?01327>hPM>1e[HQISC58tjjXkea27}ac_bnhak>vhz}Uh`fka9:rlvqYci}k37}a}t^mse3=wg{~T}o5osv\v`urd}30|b|{_raov<=wg{~T~k{1:p0?wgj02x`Rzvpd38wc=tg|xhiRhfldlbi`b<{fyohQciogmf7=rx01}ma{j_bkm=>pfd|oTc}l7;ya:156dl1so4;<6ynsg12*=tJK|<8me:BC|6d62O096068e00g|f:k26<5a3`c92>"4i108m?5rS`097g6=:>?1=>:m1159b13c3m9j<7>51;3xWd5=;k:1>:;5126a551=n=?j7{H<1g83>4<629qXm>4d4i90;69l513852~J4i<09wEo9:0y'0=d=;h;0q)=99;1b4>\?k39p;7?6:329y_52<38p47?7:|)70a<722e3j7>5;n624?6=3`9ni7>5;n647?6=3`>>47>5;n667?6=3f3;6=44o564>5<5<5<>o3980;66a>i4n00;66g;2483>>i3>i3=00;66a;3`83>>o>93:17d6j:188k6c02900c>km:188m14?2900e9>?:188k1362900c9?9:188k14>2900c986:188k17>2900c9?k:188m11f2900c>hl:188k7?7290/>:6529d8j7102910c?6j:18'62>=:1l0b?98:098k7?>290/>:6529d8j7102;10c?77:18'62>=:1l0b?98:298k7?0290/>:6529d8j7102=10c?79:18'62>=:1l0b?98:498k7?2290/>:6529d8j7102?10c?7;:18'62>=:1l0b?98:698k7?4290/>:6529d8j7102110c?7=:18'62>=:1l0b?98:898k7?6290/>:6529d8j7102h10c?6k:18'62>=:1l0b?98:c98m22=83.9;5483:l132<732c<>7>5$35;>25o083:1(?97:618j7102:10e;k50;&13=<0;2d9;:4;;:k5`?6=,;=36:=4n354>0=h5?>0=76g9b;29 71?2>90b?98:698m3g=83.9;5483:l1325$35;>25o1?3:1(?97:618j7102k10e;850;&13=<0;2d9;:4l;:k51?6=,;=36:=4n354>a=i1<7*=79847>h5?>0n76g8b;29 71?2>90b?98:g98m2g=83.9;5483:l132<6821b;44?:%04;:k444<3`=<6=4+26:936=i:>=1=>54i6494?"5?10=n?<0;6)<88;50?k40?3;>76g9f;29 71?2>90b?98:048?l52i3:1(?97:27:?k40?3:07d=:8;29 71?2:?27c<87;38?l52?3:1(?97:27:?k40?3807o=n4;295?6=8r.8:44>399K7d5<;|N0e3<5sg>3o7=i;%6;f?5f92w/?;75a59Y1qW=:4;3x56>o36983>>o3?00;66a=9b83>>i48o0;66a>4383>>o3:3:1(?97:538j7102910e9>50;&13=<392d9;:4>;:k0b?6=,;=369?4n354>7=h5?>0876g5$35;>17o4?3:1(?97:538j7102110e>850;&13=<392d9;:46;:k01?6=,;=369?4n354>d=1<7*=79875>h5?>0i76g<3;29 71?2=;0b?98:b98m64=83.9;54;1:l1325$35;>17d5=00;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1m85r$24:>76d3`ki6=44o35b>5<{t:<91<77<2sE9j97?tH320?xJ4i?0:w):7b;c6?x"4>009=:5fac83>>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1G?l;51z&20=<30>1ve4j50;9j=c<722c3h7>5;hca>5<l2wx>8<50;0x96g3289<70>59g9~w7342909w0>526c8Z71f3ty9994?:3y]eg=:83ki7psm19094?c=;3;;w)=99;3;6>i6>10;66g>4283>>o6>l0;66g>7183>>o6?;0;66g>7583>>o6??0;66g>7983>>o6?h0;66g>7b83>>o6?l0;66g>8183>>o6>j0;66g<7c83>>d6>00;644?:1y'73?=:?>0D>o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;h30a?6=3`;8j7>5;h374?6=3`;?=7>5;n065}#;?31>;?4H2c0?l74i3:17d?k0;6>4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06<5845f3ty::h4?:3y]53c<58<26<=m;|q235<72;qU=:>4=04:>45d3ty:;?4?:3y]524<58<26<=k;|q231<72;qU=::4=04:>45a3ty:;;4?:3y]520<58<26<=j;|q23=<72;qU=:64=04:>4273ty:;l4?:3y]52g<584263ty:;h4?:3y]52c<584=04b>45c3ty::n4?:3y]53e<5845b3ty::44?:3y>53?=:<201<8m:01b?xu6>h0;6?u217c960><586?a3f92n7>5;h1;6?6=3`9387>5;h1;2?6=3`9347>5;h1;e?6=3`93o7>5;h1;a?6=3`92<7>5;h1:6?6=3`9287>5;h1:2?6=3`9247>5;c1:g?6=13:1l3:197>50z&02<<5>91C?l=4i01b>5<5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900q~=6b;296~X41k16?4k524:8yv5?:3:1>vP<839>73:1>vP<879>7vP<8`9>7vP<8d9>7:3:1>vP<939>7>3:1>vP<979>7k3:1>v3<9b811==:;0o1=>o4}r1:`?6=:r785i4=599>70<729q/?;755d9K7d55<5<m3:1?7>50z&02<<2?2B8m>5f12c94?=n9:h1<75`24:94?=zj;3m6=4<:183!5113?>7E=n3:k27d<722c:?o4?::m11=<722wi?5?50;794?6|,:<268m4H2c0?l74i3:17d?6<729q/?;75549K7d55<N4i:1b=>o50;9j56d=831d>8650;9~f73e29086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e;8?1<7;50;2x 60>2o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;n065}#;?319i5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo=70;291?6=8r.8:44:b:J0e6=n9:k1<75f12`94?=n9:i1<75f12f94?=h:<21<75rb2:0>5<2290;w)=99;7f?M5f;2c:?l4?::k27g<722c:?n4?::k27a<722e9954?::a7=3=83?1<7>t$24:>0b<@:k87d?0;684?:1y'73?==l1C?l=4i01b>5<5<5<55;294~"4>00>h6Fl50;9j56e=831b=>j50;9l60>=831vn>6m:186>5<7s-9=57;k;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>i5=10;66sm39f94?3=83:p(>86:4a8L6g43`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3f8>47>5;|`093:197>50z&02<<2k2B8m>5f12c94?=n9:h1<75f12a94?=n9:n1<75`24:94?=zj:386=4::183!5113?o7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wi?4;50;794?6|,:<268m4H2c0?l74i3:17d?0<729q/?;755b9K7d55<5<N4i:1b=>o50;9j56d=831b=>m50;9j56b=831d>8650;9~f423290>6=4?{%15=?3e3A9j?6g>3`83>>o6;k0;66g>3b83>>o6;m0;66a=5983>>{e9=<1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?319n5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo?81;291?6=8r.8:44:c:J0e6=n9:k1<75f12`94?=n9:i1<75f12f94?=h:<21<75rb050>5<2290;w)=99;7g?M5f;2c:?l4?::k27g<722c:?n4?::k27a<722e9954?::a523=83?1<7>t$24:>0e<@:k87d?0;684?:1y'73?==m1C?l=4i01b>5<5<5<55;294~"4>00>h6Fl50;9j56e=831b=>j50;9l60>=831vn<9m:186>5<7s-9=57;j;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>i5=10;66sm16f94?3=83:p(>86:4a8L6g43`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3f8>47>5;|`23c<72<0;6=u+37;91a=O;h90e<=n:188m45e2900e<=l:188m45c2900c?;7:188yg7?93:197>50z&02<<2l2B8m>5f12c94?=n9:h1<75f12a94?=n9:n1<75`24:94?=zj:;86=4<:183!5113?=7E=n3:k27d<722c:?o4?::m11=<722wi=;j50;194?6|,:<26884H2c0?l74i3:17d?6<729q/?;75579K7d55<7>50z&02<<6=91C?l=4i01:>5<5<4sW3n70<:9;c4?824m3k<7p}<1183>0}Y;8:01>?>:37;?856>3;8n63=5c827d=:9?n1=>l4}r0:`?6=;rT95i5228g960><5;3m6<=n;|q;1=>l4}r67`?6=;rT?8i5242g9eg=:<=:1=>l4}r35<57>52z\73<=:;1;1=>o4}r0:g?6=;rT95n5228d960><5:2:6<=m;|q04c<72;qU?=h4=235>73?3ty:8?4?:3y]514<58><6?;7;|q22`<72;q6=5<517g8940a2;?37p}>7183>7}:9181=:>4=052>73?3ty:;?4?:3y>5=4=9>801<9<:37;?xu6?=0;6?u21909522<58=>6?;7;|q233<72;q6=5<5164894102;?37p}>4283>6}:9181=9=4=064>45f34;?87<:8:p52>=838p1<6=:05;?870138>46s|15794?4|58><6<=m;<372?4202wx=:o50;0x94>528=j70?8b;06<>{t9>i1<741d34;46s|19294?4|58296<6?;<3;5?4202wx?<<50;0x9671289j70=>3;06<>{t:<31<771f34>?<7?7}:<:o1m552450960>52z?050<5=116?<=512`8yv50l3:1>v3<80827f=:;>o1>864}r14b?6=:r784<4>3e9>7=6=:<20q~?9c;296~;60;0::n5217f960>52z?0=c<41k16?4o512`8yv23;3:1>v3;45811==:<=81=>74}r60a?6=6<`=9:h01>?<:01b?871l3;8m6s|36a94?4|5:2:6?;7;<1:e?74i2wx8>h50;0x91272;?370:;4;30e>{t;181<76>53493?7<:8:p7=2=838p1>7i:2:7?85?=38>46s|39494?4|5:3m6>69;<1;3?4202wx?5650;0x96?a2:2370=79;06<>{t;1k1<76>f3493n7<:8:p7=e=838p1>7i:2:`?85?l38>46s|38494?4|5:3m6>79;<1:3?4202wx?:l50;1xZ4g134;3>7=8b:?0=d<5=11v>77:18185>n392463<98811==z{:2n6=4={<1:b?5?m2784k4=599~w6?72909w0=6f;1:4>;41809955rs2;1>5<5s492j7=62:?0=6<5=11v>7;:18185>n392863<94811==z{=81<7??{_61?85693;8o63=9d827g=:;8?1=>j4=25f>45e34;?87?;6?>0:?i5216;956b<58=i6<=k;<34`?74i27:;k4>3c9>5=7=9:h0(>;9:538j632291v9>50;33[27349:=7?;6>o0:?n52163956e<58=86<=l;<341?74i27:;:4>3c9>52?=9:h01<9m:01`?870l3;8h63>7g827a=:91;1=>j4$275>176<5rs2d94?`|V:l01>?>:01a?856=3;8n63<7d827a=:9=>1=>l4=04e>45e34;<=7?;6?k0:?o5216f956e<58=m6<=l;<3;5?74i2.89;4;1:l010<53ty8h7>5fz\0`>;4980:?l52307956g<5:=n6<=l;<370?74i27::k4>3`9>527=9:h01<9<:01b?870=3;8n63>76827d=:9>31=>m4=05a>45f34;;::29~w6d=839pR>l4=2:3>45c34;?:7?o4$275>17685rs2;94?4|V:301>6?:01a?!52>3>:7c=:5;48yv5?2909wS=7;<1;4?74i2.89;4;1:l010<03ty8;7>5dz\03>;40:0:?n52397956d<5:2<6<=l;<1;=?74j2784o4>3c9>7=b=9:k01>6i:01g?85>93;8h63<92827a=:;0?1=>j4=2;4>45c349257?o4=2:4>45c349357?a289i70=61;30e>;41:0:?n52387956e<5:3<6<=l;<1:=?74k2.89;4;1:l010<>3ty897>5dz\01>;40:0:?i52397956b<5:2<6<=m;<1;=?74i2784o4>3b9>7=b=9:i01>6i:01`?85>93;8o63<92827g=:;0?1=>o4=2;4>45e349257?m4=2:4>45f349357?a289j70=61;30f>;41:0:?l52387956d<5:3<6<=n;<1:=?74i2.89;4;1:l0106b=>;qG?l;51zJ146=zD:k=6?ua49a90d=#<1h1?l?4}%15=?4>i2P3o7x\4==09w54>6;j=`<722e?:94?::k0aa<722e?9>4?::m702<722e?5;n60e?6=3`>9;7>5;h102?6=3`><57>5;h663?6=3`82o7>5;n665?6=3`9;j7>5;n0a5?6=3f>8:7>5;n0:4?6=,;=36?6i;o043?6<3f83i7>5$35;>7>a3g8<;7?4;n0:=?6=,;=36?6i;o043?4<3f8247>5$35;>7>a3g8<;7=4;n0:3?6=,;=36?6i;o043?2<3f82:7>5$35;>7>a3g8<;7;4;n0:1?6=,;=36?6i;o043?0<3f8287>5$35;>7>a3g8<;794;n0:7?6=,;=36?6i;o043?><3f82>7>5$35;>7>a3g8<;774;n0:5?6=,;=36?6i;o043?g<3f83h7>5$35;>7>a3g8<;7l4;h1e>5<#:>21?h5a26594>=n;m0;6)<88;1f?k40?3;07d=m:18'62>=;l1e>:952:9j7d<72-8<47=j;o043?5<3`926=4+26:97`=i:>=1865f3983>!40039n7c<87;78?l50290/>:653d9m621=>21b?;4?:%045<#:>21?h5a2659<>=n;=0;6)<88;1f?k40?3307d=<:18'62>=;l1e>:95a:9j77<72-8<47=j;o043?d<3`>j6=4+26:97`=i:>=1o65f4883>!40039n7c<87;f8?l2?290/>:653d9m621=m21b8:4?:%045<#:>21?h5a265955=h5?>0:=65f4583>!40039n7c<87;31?>o3;3:1(?97:2g8j71028907d=l:18'62>=;l1e>:951598f670290h6<4l{M1b1?7|@;:87pB;1<75`26094?=h:>91<75f1gg94?=n9ol1<75f21294?=e9:81<7m5c;33I5f=3;pD?><;|&02<<49>1b=kk50;9j5c`=831b>=>50;9je<<722e9:54?::m12d<722e9:n4?::m12`<722e9;=4?::m134<722e9;?4?::m136<722hm57>53;397~J4i<0:wE5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psmfg83>6<62:qG?l;51zJ146=z,:<26k64i``94?=h:>k1<75fa683>>d729086=4?{%15=?`33A9j?6B3;6sf8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W8ofj3:17b<8a;29?lg02900n=4?:283>5}#;?31j95G3`18H6g228q/=9654958yl>c2900ell50;9l62g=831vl950;0xZd1<5903h6s|24094?4|5909;l5Q26c8yv42;3:1>vPnb:?3>dd6}K;h?1=vF=029~ 60>2o20ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2F8m84>{%375;|qb3?6=:rTj;63?:9f8yv42:3:1>v3?:35b?[40i2wx>8=50;0xZdd<590jn6srb034>5<42808wA=n5;3xL7643t.8:44i8:kbf?6=3f85;hc4>5<4?:1y'73?=n=1C?l=4L2c6>4}#9=218594}h:g>5<8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd6910;6>4>:2yO7d3=9rB9<>5r$24:>c>0;66l?:180>5<7s-9=57h;;I1b7>J4i<0:w)?;8;6;3>{n0m0;66gnb;29?j40i3:17p}n7;296~Xf?27;65j4}r066?6=:r7;6?9n;_04e>{t:<91<74<4sE9j97?tH320?x"4>00m46gnb;29?j40i3:17do8:188f5<72:0;6=u+37;9b1=O;h90@>o::0y'51>=<1=0qd6k:188mdd=831d>:o50;9~wd1=838pRl94=18;`>{t:<81<7k0q~<:3;296~Xfj27;6ll4}|`26d<72:0:6>uC3`795~N58:1v(>86:g:8mdd=831d>:o50;9je2<722h;6=4<:183!5113l?7E=n3:N0e0<6s-;?47:7a:j5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{zj8;=6=4;:386!5113;::6g=7383>>o5890;66g=7183>>i69;0;66l>1483>6<729q/?;75579K7d55<vP=739>542=9:k0q~vP=719>543=9:h0q~?>2;296~X69;16=<:524:8yv76;3:1>v3>14811==:98>1=>l4}|`25`<72?0865u+37;954c5<5<5<7E=n3:k27d<722c:?o4?::m11=<722wi=4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06:>4=03g>45e3ty9:n4?:3y]63e<58;i6<=l;|q2b`<72;qU=kk4=03a>45f3ty:jk4?:3y]5c`<58;i6<=m;|q25<<72;qU=<74=03`>73?3ty:=l4?:3y>54b=:<201<58;h6<=m;|a57`=83?1>78t$24:>44a3`85;h05g?6=3`;mi7>5;h3eb?6=3f;9n7>5;c31a?6=<3:1N4i:1b=>o50;9j56d=831b=>m50;9l60>=831vn<5<7s-9=57<:c:J0e6=n9:k1<75f12`94?=h:<21<75rs350>5<5sW82e827d=z{;;6:l0:?n5rs0df>5<5sW;mi63>2d827g=z{8lm6=4={_3eb>;6:l0:?l5rs00a>5<5sW;9n63>2e811==z{88h6=4={<31a?42027:>i4>3c9~yg7513:1?7>50z&02<<2=2B8m>5f12c94?=n9:h1<75`24:94?=z{8ln6=4;{_3ea>;69l0:jh5213d95cc<58826<=n;|q2bc<72:qU=kh4=03f>4`a34;9j7?if:p656=839pR?>?;<322?47827:=h4=019~wd?=833pRl74=g;9e2=:no0j;63>0`8b3>;6980j;63>168b3>;6910j;63>218b3>;6:h0j;6s|27:94?5|V;<370hi:``8946f2;=j7p}=6`83>6}Y:?k01k75ac9>bc<5?h1v?8l:186[41k27:>l4=7`9>54c=:?i01<7;ca?8758387;04e>;69?09;=5210g962653z\134=:99k1mo5210:962g7>53z\137=:n009;l52104962453z\136=:98;1>:o4=00e>7143ty:=?4?:3y>547=ik16=<851008yv7613:1>v3>198bf>;69l0:=45rs002>5<5s4;9<7om;<31=?4202wx=?l50;0x944f2hh01<0;6?uQa69>564=i01v?87:181[41027:??4=699~w70f2909wS<9a:?277<5>h1v?8l:181[41k27:??4=6b9~w70b2909wS<9e:?277<5>l1v?9?:181[40827:??4=719~w7162909wS<81:?277<5?81v?9=:181[40:27:??4=739~w7142909wS<83:?277<5?:1vfd9~w4`a2909wS?if:?277<6no1v?>?:181[47827:??4=019~yg>f29086<4<{M1b1?7|@;:87pB0;66l?:180>5<7s-9=57h;;I1b7>o?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twi??850;796?3|D:k>63kp(96m:3;a?!2?139n>6*;808bf>"30:09;l5+46d9e2=i<>h1=6*;818b<>h3?j0:7):75;16f>h3?m0;7p*<688167=ni>0;66gn8;29?lge2900c?9n:188m63e2900n=4?:481>0}K;h?1=vF=029~ 60>2;:i7dom:188k71f2900el950;9je=<722c2j7>5;c294?3=83:p(>86:g48L6g43`3o6=44i8d94?=n0m0;66gnb;29?j40i3:17pl4<729q/?;7512:8L6g43f;8;7>5;|qb3?6=:rTj;63?:9f8yvg?2909wSo7;<29=a=z{;?96=4={<1b0?74?27;64h4}r067?6=:r7;6?9n;_04e>{t:<>1<7j6:180>5<7s-9=57<:d:J0e6=n9:k1<75f12`94?=h:<21<75rs`594?4|Vh=01=4n7:pe=<72;qUm5523e;956d52z\13d=:8385ac9>7a?=9:k0q~=k8;296~;72h201>j6:37;?x{e:kk1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6?7m;|&02<<5:?1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psm9283>6<62:qG?l;51zJ146=zD:k=65;hc4>5<4?:1y'73?=n=1C?l=4L2c6>4}#9=218594}h:g>5<8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd5k80;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1>4l4}%15=?4502cjn7>5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d56k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qpl=c183>6<52=qG?l;51zJ146=zD:k=65;hc4>5<4?:1y'73?=n=1C?l=4L2c6>4}#9=218594}h:g>5<28?;7E=n3:k27<<722e9954?::pe2<72;qUm:52a`827<=z{;?96=4={<295<5s4:1>:o4^35b?xu5==0;6?uQac9>4?ge3twi8=m50;196?2|D:k>63;p(96m:3;a?x"4>009>l5fac83>>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87d6k:188mdd=831d>:o50;9~fdg=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66s|a683>7}Yi>16ml4>389~w7352909w0>58e9>ed<5=11v?;<:18186=:>k0R?9n;|q111<72;qUmo520;ca?x{e:h:1<7853;5xH6g228qC>==4}M1b2?e|,=2i6?7m;%6;=?5b:2.?4<4nb:&7<6<5?h1/8:h5a69m02d=92.?4=4n8:l73f<63->397=:b:l73a<63->3:7=93:l73`<63t.8:44=2c9je2<722cj47>5;hca>5<5<0<52m;hca>5<t$24:>c0<@:k87A=n5;3x 42?2=2<7pg6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c1`6?6=:3:15<5<1vl650;0xZd><5:n26<=l;|q13d<72;qU>:o4=1813d=z{:<86=4={_157>;4l00:?o5rs27a>5<4sW9>n63o4}r1`5?6=:r7;6ll4=2a1>73?3ty8h54?:3y>4?g?349o57<:8:~f702290>6?4:{M1b1?7|@;:87pB4=#<1:1m55a46a95>"30<089o5a46f94>{#;?31>=j4i`594?=ni10;66gnb;29?j40i3:17d=:b;29?g6=83?1>7;tL2c6>4}O:990q)=99;03f>ofj3:17b<8a;29?lg02900el650;9j=c<722h;6=4::183!5113l=7E=n3:N0e0<6s-;?47:77:j=a<722c2j7>5;h:g>5<:183!5113;846F7}Yi116<77k;|q117<72;q6?l:5125895<>n2wx>8=50;0x95<5?h1U>:o4}r060?6=:rTjn63?:``8yxd4l00;6>4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0652z\b3>;72h=0q~o7:181[g?349o57?n7>53z\01g=:83ki70=k9;30e>{t;m21<7;4l009955r}c0a5?6=;3;1?vB86:32`?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{zj;hm6=4;:386!51138ij6a=bc83>>o49h0;66g=b983>>o49k0;66l=bd83>6<729q/?;75549K7d55<vP=bc9>6gb=:<20q~=>a;296~X49h16>ok512c8yv4e03:1>vP=b99>6gc=9:h0q~=>b;296~X49k16>oj512`8yv4ek3:1>v3=bd811==::kn1=>o4}|`:g?6=03?1ov*<688:g>i><3:17d=>a;29?l4e03:17d==d;29?l56j3:17d=>c;29?l5383:17d=>d;29?g?e29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e1?0;6>4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0653;294~"4>00>96Fl50;9l60>=831vn4750;194?6|,:<268;4H2c0?l74i3:17d?5<5<5<5sW3?707n:37;?xu49h0;6?uQ30c89<1=9:k0q~3`9>=3<6;h1v>?m;<;b>45e3ty8=n4?:3y]74e<5031=>o4}r174?6=:rT88=5298827g=z{:;o6=4={_12`>;>i3;8h6s|9483>7}:1k09955297827g=z{;?96=4={<;5>73?343<6<=m;|q116<72;q65:4=599>=d<6;h1v4650;0x9?7:188f7e229086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e:j>1<7:50;2x 60>2;?n7E=n3:k27d<722c:?o4?::k27f<722e9954?::p6f4=838pR?m=;<0`0?4202wx?=838pR?l7;<0`1?74j2wx?=838pR>?7;<0`0?74k2wx>n=50;0x97e22;?370{zj;ih6=4;:285!51138ho6a=8e83>>o5jh0;66g;a;29?l5d2900n?mm:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2b;94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`1gd<72:0;6=u+37;960e<@:k87d?6}Y:kk01?mm:01b?84d13;8m6s|4`83>7}Ynl512`8yv5d2909wS=l;<0`=?74j2wx>n950;0x97ee2;?370{t:j21<773?348hm7?49{%15=?4cm2e95<4?::k1fd<722c8>7>5;h60>5<il50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;7524a8L6g43`;8m7>5;h30f?6=3f8>47>5;|q1=4<72;qU>4?4=3f`>73?3ty9nl4?:2y]6gg<5;no6<=n;<0gf?74i2wx??4?:3y]77=::mn1=>l4}r60>5<5sW>870{t:m31<773?348oo7?5<32:0=w)=99;0e4>i51=0;66g=b`83>>o4;3:17d:;:188f7ca29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e:ln1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?7}Y:0>01?kj:37;?xu5jh0;6>uQ2cc897ca289j70{t;:0;6?uQ329>6``=9:h0q~:;:181[23348nh7?6<1s-9=57>6=44b3d6>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a6c5=8391<7>t$24:>03<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06oo4=3d6>45f348m?7?>6=4={_66?84a;3;8n6s|2g394?4|5;l>6?;7;<0e0?74i2wx>k<50;0x97`42;?370{zj;lh6=4;:285!51138mo6a=9783>>o5jh0;66g<5;29?l212900n?hm:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2g;94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`1bd<72:0;6=u+37;960e<@:k87d?6}Y:kk01?hm:01b?84a13;8m6s|3483>7}Y;<16>kl512`8yv212909wS:9;<0e=?74j2wx>k950;0x97`e2;?370{t:o21<773?348mm7?49{%15=?57:2e95:4?::k1fd<722c8:7>5;h64>5<kh50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;7524a8L6g43`;8m7>5;h30f?6=3f8>47>5;|q1=2<72;qU>494=223>73?3ty9nl4?:2y]6gg<5:::6<=n;<0eb?74i2wx?;4?:3y]73=:;9;1=>l4}r64>5<5sW><70{t:on1<773?349;<7?5<32:0=w)=99;13<>i5110;66g=b`83>>o4i3:17d=i:188f66029086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;9?1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?7}Y:0201>>9:37;?xu5jh0;6>uQ2cc89660289j70=?5;30e>{t;h0;6?uQ3`9>751=9:h0q~=i:181[5a349;97?>8:37;?857>3;8m6s|31694?4|5::>6?;7;<132?74j2wvn>>j:187>6<1s-9=57=?e:m1=<<722c9nl4?::k03?6=3`>26=44b22g>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a75d=8391<7>t$24:>03<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06oo4=22g>45f349;n7?26=4={_6:?857j3;8n6s|31;94?4|5::o6?;7;<13g?74i2wx?=o50;0x966e2;?370=?c;30f>{zj;o?6=4;:285!51138n86a=9383>>o5jh0;66g<8;29?l2?2900n?k<:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2d394?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`1a7<72:0;6=u+37;960e<@:k87d?6}Y:kk01?k<:01b?84b93;8m6s|3983>7}Y;116>h=512`8yv2?2909wS:7;<0f5?74j2wx>ih50;0x97c42;?370{t:l:1<773?348n>7?49{%15=?4c:2e94h4?::k1fd<722c857>5;h6;>5<nh50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;7524a8L6g43`;8m7>5;h30f?6=3f8>47>5;|q1<`<72;qU>5k4=3f3>73?3ty9nl4?:2y]6gg<5;n:6<=n;<0`b?74i2wx?44?:3y]7<=::m;1=>l4}r6;>5<5sW>370{t:jn1<773?348o<7?5<32:0=w)=99;0fe>i51:0;66g=b`83>>o4j3:17d=k:188f7c>29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e:l=1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?7}Y:0901?k7:37;?xu5jh0;6>uQ2cc897c>289j70{t;k0;6?uQ3c9>6`?=9:h0q~=k:181[5c348n;7?6<1s-9=575<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a6a3=8391<7>t$24:>03<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n06oo4=3f4>45f348o97?i:50;0x97b22;?370{zj;h26=4;:183!5113?37E=n3:k27d<722c:?o4?::k27f<722e9954?::a762=83>1<7>t$24:>73b3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm44494?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi88<50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{e28?;7E=n3:k27<<722e9954?::a06?=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm42f94?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi89o50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{e;=81<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n065}#;?319;5G3`18m45f2900e<=m:188k73?2900qo==c;297?6=8r.8:44=5b9K7d55<50z&02<<5=j1C?l=4i01b>5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900qo<97;297?6=8r.8:44:5:J0e6=n9:k1<75f12`94?=h:<21<75rb3`4>5<3290;w)=99;06a>N4i:1b=>o50;9j56d=831b=>m50;9l60>=831vn>5<7s-9=57;k;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>i5=10;66sm32394?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`076<72=0;6=u+37;91<=O;h90e<=n:188m45e2900e<=l:188k73?2900qo::5;297?6=8r.8:44:7:J0e6=n9:k1<75f12`94?=h:<21<75rb545>5<2290;w)=99;7f?M5f;2c:?l4?::k27g<722c:?n4?::k27a<722e9954?::a06>=83?1<7>t$24:>0c<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<?57>54;294~"4>00>56Fl50;9j56e=831d>8650;9~f12d290?6=4?{%15=?42m2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb20;>5<4290;w)=99;74?M5f;2c:?l4?::k27g<722e9954?::a6d4=8391<7>t$24:>03<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<53;294~"4>00>:6Fl50;9l60>=831vn?o7:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm2`c94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`1f6<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yg4e=3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj:8j6=4=:183!5113;><6F8650;9~f16b29096=4?{%15=?7282B8m>5f12;94?=h:<21<75rs8g94?e|V0o01>?8:`589=g=i>16??85a69>6gg=i>165>4n7:?1g4>97?6512c8915d289j70:;9;30e>{t<<91<738>46s|45594?4|V=><70:;a;06<>{t<9i1<7d}Y01164l4nb:?120<4=k16>o7512c8964a289o70=<1;30e>;4;:0:?o522`6956g<5;k=6<=n;<0a7?74i2wx?9?50;1xZ626349?>7?=9:180[54>278?o4>3c9>77>=9:k0q~:89;291~X3?01688;512`89101289i70:<8;30f>;3;j0:?o5rs574>5<5sW>>;63;67827f=z{;3h6=4;{_0:g>;5j00:?o5233d956d<5=>26<=m;|q714<72;qU88?4=571>73?3ty8o?4=3`2>71f348i?7?50;7x96702;:;707l:263?853:38>463<30827g=:;:91=>m4}r0`6?6=:r79o<4nb:?1g3<5k;1v?om:18184f839>n63=ab811==z{1k1<7l>5371897gb2;?37p}=ag83>7}::h:1m5522c2960>53z?063<5?h16?9<512c8965e289j7p}<2883>7}:;;<1?8l4=20b>73?3ty9n54?:7y>741=9oo01?li:3`;?8?d2;h370;5j0099552275956d8n7>52z?77a<6;0168>m524:8yv21=3:1>v3;66827<=:864}r126f0=;8201><5:8m6<=l;|q05<<72;q6?<9527c8964d289i7p}<1`83><}:;8=1>;m4=3`e>67f343h6>?n;<0`2?56i279::4>3`9>6d4=9:k01?o9:01a?84fi3;8n63=b4827g=z{;h96=4={<0a3?74i279n>4=599~w67e290>w0=>7;05a>;5k90jn63=bg805g=:1j08=o522`a956d52z?1f2<6;j16>o;524:8yv56k3:1>v3<168135=:1j08=n5rs3c2>5<5s48jo7??k:180856?38<=636c;12`>;5k?08=i5rs3c0>5<5s48ji7?6=4<{<051?40i279m54>3`9>6dg=9:k0q~54z?052<5?:16>o9512`897g3289i70{t:h31<745e348jm7<:8:p061=838p19=6:01:?824038>46s|33g94?4|5:9?6<=n;<11b?4202wx?>>50;0x9653289i70=<1;06<>{t;:81<745d3498?7<:8:p01>=838p19:6:37;?823k3;8m6s|41f94?4|5=:h6ll4=52f>73?3ty9nl4?:ey>6gg=:>k01?ml:3`b?84cm38im63=f181fd=::o<1>oo4=3d`>7df349;>7;5mh09nl522e:96gg52z?1fdw0=>7;3eb>;>k399h63<35811==::h81=>l4=3`6>45f3ty8>o4?:3y>770=i116??m524:8yv41>3:1>v3=648b<>;5>>09955rs3`5>5<5s48i=7om;<0a3?4202wx??950;0x964?2;?370==a;30=>{t1:0;6?u292813d=:<9o1=>74}r0b4?6=>r79m=4=7`9>6g?=9:i01>=<:01b?821>3;8h63;39827a=:<=31=>m4}r67f?6=:r7?8l4>389>01e=:<20q~7;:1818?42hh014m5959~w7e72909w0;35<5s48h=7<8a:?70f<6;k1v9;;:181822>3;8563;54811==z{;3;6=4={_0:4>;5l1095=5+34496=`6=5rs3:f>5<5sW83i63=d381<`=#;<<1>5h4n276>4=z{;326=4={_0:=>;48l09545+34496=`6?5rs3;;>5<5sW82463<0981===#;<<1>5h4n276>6=z{;3<6=4={_0:3>;48;095:5+34496=`695rs3;5>5<5sW82:63=fb81=3=#;<<1>5h4n276>0=z{;3>6=4={_0:1>;5n?09585+34496=`6;5rs3;7>5<5sW82863=f181=1=#;<<1>5h4n276>2=z{;386=4={_0:7>;5mh095>5+34496=`655rs3;1>5<5sW82>63=e581=7=#;<<1>5h4n276><=z{;3:6=4={_0:5>;5ll095<5+34496=`6l5rs3:g>5<5sW83h63=cb815h4n276>g=z{:l1<7;9:2g8j632291v>j50;1xZ6b<5;oj6>j4=3f;>6b<,:?=6>k4n276>4=z{:h1<7=t^2`897cf2:h01?j7:2`8 6312:o0b>;::39~w6g=838pR>o4=22;>6g<,:?=6>k4n276>6=z{:31<7;9:2g8j6322=1v>650;0xZ6><5;o?6>64$275>6c685rs2594?4|V:=01>>j:258 6312:o0b>;::79~w60=838pR>84=221>60<,:?=6>k4n276>2=z{:?1<7;9:2g8j632211v>:50;0xZ62<5;l=6>:4$275>6c645rs2194?4|V:901?h?:218 6312:o0b>;::`9~w64=838pR><4=3ff>64<,:?=6>k4n276>g=z{=k1<7;9:2g8j6322j1v9750;0xZ1?<5::n6974$275>6c6i5rs5:94?5|V=201?k;:5:897b52=20(>;9:2g8j6322l1v9950;0xZ11<5::96994$275>6c6k5rs5494?4|V=<01?hl:548 6312:o0b>;::028yv222909wS::;<0e2?223-9>:7=j;o161?763ty?87>52z\70>;5n90?86*<5780a>h4=<0:>6s|4283>7}Y<:16>ik5429'700=;l1e?8;5129~w6e=838pR>m4=3a`>6e<,:?=6>k4n276>42{I037>{K;h<1>v`;8b86a>"30k08m<5r$24:>4013`3n6=44i042>5<5<5<>i2<3:17b=ic;29?g51<3:1n7?5bzN0e0<6sA8;?6sC3`495~"30k0:::5r$24:>4533`k<6=44o34;>5<5<5<5<5<5=?50;9j654=831bm44?::m12=<722e9:l4?::m12f<722e9:h4?::m135<722hm57>53;397~J4i<0:wE5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psmfg83>6<62:qG?l;51zJ146=z,:<26k64i``94?=h:>k1<75fa683>>d729086=4?{%15=?`33A9j?6B3;6sf8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W81>7;t$24:>cc:1<75`f`83>>dal3:1?7>50z&02<<2=2B8m>5f12c94?=n9:h1<75`24:94?=zjoi1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxj44?:3y]b<=:nm0:?l5rs321>5<5sW8;>63id;30f>{t:>:1<7bf<6;h1vqo??9;29089j5cc=831bj44?::k135<722c950z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj8:?6=4<:183!5113?=7E=n3:k27d<722c:?o4?::m11=<722wi==850;794?6|,:<268j4H2c0?l74i3:17d?0683>0<729q/?;752728L6g43`;8m7>5;h30f?6=3`;8o7>5;h30`?6=3f8>47>5;|q2b`<72;qU=kk4=02;>45e3tym57>54z\e=>;6810:?l52110956g<58:=6<=m;|q135<72:qU>:>4=021>45e34;;87?=;<330?74i2wx=kh50;0xZ4`a34;;:7??;<332?74l2wx>=?50;0xZ76634;;:7??;<333?4202wx==?50;0x946?2;?370??7;30e>{t9981<773?34;;;7?;:37;?877?3;8o6s|11794?4|58:=6?;7;<333?74l2wvn?86:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm27`94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`12a<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg41n3:1?7>50z&02<<2?2B8m>5f12c94?=n9:h1<75`24:94?=z{o31<79t=g;962g<5oo1j45211;9b<=::?31=>o4=34a>45e348=h7?fd9~w4`a2909wS?if:?24<<6no1v?>?:181[47827:<44=019~w7662909wS=:180[47:27mi7{t:?21<746s|27c94?4|V;{t:?i1<746s|27g94?4|V;{t:>:1<76t^353?8`a2;=j70hj:353?877138<<63=68827g=::?h1=>o4=34g>45f348=j7?bc019~yvg02909wSo8;<306?g>3ty9:54?:3y]63><58996?87;|q12d<72;qU>;o4=011>70f3ty9:n4?:3y]63e<58996?8l;|q12`<72;qU>;k4=011>70b3ty9;=4?:3y]626<58996?9?;|q2b`<72;qU=kk4=011>4`b3ty:jk4?:3y]5c`<58996=>4=011>7673ty9<<4?:3y]657<58996?>>;|q147<72;qU>=<4=011>7653twi=;>50;195?5|D:k>63;p(96m:044?x"4>009>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87A=n5;3x 42?2=2<7pg7d;29?lge2900c?9n:188yvg02909wSo8;<297}Yik16<7om;|a5=1=8391=7=tL2c6>4}O:990qA=n6;3x 1>e28<<7p*<68814f=nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?l>c2900ell50;9l62g=831vl950;0xZd1<5903h6s|24094?4|5909;l5Q26c8yv42;3:1>vPnb:?3>dd0}K;h?1=vF=029~H6g128q/85l51758y!51138;j6gnb;29?j40i3:17do8:188md>=831b5k4?::`3>5<2290;w)=99;d5?M5f;2c2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo<;2;290?4={I037>{K;h<14v*;8c8222=#<131?h<4$5:2>dd<,=286?9n;%64b?g03g>63e3g>5;hca>5<5<4>:2yO7d3=9rB9<>5r$24:>76e3`ki6=44o35b>5<{t:<91<7m=:181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<7:o50;0xZ71f34:1>:o4}r16f?6=:rT89o523b0956?52z?3>dd<5:i96?;7;|ae3<72=0:6>uC3`795~N58:1v@>o9:9y'0=d=9?=0(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?=39>n6`;7e83?x"4>009=<5fa683>>ofj3:17b<8a;29?l52j3:17o>50;195?5|D:k>6X5?h1v?;<:181[ge34:1mo5r}rc4>5<5sWk<70>5a69~w71f2909wS<8a:?3>71f3ty89o4?:3y]70d<590jn6srb4694?3=:3?p@>o::0yK6554003->357=j2:&7<44=7`9'02`=i>1e8:l51:&7<5;%6;2?51;2d?;h4>;|&02<<59;1bm:4?::kb5<0<52m;hca>5<t$24:>c0<@:k87d7k:188m<`=831b4i4?::kbf?6=3f85;|`0e1<7280;6=u+37;956><@:k87b?<7;29?xuf?3:1>vPn7:?3>=bv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb2fa>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~wd1=838pRl94=18b3>{ti10;6?uQa99>7ad=9:h0q~<8a;296~X5?h16<7<8a:p735=839pR>8<;<29eg=:;mh1=>o4}r1ge?6=:r7;6l64=2fa>73?3twi=4h50;796?3|D:k>63;p(96m:044?x"4>009>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1b5i4?::k:b?6=3`2o6=44i``94?=h:>k1<75rb2c7>5<6290;w)=99;30<>N4i:1d=>950;9~wd1=838pRl94=18;`>{ti10;6?uQa99>4??c3ty99?4?:3y>7d2=9:=01=46f:p605=838p1=4=7`9]62g87>52z\bf>;72hh0qpl>eb83>0<525;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c3be?6=;3;1?vB>1v(>86:32`?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{zj8l;6=4<:080I5f=3;pD?><;|N0e3<6s->3n7?97:'73?=:9i0ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2c3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psm19:94?5=939p@>o::0yK6554003t.8:44=0b9jeg<722e9;l4?::kb3?6=3k:1<7=50;2x 60>2o>0D>o<;h:g>5<8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd6l>0;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1=;94}%15=?47k2cjn7>5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d5>i5?h0;66s|a683>7}Yi>16<76k;|q117<72;q6<7<8a:\13d=z{;?86=4={_ca?86=ik1vqo:m:180>4<4sE9j97?tH320?xJ4i?0:w):7b;353>{#;?31>=m4i``94?=h:>k1<75fa683>>d729086=4?{%15=?`33A9j?6g7d;29?lge2900c?9n:188yvg02909wSo8;<297}Yik16<7om;|a70b=83?1>7;tL2c6>4}O:990qA=n6;cx 1>e28<<7):79;1f6>"3080jn6*;82813d=#<>l1m:5a46`95>"3090j46`;7b82?!2?=39>n6`;7e83?x"4>009=>5fa683>>of03:17dom:188k71f2900e>;m:188f5<72<0968uC3`795~N58:1v(>86:32a?lge2900c?9n:188md1=831bm54?::k:b?6=3k:1<7;50;2x 60>2o<0D>o<;M1b1?7|,8>36968;|k:`?6=3`3m6=44i9f94?=nik0;66a=7`83>>{e;h>1<7?50;2x 60>28937E=n3:m272<722wxm:4?:3y]e2=:832o7p}n8;296~Xf027;64j4}r066?6=:r78m94>369>4??a3ty99>4?:3y>4?40i2T9;l5rs377>5<5sWki70>5ac9~yg5c13:1?7>50z&02<<5=m1C?l=4i01b>5<5<1vl650;0xZd><5:n26<=m;|q13d<72;qU>:o4=1813d=z{:?i6=4<{_16f>;72hh01>j6:01b?xu4l10;6?u20;c;?85c138>46srb0c0>5<22;0>wA=n5;3xL7643tF8m;4n{%6;f?71?2.?4445;n04e?6=3`9>n7>5;c294?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c1g=?6=;3:1289i7p}=7`83>7}Y:>k01=4=7`9~w63e2908wS=:b:?3>dd<5:n26<=n;|q0`=<72;q6<7o7;<1g=?4202wvn7<2sE9j97?tH320?xJ4i?0jw):7b;353>"30008i?5+4939eg=#<191>:o4$55e>d1i1=6*;84801g=i<>n1<6s+37;9674>ofj3:17b<8a;29?l52j3:17o>50;796?3|D:k>6=831b5k4?::`3>5<2290;w)=99;d5?M5f;2c2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo=k9;297?6=8r.8:44=5e9K7d55<5<5sWk<70>5a69~wd>=838pRl64=2f:>45e3ty9;l4?:3y]62g<5909;l5rs27a>5<4sW9>n63?:``896b>289j7p}7}:83k370=k9;06<>{zj:lh6=4::285I5f=3;pD?><;|N0e33n7?97:&7<<<4m;1/85?5ac9'0=5=:>k0(99i:`58j11e281/85>5a99m02e=92.?4;4<629m02c=82w/?;752068md1=831bm54?::kbf?6=3f85;h157?6=3k:1<7;52;7xH6g228qC>==4}%15=?47j2cjn7>5;n04e?6=3`k<6=44i`:94?=n1o0;66l?:186>5<7s-9=57h9;I1b7>o>l3:17d7i:188m=b=831bmo4?::m13d<722wi?l:50;394?6|,:<26<=7;I1b7>i6;>0;66s|a683>7}Yi>16<76k;|qbv37}:83852;294~"4>00:9=5G3`18m45>2900c?;7:188yg5cj3:1?7>50z&02<<5=m1C?l=4i01b>5<5<1vl650;0xZd><5:ni6<=m;|q13d<72;qU>:o4=1813d=z{:<86=4<{_157>;4l00:?4523e`956g52z?3>d><5:ni6?;7;|q0`=<72;q6<7om;<1g=?4202wvn:184>6<>s-9=57?l1:m2fa<722c347>5;h355<5<c183>6<729q/?;75549K7d55<{t==0;6?uQ559>5g`=9:k0q~=:d;296~X4=m16=oh512`8yv7f>3:1>vP>a79>5gc=9:i0q~?l2;296~X6k;16=ok512f8yv7em3:1>v3>bd811==:9j:1=>o4}r3ab?6=:r7:nk4=599>5f6=9:h0qpl>b983>d<028:p(>86:0`;?j7fl3:17d=98;29?l332900e3`83>>o6;k0;66a=5983>>{e9hl1<7:50;2x 60>2<30D>o<;h30e?6=3`;8n7>5;h30g?6=3f8>47>5;|`2f5<72:0;6=u+37;960e<@:k87d?4?:1y'73?==<1C?l=4i01b>5<5<53;294~"4>00>96Fl50;9l60>=831vn5<7s-9=57;6;I1b7>o6;h0;66g>3c83>>o6;j0;66a=5983>>{e9k<1<7:50;2x 60>2;?n7E=n3:k27d<722c:?o4?::k27f<722e9954?::p5db=838pR45e3ty:mo4?:3y]5dd<58km6<=m;|q73<<72;qU8:74=0ce>45d3ty8:84?:3y]733<58h86<=n;|q2ed<72:qU=lo4=0`0>45e34;i97?89;<3a1?74k2wx=;>50;0xZ40734;i97?8<50;0x94d72;?370?m1;30f>{t:<91<773?34;i:7?3;8n6s|1c694?4|58h>6?;7;<3a2?74k2wvn2<68r.8:44>fb9l5c7=831b?;650;9j11<722c:nn4?::k2eg<722c?;44?::k020<722c:j=4?::k023<722c::=4?::`2bg<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg7a;3:187>50z&02<<2i2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb0d7>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~f4`229086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e9o=1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n065}#;?31945G3`18m45f2900e<=m:188m45d2900c?;7:188yg7ai3:187>50z&02<<5=l1C?l=4i01b>5<5<52z\02==:9o?1=>o4}r77>5<4sW??70?ib;30f>;6n:0:?o5rs0``>5<5sW;io63>fc827d=z{8ki6=4={_3bf>;6n:0:?n5rs55:>5<5sW><563>f2827d=z{:<>6=4={_151>;6n>0:?l5rs0d3>5<4sW;m<63>f6827g=:9o31=>l4}r152?6=:rT8:;521g;956e52z\225=:9o31=>o4}r3e6?6=:r7:jo4=599>5c2=9:k0q~?i3;296~;6n:0995521g6956d>7>52z?2b1<5=116=k;512`8yv42;3:1>v3>f4811==:9ok1=>o4}r3e2?6=:r7:j:4=599>5cg=9:h0q~?i8;296~;6n00995521gc956e43|,:<26<7l;n3;=?6=3`9=47>5;h77>5<5<5<6=44i0;g>5<7E=n3:k27d<722c:?o4?::m11=<722wi=4>50;194?6|,:<26884H2c0?l74i3:17d?9383>6<729q/?;75579K7d55<<3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj83>6=4<:183!51138>o6Fl50;9l60>=831vn<79:180>5<7s-9=57;:;I1b7>o6;h0;66g>3c83>>i5=10;66sm18:94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`2=d<72:0;6=u+37;912=O;h90e<=n:188m45e2900c?;7:188yg7>j3:1?7>50z&02<<5=j1C?l=4i01b>5<5<53;294~"4>00>96Fl50;9l60>=831vn<6k:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm19g94?2=83:p(>86:37f?M5f;2c:?l4?::k27g<722c:?n4?::m11=<722wx=5750;0xZ4>>34;3i7<:8:p73>=838pR>87;<3:2?74i2wx994?:4y]11=:91l1=>o4=0;7>45f34;247?n27:4k4>3c9>5<>=9:h0q~?nb;297~X6ik16=4>512`894?5289j7p};2683>6}Y<;=01<7?:01b?87>i3;8n6s|19:94?5|V82370?62;30f>;60m0:?o5rs246>5<5sW9=963>8c827d=z{83o6=4={_3:`>;60m0:?l5rs0:b>5<5s4;3j7<:8:?2=0<6;h1v<6l:18187>838>463>92827d=z{83:6=4={<3:6?42027:5>4>3c9~w7352909w0?63;06<>;61=0:?o5rs370>5<5s4;287<:8:?2=0<6;k1v?;;:18187>=38>463>97827g=z{;?>6=4={<3:2?42027:4h4>3`9~w4?02909w0?68;06<>;61k0:?l5rs0;:>5<5s4;2m7<:8:?2=g<6;k1v?;9:18187>j38>463>8c827g=z{;?<6=4={<3;f?42027:4h4>3c9~w4>c2909w0?7d;06<>;60l0:?n5r}c3ff?6=13n1=8u+37;95`d5<1<75f1da94?=n9hh1<75f44594?=n9m=1<75f37794?=n90n1<75m1eg94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`2`c<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg7b93:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj8o96=4<:183!51138>o6Fl50;9l60>=831vn5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm1d694?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::a5`3=8391<7>t$24:>03<@:k87d?0;6>4?:1y'73?==<1C?l=4i01b>5<5<53;294~"4>00>;6Fl50;9l60>=831vn5<7s-9=57<:c:J0e6=n9:k1<75f12`94?=h:<21<75rb0fb>5<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a5ae=8391<7>t$24:>00<@:k87d?o<;h30e?6=3`;8n7>5;h30g?6=3f8>47>5;|q2`=<72;qU=i64=0fg>73?3ty8:54?:3y]73><58o>6<=n;|q60?6==rT>863>dd827d=:9l91=>o4=0g4>45f34;n57?3c9~w4ge2908wS?nb:?2`c<6;k16=h?512c8yv22?3:1?vP;569>5a`=9:k010;6>uQ1e5894c6289i70?kc;30f>{t;??1<7{t9m31<773?34;n87?8<50;0x94c52;?370?j3;30f>{t:<91<773?34;n87?6?;7;<3g`?74i2wx=h850;0x94c02;?370?ja;30e>{t9l21<773?34;nm7?{zj=;h6=4=:183!5113;><6F8650;9~f63a290?6=4?{%15=?3>3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm1`794?2=83:p(>86:4;8L6g43`;8m7>5;h30f?6=3`;8o7>5;n065}#;?31>8j4H2c0?l74i3:17d?6<729q/?;75549K7d55<N4i:1b=>o50;9j56d=831b=>m50;9j56b=831d>8650;9~f4e4290?6=4?{%15=?42m2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb0c`>5<3290;w)=99;7:?M5f;2c:?l4?::k27g<722c:?n4?::m11=<722wi=4k50;194?6|,:<26?;l;I1b7>o6;h0;66g>3c83>>i5=10;66sm37394?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::a612=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm1`394?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi=hk50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{t1l0;6<=t^8g896032h=01<8?:`5894>02h=011145e3ty:m;4?:5y]5d0<58i:63`9~w4362909wS?:1:?225134;3;7om;|q2e2<72;qU=l94=0c4>71f3ty8ii4?:3y]7`b<5=;i6<=n;|q;63e34;j;7=:b:?0bf<4>:16=n?5899>5de=9:i0q~?na;297~X6ih16=lo526c894d?28kj7p};2683>6}Y<;=01<7l:504?87f93;856s|17:94?0|V8<370=94;034>;6k80::55234d956e<5=;i6<=l;<3bg?74j2wx8:750;7xZ11>34;io7om;<3a04d=9:n0q~::7;297~X3=>16=hl5445894cb28927p}>8983>6}Y91201<67:35b?87>k3;346s|1g294?5|V8l;70?i0;04e>;6nj0:j=5rs22e>5<5sW9;j63;1c827g=z{=;26=4={_62=>;39j09955rs0c0>5<5sW;j?63>a2813d=z{8n<6=4<{_3g3>;6l>09;l521d`95a1i6=4={_6a?82e2;=j7p}:4;29e~X2<278:94>fd9>11<5?h16=n?5559>5g>===16=km5559>570`=9:k017}:;?>1>;m4=0a0>45d3ty:j<4?:3y>5c6=ik16=km51g38yv5103:1;v3<65812`=:9k21?;64=0d`>60?34;2o7=98:?2ag<4>116=4k512c89606289i7p};1`83>7}:<8i1=>74=53a>73?3ty:h54?:3y>5a1=ik16=hl51e:8yv7el3:1?v3>bb8b<>;6k80:ni52256956?h7>56z?021<58816?8j526c894e62:?o70?n5;30g>;4nl0:?l521`a956gi7>52z?01a;6>909;l521c:9536<58lh6<8?;|q2ea<72;q6=lo5ac9>5g>=9hn0q~=k7;296~;4>=09;=524c8bf>{ti?0;6>u2a7813d=:==0j463>a8827g=z{;>86=4={<076?52j279894=599~w4g?2909w0?n7;c;?87f138>46s|1`294?4|583m6ll4=0c2>73?3ty:o?4?:3y>5f7=9j801u21ca962g<58h369<50;6x97252;=j70;;:240?87>n3k370?jc;c;?xu61o0;6?u218d962g<583h6<7i;|q2<<<72;q6=565ac9>5;6mk0:5i5218g960>52z?0bf02909w0?77;04e>;6i<0:?o5rs0c7>5<5s4;j?7o7;<3b1?4202wx=hj50;0x94cd2hh01=}:;?>1>;64=`4970d<58h36>8:;<3eg?51=27:5n4<649>5`d=;??01m3;8n6s|37494?2|5:27:jn4<679>5f5=9:h0qpl40=;m0>>vB285<5<a`83>>o?m3:17b:=7;29?l7f:3:17d9j:188k1302900ehm:188k1712900c9?k:188k1d=831d8;650;9a5f2=83n1=7jtL2c6>4}O:990qA=n6;3x 1>e28<>7p*<688270=ni>0;66a=6983>>i5>h0;66a=6b83>>i5>l0;66a=7183>>i5?80;66a=7383>>o6nl0;66g>fg83>>o5890;66g=0083>>o58;0;66l>3383>ao::0yK6555;n055;n05g?6=3f8=i7>5;n044?6=3f8<=7>5;n046?6=3kl26=4<:080I5f=3;pD?><;|&02<5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d56k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qplif;297?7=;rF8m84>{I037>{#;?31j55fac83>>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87A=n5;3x 42?2=2<7pg7d;29?lge2900c?9n:188yvg02909wSo8;<297}Yik16<7om;|a55g=8391=7=tL2c6>4}O:990q)=99;d;?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F7}:83853;397~J4i<0:wE5;c294?5=83:p(>86:g68L6g43E9j97?t$06;>1>03tc3h7>5;hca>5<5<5sWk<70>58e9~w7352909w0>526c8Z71f3ty99>4?:3y]eg=:83ki7psm10594?5=939p@>o::0yK6555<50z&02<5C3`795~"6<10?4:5ri9f94?=nik0;66a=7`83>>{ti>0;6?uQa69>4?>c3ty99?4?:3y>4?40i2T9;l5rs370>5<5sWki70>5ac9~yg7603:1?7?53zN0e0<6sA8;?6s+37;9b==nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?I5f=3;p(<:7:5:4?xo?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twi=?>50;195?5|D:k>6N4i:1G?l;51z&20=<30h1ve5j50;9jeg<722e9;l4?::pe2<72;qUm:520;:g?xu5=;0;6?u20;04e>X5?h1v?;<:181[ge34:1mo5r}c33=?6==391;v*<68824<=n:>:1<75f1gd94?=n:9;1<75f21094?=h99:1<75m11794?2=83:p(>86:4;8L6g43`;8m7>5;h30f?6=3`;8o7>5;n065}#;?31945G3`18m45f2900e<=m:188m45d2900c?;7:188yg77<3:1?7>50z&02<<5=j1C?l=4i01b>5<5<53z\135=:99?1=>m4=021>45d3ty:jk4?:2y]5c`<58:>6<=n;<336?74i2wx>=?50;0xZ76634;;97?=;<336?74j2wx==>50;0xZ46734;;87<:8:p557=838p1<>::37;?877<3;8m6s|11094?4|58:96?;7;<330?74j2wvn60:k135<722c9<<4?::k147<722c:jk4?::k12`<722e:5<3290;w)=99;06a>N4i:1b=>o50;9j56d=831b=>m50;9l60>=831v?9?:180[40827:3b9>55b=9:k0q~vP=039>55`=9:k0q~?if;296~X6no16==j512`8yv41m3:1>vP=6d9>55c=9:i0q~??b;296~X68k16==k524:8yv77k3:1>v3>0g811==:99o1=>o4}r33`?6=:r7:55c=9:h0qpl>2983>3<320q/?;7513:8m70?2900e?>?:188m4`b2900e?9=:188m4`a2900c<<>:188f44029086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e9;91<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n0684?:283>5}#;?31985G3`18m45f2900e<=m:188k73?2900qo?=6;291?6=8r.8:44=619K7d55<5<=>50;0xZ76734;9;7?:<50;0xZ71534;9:7?3;8m6s|13194?4|58886?;7;<312?74j2wx=?:50;0x94422;?370?=6;30`>{zj8;m6=4::183!5113?h7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wx=kk50;1xZ4`b34;947?ie:?25c<6;j1vfg9>546=9ol01<<7:0de?876n3;8m6s|21294?5|V;:;70?=8;034>;69o0:?i5rs322>5<4sW8;=63>088144=:98:1>=?4}r036?6=;rT9=;|qb=?6=0rTj563i9;c4?8`a2h=01<>n:`5894762h=01576=:>k01<<7:34;?876n3;8n6s|27c94?5|V;7;ca?8760381;ca?876?381;04e>;69909:h5rs353>5<3sW8<<63>0`813d=:9931>:>4=033>7173ty9;<4?:2y]627<5o31mo52fg813d=z{;=96=4<{_046>;a138298137=z{8:;6=4={dd<58:26<>?;|q24g<72;q6==o5ac9>546=99h0q~?>9;296~;6910jn63>1g811==z{88:6=4={<314?ge34;947?=1:~wd1=838pRl94=011>d?52z\12==:9:81>;64}r05e?6=:rT9:l52120963g52z\12f=:9:81>;m4}r05a?6=:rT9:h52120963c52z\135=:9:81>:>4}r045?6=:rT9;<5212096277>52z\137=:9:81>:<4}r3ea?6=:rT:jh5212095cc52z\2bc=:9:81=kh4}r034?6=:rT9<=52120965652z\144=:9:81>=?4}r036?6=:rT97>53;397~J4i<0:wE649~ 60>2;:h7dom:188k71f2900el950;9a4?6=;3:1N4i:1b4i4?::kbf?6=3f85;|qb3?6=:rTj;63?:9f8yv42:3:1>v3?:35b?[40i2wx>8=50;0xZdd<590jn6srb0`:>5<42808wA=n5;3xL7643tF8m;4>{%6;f?71=2w/?;7521a8mdd=831d>:o50;9je2<722h;6=4<:183!5113l?7E=n3:k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e9on1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6<8:;|&02<<58j1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43`2o6=44i``94?=h:>k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qpl<7983>6<52=qG?l;51zJ146=zD:k=65;hc4>5<4?:1y'73?=n=1C?l=4i9f94?=nik0;66a=7`83>>{eih0;6?4?:1y'73?=9<:0D>o<;h30=?6=3f8>47>5;|qb3?6=:rTj;63na;30=>{t:<81<7;fi38>46s|24194?4|5909;l5Q26c8yv42<3:1>vPnb:?3>dd53;090~J4i<0:wE649~ 60>2;8j7dom:188k71f2900el950;9a4?6=;3:1N4i:1b4i4?::kbf?6=3f85;|`be?6=:3:173?3ty99>4?:3y>4?40i2T9;l5rs377>5<5sWki70>5ac9~ygbf290<6?4m{M1b1?7|@;:87pB71f3->6>;m;o64`?70vV>;;:3y1=?4d2tc89n4?::kb3?6=3`9>n7>5;n0;0?6=,;=36?6<;o043?6<3f83>7>5$35;>7>43g8<;7?4;n0;5?6=,;=36?6<;o043?4<3f85$35;>7>43g8<;7=4;c294?d==3ip@>o::0yK6556c53->3=7om;%6;7?40i2.?;k4n7:l73g<73->397=:b:l73a<63t.8:44=149Y84rZ277>7}513896pg<5b83>>of?3:17d=:b;29?j4?<3:1(?97:3:0?k40?3:07b<72;29 71?2;287c<87;38?j4?93:1(?97:3:0?k40?3807b<8b;29 71?2;287c<87;18?ld2290/>:65b59m621=821bn>4?:%045<#:>21n95a26596>=nij0;6)<88;`7?k40?3907o>50;790?>|D:k>633p(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c83?!2?=39>n6`;7e82?!2?>39=?6`;7d82?x"4>009=;5fa683>>ofj3:17b<8a;29?l51;3:17d=:b;29?g6=8391=7=tL2c6>4}O:990q)=99;03f>ofj3:17b<8a;29?lg02900n=4?:283>5}#;?31j95G3`18m=b=831bmo4?::m13d<722wxm:4?:3y]e2=:832o7p}=5383>7}:8387>53;294~"4>00>:6Fl50;9l60>=831vn>jj:180>5<7s-9=57<:c:J0e6=n9:k1<75f12`94?=h:<21<75rb383>7<729q/?;751428L6g43`;857>5;n0652z\b3>;528927p}nb;296~Xfj278hh4>3c9~w71f2909wS<8a:?3>71f3ty8:>4?:3y]735<5:nn6<=n;|q01g<72;qU?8l4=2a1>45f3ty:6=4={<29e2=::38>46s|3ef94?4|5:i96<=m;<1ga?4202wx?n?50;0x951?78tL2c6>4}O:990qA=n6;5x 1>>2:o97):71;ca?!2?;38h3?k0;7):75;16f>h3?m0:7p*<68815==ni>0;66gnb;29?j40i3:17d=:b;29?g6=8391=7=tL2c6>4}O:990q)=99;03f>ofj3:17b<8a;29?lg02900n=4?:283>5}#;?31j95G3`18m=b=831bmo4?::m13d<722wxm:4?:3y]e2=:832o7p}=5383>7}:8387>53;294~"4>00>:6Fl50;9l60>=831vn?4?:383>5}#;?31=8>4H2c0?l7413:17b<:8;29?xuf?3:1>vPn7:?1>45>3tyjn7>52z\bf>;4k;0:?o5rs35b>5<5sW8:18186=i>16>7<:8:p7f7=838p1=4nb:?0g7<5=11vqo?::187>6<1sE9j97?tH320?xJ4i?0"3080jn6*;82813d=#<>l1m:5a46`94>"30<089o5a46f95>{#;?31><64i`594?=nik0;66a=7`83>>o4=k0;66l?:180>4<4sE9j97?tH320?x"4>009>i5?h0;66gn7;29?g6=8391<7>t$24:>c2<@:k87d6k:188mdd=831d>:o50;9~wd1=838pRl94=18;`>{t:<81<7k0q~<:3;296~Xfj27;6ll4}|`0g7<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg4=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66s|a683>7}Yi>16>7?<9:peg<72;qUmo523b0956d52z\13d=:838{t93:1>v3?:`5897<5=11v>m>:18186=ik16?n<524:8yxd6n3:187=56zN0e0<6sA8;?6sC3`493~"30008i?5+4939eg=#<191>:o4$55e>d177?3`k<6=44i``94?=h:>k1<75f34`94?=e83:1?7?53zN0e0<6sA8;?6s+37;965d0;66l?:180>5<7s-9=57h;;I1b7>o?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twi?n<50;194?6|,:<26884H2c0?l74i3:17d?5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<7:o4=1813d=z{:?i6=4={_16f>;4k;0:?l5rs083>7}:83k<70<524:8yv5d93:1>v3?:``896e52;?37psm29a94?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::p70e=839pR>;l;<29735<5;2h6<=m;|qb3?6==rTj;63?:`5896d1n7>53z\01g=:839>n63=8b827d=z{;2i6=4;{<1970d<58?1?8l4=0d970d<5;2h6?;7;|q1<1<72;qU>5:4=0d962g<,:?=6?6<;o161?67>52z\1<7=:9<09;l5+34496=56<5rs3:2>5<5sW83=63<:35b?!52>383?6`<5481?xu5?k0;6?uQ26`895<5?h1/?8852918j6322:1vo;50;0xZg3<58l1mo5+3449f1=i;7}Yj:16=84nb:&013;|qa6?6=:rTi>63<:``8 6312k>0b>;::39~wde=838pRlm4=18bf>"4=?0i86`<5480?x{e:=?1<7656;cxH6g228qC>==4}M1b2?3|,=226>jl;%6;6?db3->3j7=4$5:7>6243->3i7>4}%15=?47=2P3o71>vi7c<87;38?j5313:1(?97:26a?k40?3807b=;4;29 71?2:>i7c<87;18?le0290/>:65c79m621=821bo84?:%045<#:>21o;5a26596>=njo0;6)<88;a5?k40?3907o<;9;297?7=;rF8m84>{I037>{#;?31>?m4ica94?=njm0;66a<4283>>d4io0;6>4<:4y'73?=;hl0eom50;9jfa<722e88>4?::`0ef<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fl3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj:kn6=4<:183!51138>o6Fl50;9l60>=831vom50;1xZge<5:kh6<=m;<1b`?74i2wxni4?:2y]fa=:;hi1=>o4=2cg>45e3ty88>4?:3y]715<5:kn6?;7;|q0eg<72;q6?lm524:896gb289j7p}7}:;hn1>864=2cf>45e3twxnn4?:3y]ff=:;hl1nn5rscf94?4|Vkn01>oi:cf8yv53;3:1>vP<429>7d`=;=90qpl=4`83>6<62:qG?l;51zJ146=z,:<26?5<2:km7dll:188mgb=831d?9=50;9a7de=8391<7>t$24:>00<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900q~ll:180[dd349jo7?ol:37;?85fm3;8m6s|3`a94?4|5:ko6?;7;<1ba?74j2wvom50;0xZge<5:km6om4}r`g>5<5sWho70=nf;`g?xu4<:0;6?uQ351896ga2:>87psm25`94?5=939p@>o::0yK6555<:<:188f6gd29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;hn1<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?3c9>7db=9:k0q~lk:180[dc349jo7?:<:181[53;278mh4=599~w6ge2909w0=nc;06<>;4il0:?l5rs2c`>5<5s49jh7<:8:?0e`<6;k1vq~ll:181[dd349jj7ll;|qa`?6=:rTih63{t;=91<75<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a7f2=8391<7>t$24:>03<@:k87d?o<;h30=?6=3f8>47>5;|q0g4<72:q6>9o5bb9>7f4=:<201>m;:01a?xu4k:0;6?u225`9ff=:;j>1>864}r17g?6=:rT88n5225`9715<,:?=6>:m;o161?652z\00d=::=k1?9=4$275>62e3g9>97?4}r17=?6=:rT8845225;9715<,:?=6>:m;o161?452z\001=:;<91>864$275>62e3g9>97=4}ra4>5<5sWi<70<;b;`g?!52>3i=7c=:5;28yve22908wSm:;<07e?dc349h87?2d8984>;|q`0?6=;rTh863=488a`>;4k;0:?l5+3449g3=i;6s|bg83>1}Yjo16>975bb9>7f4=9:h01>;<:01:?!52>3i=7c=:5;18yxu4=j0;6?uQ34a895<4=j1vl950;0xZd1<590j;6s|34`94?4|V:?i70>534`8yv4?<3:1?vP=859>4?4?<279884l7:&013<50:1e?8;50:p6=4=839pR?6=;<296=4<5;>>6n;4$275>7>43g9>97?4}r0;5?6=;rT94<520;0;5>;5<<0h86*<5781<6=i;6s|26`94?5|V;=i70>526`897222kl0(>;9:3:0?k52=390q~=ma;296~;72k?01?:::26`?!52>39i56`<5483?xu4j10;6?u20;`0?843=39?m6*<5780f<=i;63=44800<=#;<<1?o74n276>7=z{:h96=4={<29ef=::=?1?9:4$275>6d>3g9>97=4}|`72=<72<086;uC3`795~N58:1v@>o9:`y'0=d=9??0(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;28 1>22:?i7c:8d;38y!51138:56gn7;29?lg?2900ell50;9l62g=831b?8l50;9a4?6==3819vB:o50;9je2<722cj47>5;h;e>5<50z&02<<6;11C?l=4o014>5<<5902h6s|24094?4|5:k?6<=8;<29=c=z{;?86=4={<2962g7}Yik16<7om;|a7f4=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm3e;94?5=83:p(>86:37g?M5f;2c:?l4?::k27g<722e9954?::pe2<72;qUm:520;c4?xuf03:1>vPn8:?0`<<6;h1v?9n:181[40i27;6?9n;|q01g<72:qU?8l4=2a1>45>349o57?j7:18186=i116?i7524:8yxd60:0;694=:4yO7d3=9rB9<>5rL2c5>4}#<1h1=;;4}%15=?46i2cjn7>5;n04e?6=3`k<6=44i6f94?=e83:187>50z&02<5f9g83>>o?l3:17dom:188k71f2900qoon:181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<77}Yik16<7om;|a537=83>1>7;tL2c6>4}O:990qA=n6;3x 1>e28<>7p*<68815d=nik0;66a=7`83>>of?3:17d9k:188f5<72=0;6=u+37;9b0=O;h90e4h50;9j5;n04e?6=3thjm7>52;294~"4>00:9=5G3`18m45>2900c?;7:188yvg02909wSo8;45>3ty99?4?:3y>4?>c34kj6?;7;|q4`?6=:rTv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb0a6>5<42808wA=n5;3xL7643tF8m;4>{%6;f?71=2w/?;7521a8mdd=831d>:o50;9je2<722h;6=4<:183!5113l?7E=n3:k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e9<;1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6<8:;|&02<<58j1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43`2o6=44i``94?=h:>k1<75rs`594?4|Vh=01=47d:p604=838p1=4=7`9]62g?7>52z\bf>;72hh0qpl;b;297?7=;rF8m84>{I037>{K;h<1=v*;8c8220=z,:<26?>l;hca>5<50z&02<5f8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W80;66l?:180>5<7s-9=57h;;I1b7>o?l3:17dom:188k71f2900qoon:181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=z{h=1<7v3?:35b?[40i2wx>8:50;0xZdd<590jn6srbbg94?5=939p@>o::0yK6554023t.8:44=1c9jeg<722e9;l4?::kb3?6=3k:1<7=50;2x 60>2o>0D>o<;M1b1?7|,8>36968;|k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e;o81<7=52;6xH6g228qC>==4}M1b2?7|,=2i6<8:;|&02<<5:h1bmo4?::m13d<722cj;7>5;c294?5=83:p(>86:g68L6g43`2o6=44i``94?=h:>k1<75rb`c94?4=83:p(>86:073?M5f;2c:?44?::m11=<722wxm:4?:3y]e2=:ih0:?45rs371>5<5s4:14i52a`811==z{;?86=4={<2962g7}Yik16<7om;|a071=8391>7:tL2c6>4}O:990qA=n6;3x 1>e28<>7p*<68816d=nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?l>c2900ell50;9l62g=831vnlo50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{ti>0;6?uQa69>ed<6;01v?;=:18186=0m16ml4=599~w7342909w0>526c8Z71f3ty9994?:3y]eg=:83ki7psm44594?5=:3>p@>o::0yK6554023t.8:44=2`9jeg<722e9;l4?::kb3?6=3k:1<7=50;2x 60>2o>0D>o<;h:g>5<28?;7E=n3:k27<<722e9954?::pe2<72;qUm:52a`827<=z{;?96=4={<295<5s4:1>:o4^35b?xu5==0;6?uQac9>4?ge3twi=nj50;497?>|,:<265;h3`2?6=3`;o:7>5;h3`a?6=3`;h97>5;c3`g?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f4ef29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e9jh1<7:50;2x 60>2;?n7E=n3:k27d<722c:?o4?::k27f<722e9954?::p5f>=838pR50;0xZ4b734;ho7?14?::k2`1<722c:994?::k2g`<722c:9<4?::`21f<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yg7203:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj8?j6=4<:183!5113?=7E=n3:k27d<722c:?o4?::m11=<722wi=8l50;594?6|,:<26?8=;I1b7>o6;h0;66g>3c83>>o6;j0;66g>3e83>>o6;l0;66g>3g83>>i5=10;66s|14494?4|V8?=70?:b;06<>{t9m:1<7{t9m;1<7{t9m91<7{t9<>1<7{t9<;1<7{t9<31<773?34;>n7?051<75f1bg94?=n86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`65?6=?3:173?3ty:h=4?:3y]5a6<5<81=>o4}r366?6=:rT:9?5253827g=z{8n:6=4={_3g5>;293;8n6s|1e094?4|V8n970;>:01`?xu6l:0;6?uQ1e18907=9:n0q~?k4;296~X6l=169<4>3d9~w4eb2909wS?le:?64?74i2wx8o4?:3y]0g=:=90:?o5rs5d94?4|5<81>864=43956g73?34?:6<=i;|a074=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66smee83>6<729q/?;75579K7d55<3`83>>o6;k0;66a=5983>>{em:0;6>4?:1y'73?==?1C?l=4i01b>5<5<=h7>54;294~"4>00>46Fl50;9j56e=831d>8650;9~f4>2290?6=4?{%15=?42n2B8m>5f12c94?=n9:h1<75f12a94?=h:<21<75rb53;>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~fa2=8391<7>t$24:>73d3A9j?6g>3`83>>o6;k0;66a=5983>>{emj0;684?:1y'73?==l1C?l=4i01b>5<5<5<6=4::183!5113?m7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wii:4?:483>5}#;?319i5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo:9f;291?6=8r.8:44:d:J0e6=n9:k1<75f12`94?=n9:i1<75f12f94?=h:<21<75rb53e>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a072=8391<7>t$24:>73d3A9j?6g>3`83>>o6;k0;66a=5983>>{e<;;1<7=50;2x 60>2o<;h30e?6=3`;8n7>5;n0653;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900qo?l7;290?6=8r.8:44:9:J0e6=n9:k1<75f12`94?=n9:i1<75`24:94?=zj8im6=47:183!51138=?6Fl50;9j56e=831b=>j50;9j56c=831b=>h50;9j516=831d>8650;9~f43429086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e92;?h7E=n3:k27d<722c:?o4?::m11=<722wi=8;50;194?6|,:<26884H2c0?l74i3:17d?2;<;7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wih?4?:283>5}#;?31985G3`18m45f2900e<=m:188k73?2900qo=i4;290?6=8r.8:44:9:J0e6=n9:k1<75f12`94?=n9:i1<75`24:94?=zj:l36=49:183!51138==6Fl50;9j56e=831b=>j50;9j56c=831d>8650;9~f14c290?6=4?{%15=?3>3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm43d94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`770<72?0;6=u+37;9637<@:k87d?6<729q/?;75549K7d55<N4i:1b=>o50;9j56d=831d>8650;9~f104290=6=4?{%15=?4192B8m>5f12c94?=n9:h1<75f12a94?=n9:n1<75f12g94?=h:<21<75rb57e>5<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a72g=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm46394?4=83:p(>86:073?M5f;2c:?44?::m11=<722wi=;=50;094?6|,:<26<;?;I1b7>o6;00;66a=5983>>{e;o<1<7<50;2x 60>28?;7E=n3:k27<<722e9954?::a065=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66sm47394?4=83:p(>86:073?M5f;2c:?44?::m11=<722wx=;?50;6xZ40634;h87vP;739>72g=9:30q~=i2;290~X4n;16=5=57e9>537=?m16?k<526c8yv27n3:1>vP;0g9>5=3=9:h0q~?:1;290~X6=816=8?526c8943c28?:70:9d;30f>{tl>0;6>uQd69>ac434;h87;<;1>dd<5:=36l94=dd9e2=:lh0j;63;698b3>;60:0j;63>608b3>{t9hk1<7i6l94=535>d1<5jo1m:523g09e2=:<;=1m:524459e2=z{=8<6=4<{_613>;3:>09;l5243d956g7>52z\2e7=:<:;1=>o4}r5f>5<2sW=n70?l4;034>;3:80:?l521b5956d<58?86<=m;|q712<72:qU8894=574>71f34>>h7?50;0xZ4`734;mh7om;|q0bg<72;qU?kl4=040>45>3ty?=;4?:3y]040<5=;=6?9n;|q75a<72;qU873?3ty?n7>53z\7f>;3j3810;6>uQ47:8910?2;=j70kk:01b?xubj3:1>v3jd;30f>;bk38>46s|9383>2}:9j>1=kh4=80962g<58i<6<=n;<361?74i27o<7?b8813d=:9kh1=>o4=50g>45e3tyo?7>52z?`a?ge34n?6?;7;|qg1?6=:r7o:7<:8:?g6?74j2wx=5:50;0x94>42hh01<6::37;?xu3::0;6?u2436960><5=8:6<=m;|q03=<72;q6?:6526c8910c289h7p}7}:;o>1>864=2d;>45f3ty?:?4?:3y>035=:<2019;i:01a?xudm3:1?v3le;04e>;3:=0:?l52d3827d=z{=?h6=4={<66`?42027?:>4>3c9~w13b2909w0::f;06<>;3>80:?45rsdd94?4|5ll1>:o4=d1956di7>52z?21c<5=116=8;512`8yvc72909w0jn:27a?8c62;?37p}j2;297~;ci39>o63j1;30e>;b;38>46s|e983>7}:m80:?o52e8811==z{:l<6=4={<1e389~w10d2909w0:98;16f>;3>m09955rs041>5<5s4;==7om;<357?4202wx8?m50;1x914c2;?370:<5;30e>;3>:0:?l5rs50f>5<5s4>9j7<:8:?770<6;k1v9=?:181824938>463;32827<=z{=;<6=4={<622?ge34>:47<:8:p5cb=839p1{tmh0;6?u2ee811==:91?1=>m4}r3ae?6=>r7:o94>fd9>5gd=:<2019?i:01b?87d?3;8o63>52827d=:;o>1=>l4}rae>5<5s4n?6<=n;73?3tyo=7>52z?g0?74j27o>7<:8:p5f>=838p17}:<8l1>864=507>45e3ty?>=4?:3y>074=9:3019<>:37;?xu6kl0;69u21bf95fc<58?o64eb34;hj7<:8:p72?=838p1>97:``8961f2;?37p}>5783>7}:9<;1mo5214f9500n6=4={<6a>dd<5<918h5rsd694?5|5l?1>864=d;956g<5==:6<=6;|qf2?6=:r7n;7<:8:?f=?74j2wx=i>50;:x94e32;<370?ld;3g4>;6=m0:h=525282`5=:9jl1=>o4=e2956e<5:l?6<=l;<61`?74k2wx=i?50;:x94e32;;2;3;o=63>cg827g=:l?0:?l523g:956d<5=8m6<=m;<66`?74j2wx=i<50;:x94e32;;2;3;o>63>cg827f=:l?0:?o523g:956e<5=9>6<=l;<657?74k2wx=i=50;;x94e32;;2;3;o?63;19827d=:9jl1=>j4=e4956e<5:l36<=k;<601?74l27?:>4>3e9~w4b32902w0?l4;044>;6=m0:h9525282`1=:<821=>l4=0ae>45b34n=6<=k;<1e3d9>035=9:o0q~?k5;296~;6k=09;<521bd956`53z?2g1<5?;16=nj51e4894ea28>;7p}7}:;o81mo523g4960>=<7>52z?71246s|42694?4|5=9>6?;7;<605?74j2wx8><50;0x91402hh019=<:37;?xu6k?0;6?u21bf95f0<58i<6?;7;|q2g0<72:q6=n;526c894ec28i>70:>f;30f>{t9<81<7=t=07g>43534?86<;=;<367?4202wx=8:50;0x943c28??70?:5;06<>{t45f34>=j7<:8:p`c<72af<6;m16i84>3e9>a2<6;m168;h512`8 6312mo0b>;::19~wab=83?p1io529089`e=9:i01h;512a89`1=9:h0198i:01g?!52>3nn7c=:5;38yvbd290>w0jn:3:2?8cd289i70k::01a?8c0289j70:9f;30e>"4=?0oi6`<5481?xucj3:19v3ka;04f>;bk3;8m63j5;30e>;b?3;8o63;6g827f=#;<<1hh5a34797>{zj:nm6=47:0;96c}K;h?1=vF=029~H6g12;qe85m5829'0=d=;h;0q)=99;c3?_52<39p>o4>b;f9yj5383:17d7j:188k6522900c9>>:188ma1=831b8?;50;9l006=831d;h4?::`20<<72>0:6:uC3`795~N58:1v@>o9:0y'0=d=i81v(>86:015?lg02900c?87:188k70f2900c?8l:188k70b2900e=00:845f1gg94?=n9ol1<75fa883>>i5>10;66a=6`83>>i5>j0;66a=6d83>>da13:1?7?53zN0e0<6sA8;?6s+37;9b==nik0;66a=7`83>>of?3:17o>50;194?6|,:<26k:4H2c0?I5f=3;p(<:7:5:4?xo?l3:17dom:188k71f2900q~o8:181[g034:14i5rs371>5<5s4:1>:o4^35b?xu5=:0;6?uQac9>4?ge3twijk4?:282>6}K;h?1=vF=029~ 60>2o20ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2F8m84>{%375;|qb3?6=:rTj;63?:9f8yv42:3:1>v3?:35b?[40i2wx>8=50;0xZdd<590jn6srbgg94?3=:386:gg8mc?=831b=kk50;9j5c`=831bjk4?::mee?6=3klo6=4;:183!5113?37E=n3:k27d<722c:?o4?::k27f<722e9954?::abf<72:0;6=u+37;960e<@:k87d?vPi9:?eg?74i2wx=kk50;0xZ4`b34lo6<=n;|q2bc<72;qU=kh4=gf956dbf<6;k1vqo??9;290?5=>r.8:44>089j5cc=831bjk4?::ke=?6=3f;;<7>5;c331?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f46529086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e99>1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wx=kk50;1xZ4`b34;;97?6<=m;|qe=?6=:rTm563>03827d=z{8:;6=4={_334>;68=09955rs022>5<5s4;;97<:8:?241<6;h1v<>=:181877:38>463>05827g=zuk8=57>53;294~"4>00>;6Fl50;9l60>=831vn?8m:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm27f94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`12c<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yv`>2906:g;8970>289i70<9b;30e>;5>m0:?o5227d956d71f34ln6kh4=02:>c`<5;<26<=n;<05f?74j279:i4>3`9>63`=9:k0q~?ie;297~X6nl16jh4>fd9>55?=9oo0q~?if;296~X6no16jh4>fg9~wd?=839pRl74=g;9e2=:no0j;6s|27:94?4|V;<370<99;06<>{t:?k1<746s|27a94?4|V;{t:?o1<746s|f`83>7}:n00jn63ie;db?xu6890;6?u2fg8bf>;6800:<=5r}rc4>5<5sWk<70?<2;c:?xu5>10;6?uQ27:894552;<37p}=6`83>7}Y:?k01<==:34b?xu5>j0;6?uQ27a894552;7}Y:?o01<==:34f?xu6nl0;6?uQ1gg8945528ln7p}>fg83>7}Y9ol01<==:0de?x{emo0;684=:4yO7d3=9rB9<>5rL2c5>3}#<1h1m<5+49;97`4<,=2:6ll4$5:0>71f3->c:X;g?4|9m0:o7sU34696~7b28k1qdo8:188k7>6290/>:652928j7102910c?9m:18'62>=:1:0b?98:098mg4=83.9;54m1:l132<732cjo7>5$35;>g76<62:qG?l;51zJ146=z,:<26?>l;hca>5<50z&02<5f8e83>>ofj3:17b<8a;29?xuf?3:1>vPn7:?3>=b>7>52z?3>71f3W8uC3`795~N58:1v(>86:32`?lge2900c?9n:188md1=831i<7>53;294~"4>00m86F5;n04e?6=3tyj;7>52z\b3>;721n0q~<:2;296~;72;=j7S<8a:p605=838pRll4=18bf>{z{h=1<7=t^`5895"4=?094=5a34794>{t:>h1<7k0(>;9:3:3?k52=3;0q~l=:181[d53491mo5+3449f4=i;7}Yij16<7om;%162?d63g9>97?4}|`02d<72>096ouC3`795~N58:1v@>o9:`y'0=d=i81/85753d28 6c42=2o7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;38 1>22:?i7c:8d;38y!51138;56T7c;3x62xof?3:17do7:188m63e2900c?6;:18'62>=:190b?98:198k7>5290/>:652918j7102810c?6>:18'62>=:190b?98:398k71e290/>:652918j7102:10n=4?:c87>g}K;h?1=vF=029~H6g120q/85753d08 1>62hh0(96<:35b?!20n3k<7c:8b;38 1>72h20b99l:09'0=3=;2;;o7W6l:3y13?422tP8994={3;967>o4=k0;66a=8583>!400383?6`=7683?>i50;0;6)<88;0;7>h5?>0:76a=8083>!400383?6`=7681?>i5?k0;6)<88;0;7>h5?>0876gm5;29 71?2k>0b?98:198mg5=83.9;54m4:l132<632ci>7>5$35;>g2d7290>6>48{M1b1?7|@;:87pB6*;808bf>"30:09;l5+46d9e2=i<>h1=6*;818b<>h3?j0:7):75;16f>h3?m0:7p*<68815`=ni>0;66gn8;29?lge2900c?9n:188m63e2900n=4?:481>0}K;h?1=vF=029~ 60>2;:i7dom:188k71f2900el950;9je=<722c2j7>5;c294?3=83:p(>86:g48L6g43E9j97?t$06;>1>03tc2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo=l2;297?6=8r.8:44:6:J0e6=n9:k1<75f12`94?=h:<21<75rb2f:>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~wd1=838pRl94=18b3>{ti10;6?uQa99>7a?=9:h0q~om:181[ge349h>7?n7>53z\01g=:;j81=>o4=2f:>45f3ty8o<4?:3y>4?ge349h>7<:8:p7a>=838p1=4n8:?0`<<5=11vqo=50;797?1|D:k>633p(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;38 1>22:?i7c:8d;38y!51138:j6gn7;29?lg?2900ell50;9l62g=831b?8l50;9a4?6==3819vB:o50;9je2<722cj47>5;h;e>5<4}#9=218594}h;g>5<>ofj3:17b<8a;29?xd4i=0;6<4?:1y'73?=9:20D>o<;n303?6=3tyj;7>52z\b3>;721n0q~o7:181[g?34:15i5rs371>5<5s49j87?<7:?3><`?7>52z?3>71f3W83`83>>o6;k0;66a=5983>>{e;m31<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxm:4?:3y]e2=:83k<7p}n8;296~Xf0278h44>3c9~wdd=838pRll4=2a1>45e3ty9;l4?:3y]62g<5909;l5rs27a>5<4sW9>n63o4}r1`5?6=:r7;6ll4=2a1>73?3ty8h54?:3y>4?g?349o57<:8:~f43=83?1?79tL2c6>4}O:990qA=n6;;x 1>>2:o97):71;ca?!2?;38h3?k0:7):70;c;?k20k3;0(96::27a?k20l3;0q)=99;014>of?3:17do7:188mdd=831d>:o50;9j70d=831i<7>55;091~J4i<0:wE0<729q/?;75f79K7d564<729q/?;7512:8L6g43f;8;7>5;|qb3?6=:rTj;63?:9f8yvg?2909wSo7;<29=a=z{;?96=4={<1b0?74?27;64h4}r067?6=:r7;6?9n;_04e>{t:<>1<7m=:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm3e;94?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::pe2<72;qUm:520;c4?xuf03:1>vPn8:?0`<<6;k1vll50;0xZdd<5:i96<=m;|q13d<72;qU>:o4=1813d=z{:?i6=4<{_16f>;4k;0:?l523e;956g52z?3>dd<5:i96?;7;|q0`=<72;q6<7o7;<1g=?4202wvn633p(966:2g1?!2?93ki7):73;04e>"3?o0j;6`;7c82?!2?83k37c:8c;38 1>22:?i7c:8d;38y!511389=6gn7;29?lg?2900ell50;9l62g=831b?8l50;9a4?6==3819vB:o50;9je2<722cj47>5;h;e>5<4}#9=218594}h;g>5<>ofj3:17b<8a;29?xd4i=0;6<4?:1y'73?=9:20D>o<;n303?6=3tyj;7>52z\b3>;721n0q~o7:181[g?34:15i5rs371>5<5s49j87?<7:?3><`?7>52z?3>71f3W83`83>>o6;k0;66a=5983>>{e;m31<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxm:4?:3y]e2=:83k<7p}n8;296~Xf0278h44>3c9~wdd=838pRll4=2a1>45e3ty9;l4?:3y]62g<5909;l5rs27a>5<4sW9>n63o4}r1`5?6=:r7;6ll4=2a1>73?3ty8h54?:3y>4?g?349o57<:8:~wd1=83?pRl94=18b3>;42h=01<;5a69>5c50n63>5;16f>;6n39>n6s|29694?4|V;2?70?i:35b?!52>383?6`<5483?xu50;0;6?uQ2908943=:>k0(>;9:3:0?k52=3;0q~<71;296~X50816?7<8a:&013<50:1e?8;52:p62d=838pR?9m;<2962g<,:?=6?6<;o161?56=4={_`6?87a2hh0(>;9:c68j632291vo=50;0xZg5<58?1mo5+3449f1=i;7}Yj;16?7om;%162?d33g9>97<4}rc`>5<5sWkh70>5ac9'700=j=1e?8;53:~f72229036;4n{M1b1?7|@;:87pB"30o087):74;177>"30l0;7p*<688140=]0j09w?65278~^6332;q9m7<<:|m00f<72-8<47=;b:l132<732e88l4?:%04;:m00<<72-8<47=;b:l132<532e8894?:%045=h5?>0:76gl4;29 71?2j<0b?98:398mg`=83.9;54l6:l132<432h9844?:282>6}K;h?1=vF=029~ 60>2;8h7dll:188mgb=831d?9=50;9a7d`=8391?7;t$24:>6ga3`hh6=44icf94?=h;=91<75m3`a94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`0ea<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fm3:1?7>50z&02<<5=j1C?l=4i01b>5<5<{t;=91<746s|3``94?4|5:kh6?;7;<1ba?74i2wx?lm50;0x96gc2;?370=ne;30f>{z{ki1<76243twi>9o50;195?5|D:k>6:<:188f6ga29086>4:{%15=?5fn2cio7>5;h`g>5<86=44b2c`>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a7db=8391<7>t$24:>00<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0653z\ag>;4ij0:?o523`f956g86=4={_177>;4il09955rs2ca>5<5s49jo7<:8:?0e`<6;h1v>ol:18185fl38>463:<;<1bb?53;2wvn?:m:180>4<4sE9j97?tH320?x"4>009>n5fbb83>>oel3:17b=;3;29?g5fn3:1?7=55z&02<<4io1bnn4?::ka`?6=3f9??7>5;c1bg?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f6gc29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;ho1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxnn4?:2y]ff=:;hi1=>l4=2cg>45f3tyih7>53z\a`>;4ij0:?l523`f956d52z\006=:;ho1>864}r1bf?6=:r78mn4=599>7dc=9:k0q~=nc;296~;4im0995523`g956d52z\ag>;4io0io6s|be83>7}Yjm16?lh5be9~w6242909wS=;3:?0ec<4<:1vqo=l2;297?6=8r.8:44:5:J0e6=n9:k1<75f12`94?=h:<21<75rb2a7>5<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a705=8381<7>t$24:>4373A9j?6g>3883>>i5=10;66s|3b394?5|5;>j6om4=2a1>73?349h87?7}Y;=i01?:m:260?!52>39?n6`<5483?xu487)=:6;17f>h4=<0:7p}<4883>7}Y;=301?:6:260?!52>39?n6`<5481?xu4<=0;6?uQ356896342;?37)=:6;17f>h4=<087p}l7;296~Xd?2798o4md:&0132d8984?;|q`1?6=;rTh963=4`8a`>;4k=0:?l5+3449g3=i;6}Yk=16>975be9>7f4=9:k0(>;9:b48j6322;1voh50;6xZg`<5;>26om4=2a1>45e349>?7?<9:&0132d8984<;|pe2<72;qUm:520;c4?xuf03:1>vPn8:?3>d>n7>52z\01g=:839>n6s|29694?5|V;2?70>5296897222j=0(>;9:3:0?k52=3:0q~<72;297~X50;16<7<72:?1005?50;1xZ7>634:1>5?4=366>f2<,:?=6?6<;o161?453z\13g=:838"4=?094>5a34797>{t;kk1<7;5<<088n5+34497g?6=5rs2`;>5<5s4:1n>52257971g<,:?=6>l6;o161?752z?3>g4<5;>>6>:6;%162?5e12d8984=;|q0f7<72;q6<7ol;<071?53<2.89;4=::186>7<2sE9j97?tH320?xJ4i?0:w):7b;c2?x"4>009>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1b5i4?::k:b?6=3`2o6=44i``94?=h:>k1<75rb2c7>5<6290;w)=99;30<>N4i:1d=>950;9~wd1=838pRl94=18;`>{ti10;6?uQa99>4??c3ty99?4?:3y>7d2=9:=01=46f:p605=838p1=4=7`9]62g87>52z\bf>;72hh0qpl<7183>0<52i;hca>5<t$24:>c0<@:k87d7k:188m<`=831b4i4?::kbf?6=3f85;|`0e1<7280;6=u+37;956><@:k87b?<7;29?xuf?3:1>vPn7:?3>=bv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb30g>572h20b99l:09~ 60>2;:j7W6l:0yf>x\4==09w<>5138~md1=831bm54?::m13c<72-8<47<8e:l132<732e9;i4?:%04;:m13f<72-8<47<8e:l132<532e94l4?:%04c;f95f}K;h?1=vF=029~H6g12>q/85753d08 1>62hh0(96<:35b?!20n3k<7c:8b;38 1>72h20b99l:09~ 60>2;887W6l:3yf>g0;;9ylg02900el650;9l62`=83.9;54=7d9m621=821d>:j50;&13=<5?l1e>:951:9l62e=83.9;54=7d9m621=:21d>5o50;&13=<5?l1e>:953:9l6=?=83.9;54=7d9m621=<21d>5650;&13=<5?l1e>:955:9l6=1=83.9;54=7d9m621=>21d>5850;&13=<5?l1e>:957:9l6=3=83.9;54=7d9m621=021d>5:50;&13=<5?l1e>:959:9l6=4=83.9;54=7d9m621=i21d>5?50;&13=<5?l1e>:95b:9l62d=83.9;54=7d9m621=k21bn=4?:%044;hcf>5<#:>21mk5a26595>=nim0;6)<88;ce?k40?3807dlm:18'62>=io1e>:953:9jfd<72-8<47oi;o043?2<3`h26=4+26:9ec=i:>=1965fb983>!4003km7c<87;48?ld0290/>:65ag9m621=?21bn;4?:%045<#:>21mk5a2659=>=nj:0;6)<88;ce?k40?3k07dl=:18'62>=io1e>:95b:9jef<72-8<47oi;o043?e<3k:1<7;52;7xH6g228qC>==4}%15=?47n2cjn7>5;n04e?6=3`k<6=44i`:94?=n1o0;66l?:186>5<7s-9=57h9;I1b7>o>l3:17d7i:188m=b=831bmo4?::m13d<722wi?l:50;394?6|,:<26<=7;I1b7>i6;>0;66s|a683>7}Yi>16<76k;|qbv37}:838==4}%15=?47n2cjn7>5;n04e?6=3`k<6=44i`:94?=n1o0;66l?:186>5<7s-9=57h9;I1b7>o>l3:17d7i:188m=b=831bmo4?::m13d<722wi?l:50;394?6|,:<26<=7;I1b7>i6;>0;66s|a683>7}Yi>16<76k;|qbv37}:8386=4::386I5f=3;pD?><;|&02<<58o1bmo4?::m13d<722cj;7>5;hc;>5<c2900ell50;9l62g=831vn>o;:182>5<7s-9=57?<8:J0e6=h9:=1<75rs`594?4|Vh=01=47d:pe=<72;qUm5520;;g?xu5=;0;6?u23`69561<5902j6s|24194?4|5909;l5Q26c8yv42<3:1>vPnb:?3>dd55;091~J4i<0:wE0<729q/?;75f79K7d5>o?l3:17dom:188k71f2900qo=n4;295?6=8r.8:44>399K7d55<5sWk<70>58e9~wd>=838pRl64=18:`>{t:<81<745034:15k5rs370>5<5s4:1>:o4^35b?xu5==0;6?uQac9>4?ge3twi><4?:481>0}K;h?1=vF=029~ 60>2;:m7dom:188k71f2900el950;9je=<722c2j7>5;c294?3=83:p(>86:g48L6g43`3o6=44i8d94?=n0m0;66gnb;29?j40i3:17pl4<729q/?;7512:8L6g43f;8;7>5;|qb3?6=:rTj;63?:9f8yvg?2909wSo7;<29=a=z{;?96=4={<1b0?74?27;64h4}r067?6=:r7;6?9n;_04e>{t:<>1<76=831b5k4?::`3>5<2290;w)=99;d5?M5f;2c2h7>5;h;e>5<>i5?h0;66sm3`694?7=83:p(>86:01;?M5f;2e:?:4?::pe2<72;qUm:520;:g?xuf03:1>vPn8:?3>>7>52z?0e1<6;>16<77i;|q116<72;q6<7<8a:\13d=z{;??6=4={_ca?86=ik1vqo7<2sE9j97?tH320?x"4>009>i5?h0;66gn7;29?lg?2900e4h50;9a4?6==3:1N4i:1b5i4?::k:b?6=3`2o6=44i``94?=h:>k1<75rb2c7>5<6290;w)=99;30<>N4i:1d=>950;9~wd1=838pRl94=18;`>{ti10;6?uQa99>4??c3ty99?4?:3y>7d2=9:=01=46f:p605=838p1=4=7`9]62g87>52z\bf>;72hh0qpl=f;291?4==rF8m84>{I037>{#;?31>=h4i``94?=h:>k1<75fa683>>of03:17d7i:188f5<72<0;6=u+37;9b3=O;h90e4j50;9j=c<722c3h7>5;hca>5<l2wx>8<50;0x96g3289<70>59g9~w7342909w0>526c8Z71f3ty9994?:3y]eg=:83ki7psm3183>0<52i;hca>5<t$24:>c0<@:k87d7k:188m<`=831b4i4?::kbf?6=3f85;|`0e1<7280;6=u+37;956><@:k87b?<7;29?xuf?3:1>vPn7:?3>=bv3?:35b?[40i2wx>8:50;0xZdd<590jn6srb2394?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c694?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c794?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}c494?3=:3?p@>o::0yK6555;hc4>5<>d7290>6=4?{%15=?`13A9j?6g6d;29?l?a2900e5j50;9jeg<722e9;l4?::a7d2=83;1<7>t$24:>45?3A9j?6a>3683>>{ti>0;6?uQa69>4?>c3tyj47>52z\b<>;720n0q~<:2;296~;4i=0:?:520;;e?xu5=:0;6?u20;04e>X5?h1v?;;:181[ge34:1mo5r}rc4>55a69>7?g034;>6l94=0d9e2=::80j;63=d;c4?84b2h=01?h5a69>75=83opRl64=18b<>;42h201<;5a99>5cd><5;o1m5522g8b<>;483k370=>:`:8916l64=78b<>{t:>l1<7k0(>;9:35f?k52=3:0q~<8d;296~X5?m1697<8a:&013<5?l1e?8;51:p62e=838pR?9l;<6962g<,:?=6?9j;o161?452z\16>5rs3::>5<5sW83563<0;04e>"4=?09;h5a34790>{t:121<7h4=<0>7p}=8683>7}Y:1=01?k526c8 6312;=n7c=:5;48yv4?>3:1>vP=879>6a<5?h1/?88526g8j6322>1v?6::181[4?=279=7<8a:&013<5?l1e?8;58:p6=2=838pR?6;;<3e>71f3-9>:7<8e:l010<>3ty94?4?:3y]6=4<58?1>:o4$275>71b3g9>97o4}r0;5?6=:rT94<523;04e>"4=?09;h5a3479f>{t:>h1<7k0(>;9:35f?k52=3i0q~l?:181[d734<1mo5+3449ec=i;7}Yil1697om;%162?ga3g9>97?4}rcg>5<5sWko70:5ac9'700=io1e?8;52:pfg<72;qUno52308bf>"4=?0jj6`<5480?xuei3:1>vPma:?04?ge3-9>:7oi;o161?2;9:`d8j6322<1vo650;0xZg><5;o1mo5+3449ec=i;7}Yj>16>i4nb:&0133km7c=:5;:8yvd22909wSl:;<3e>dd<,:?=6lh4n276><=z{k91<75<6i3;36?;tL2c6>4}O:990qA=n6;7x 1>>2:nh7):72;`f?!2?n390(96;:260?!2?m3:0q)=99;032>\?k38pj7m5}[160?4|980j6pa<4983>!40039?;6`=7683?>i4h5?>0:76a<4483>!40039?;6`=7681?>i4=;0;6)<88;173>h5?>0876a<5083>!40039?;6`=7687?>i4=90;6)<88;173>h5?>0>76a<4g83>!40039?;6`=7685?>i4h5?>0<76a<4e83>!40039?;6`=768;?>i4h5?>0276a<4`83>!40039?;6`=768b?>i4<00;6)<88;173>h5?>0i76a<4583>!40039?;6`=768`?>od;3:1(?97:b08j7102910en?50;&13=;:k`4?6=,;=36n<4n354>7=h5?>0876glc;29 71?2j80b?98:598mfd=83.9;54l2:l132<232chm7>5$35;>f46`=7684?>od03:1(?97:b08j7102110en950;&13=d=1<7*=798`6>h5?>0i76gmf;29 71?2j80b?98:b98f72>29086<4<{M1b1?7|@;:87p*<68816f=njj0;66gmd;29?j53;3:17o=nf;297?5==r.8:445;n177?6=3k9jo7>53;294~"4>00>:6Fl50;9l60>=831vn>ok:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm3`g94?5=83:p(>86:37`?M5f;2c:?l4?::k27g<722e9954?::pff<72:qUnn523`a956d<5:ko6<=n;|qa`?6=;rTih63l4}r177?6=:rT88>523`g960>52z?0ef<5=116?lk512c8yv5fk3:1>v3l4}|qag?6=:rTio63{tjm0;6?uQbe9>7d`=jm1v>:<:181[53;278mk4<429~yg43i3:1?7?53zN0e0<6sA8;?6s+37;967e>i4<:0;66l6<425}#;?319;5G3`18m45f2900e<=m:188k73?2900qo=nd;297?6=8r.8:44:6:J0e6=n9:k1<75f12`94?=h:<21<75rb2cf>5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~wge=839pRom4=2c`>45e349jh7?73?3ty8mo4?:3y>7de=:<201>oj:01b?xu4ij0;6?u23`f960><5:kn6<=m;|pff<72;qUnn523`d9ff=z{kn1<74>:2yO7d3=9rB9<>5r$24:>74d3`hh6=44icf94?=h;=91<75m3`d94?5=;3?p(>86:2ce?ldd2900eoj50;9l715=831i?lm50;194?6|,:<26884H2c0?l74i3:17d?6<729q/?;75579K7d55<3`9~wgb=839pRoj4=2c`>45f349jh7?:<;<1ba?4202wx?ll50;0x96gd2;?370=ne;30e>{t;hi1<773?349ji7?ge7}Y;=901>oi:260?x{e:=i1<7=51;1xH6g228qC>==4}%15=?45k2cio7>5;h`g>5<86=44b2ce>5<42:0>w)=99;1bb>oek3:17dlk:188k6242900n>ol:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm3`f94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`0e`<72:0;6=u+37;960e<@:k87d?3c9~w6242909wS=;3:?0e`<5=11v>om:18185fk38>4633c9~yvdd2909wSll;<1bb?dd3tyih7>52z\a`>;4io0ih6s|35194?4|V:>870=nf;177>{zj;>o6=4<:080I5f=3;pD?><;|&02<<5:j1bnn4?::ka`?6=3f9??7>5;c1bb?6=;3919v*<6880ec=njj0;66gmd;29?j53;3:17o=nc;297?6=8r.8:44:6:J0e6=n9:k1<75f12`94?=h:<21<75rb2cg>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a7dc=8391<7>t$24:>73d3A9j?6g>3`83>>o6;k0;66a=5983>>{tjj0;6>uQbb9>7de=9:h01>ok:01b?xuel3:1?vPmd:?0ef<6;h16?lj512`8yv53;3:1>vP<429>7dc=:<20q~=nb;296~;4ij0995523`g956g52z?0ea<5=116?lk512`8yxuek3:1>vPmc:?0ec5<5sW9??6353;397~J4i<0:wE4?::`0ec<72:0868u+37;97d`>i4<:0;66l6<729q/?;75579K7d55<N4i:1b=>o50;9j56d=831d>8650;9~f6gb29086=4?{%15=?42k2B8m>5f12c94?=n9:h1<75`24:94?=z{ki1<7=t^ca896gd289i70=nd;30e>{tjm0;6>uQbe9>7de=9:k01>ok:01a?xu4<:0;6?uQ351896gb2;?37p}7}:;hi1>864=2cf>45f3ty8mn4?:3y>7db=:<201>oj:01a?x{tjj0;6?uQbb9>7d`=jj1voj50;0xZgb<5:km6oj4}r177?6=:rT88>523`d97156}K;h?1=vF=029~ 60>2;8h7dll:188mgb=831d?9=50;9a7d`=8391?7;t$24:>6ga3`hh6=44icf94?=h;=91<75m3`a94?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`0ea<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fm3:1?7>50z&02<<5=j1C?l=4i01b>5<5<{t;=91<746s|3``94?4|5:kh6?;7;<1ba?74i2wx?lm50;0x96gc2;?370=ne;30f>{z{ki1<76243twi>8>50;195?5|D:k>6:<:188f6ga29086>4:{%15=?5fn2cio7>5;h`g>5<86=44b2c`>5<4290;w)=99;75?M5f;2c:?l4?::k27g<722e9954?::a7db=8391<7>t$24:>00<@:k87d?4?:1y'73?=:o<;h30e?6=3`;8n7>5;n0653z\ag>;4ij0:?o523`f956g86=4={_177>;4il09955rs2ca>5<5s49jo7<:8:?0e`<6;h1v>ol:18185fl38>463:<;<1bb?53;2wvn?;>:180>4<4sE9j97?tH320?x"4>009>n5fbb83>>oel3:17b=;3;29?g5fn3:1?7=55z&02<<4io1bnn4?::ka`?6=3f9??7>5;c1bg?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f6gc29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;ho1<7=50;2x 60>2;?h7E=n3:k27d<722c:?o4?::m11=<722wxnn4?:2y]ff=:;hi1=>l4=2cg>45f3tyih7>53z\a`>;4ij0:?l523`f956d52z\006=:;ho1>864}r1bf?6=:r78mn4=599>7dc=9:k0q~=nc;296~;4im0995523`g956d52z\ag>;4io0io6s|be83>7}Yjm16?lh5be9~w6242909wS=;3:?0ec<4<:1vqo<;6;297?7=;rF8m84>{I037>{#;?31>?m4ica94?=njm0;66a<4283>>d4io0;6>4<:4y'73?=;hl0eom50;9jfa<722e88>4?::`0ef<72:0;6=u+37;913=O;h90e<=n:188m45e2900c?;7:188yg5fl3:1?7>50z&02<<2>2B8m>5f12c94?=n9:h1<75`24:94?=zj:kn6=4<:183!51138>o6Fl50;9l60>=831vom50;1xZge<5:kh6<=m;<1b`?74i2wxni4?:2y]fa=:;hi1=>o4=2cg>45e3ty88>4?:3y]715<5:kn6?;7;|q0eg<72;q6?lm524:896gb289j7p}7}:;hn1>864=2cf>45e3twxnn4?:3y]ff=:;hl1nn5rscf94?4|Vkn01>oi:cf8yv53;3:1>vP<429>7d`=;=90qpl=4683>6<62:qG?l;51zJ146=z,:<26?5<2:km7dll:188mgb=831d?9=50;9a7de=8391<7>t$24:>00<@:k87d?4?:1y'73?==?1C?l=4i01b>5<5<53;294~"4>0099n5G3`18m45f2900e<=m:188k73?2900q~ll:180[dd349jo7?ol:37;?85fm3;8m6s|3`a94?4|5:ko6?;7;<1ba?74j2wvom50;0xZge<5:km6om4}r`g>5<5sWho70=nf;`g?xu4<:0;6?uQ351896ga2:>87psm25:94?5=939p@>o::0yK6555<:<:188f6gd29086=4?{%15=?313A9j?6g>3`83>>o6;k0;66a=5983>>{e;hn1<7=50;2x 60>2<<0D>o<;h30e?6=3`;8n7>5;n065}#;?31>8m4H2c0?l74i3:17d?3c9>7db=9:k0q~lk:180[dc349jo7?:<:181[53;278mh4=599~w6ge2909w0=nc;06<>;4il0:?l5rs2c`>5<5s49jh7<:8:?0e`<6;k1vq~ll:181[dd349jj7ll;|qa`?6=:rTih63{t;=91<75<4290;w)=99;76?M5f;2c:?l4?::k27g<722e9954?::a7f2=8391<7>t$24:>03<@:k87d?4?:1y'73?==<1C?l=4i01b>5<5<53;294~"4>00>96Fl50;9l60>=831vn>mn:180>5<7s-9=57;:;I1b7>o6;h0;66g>3c83>>i5=10;66sm3ba94?5=83:p(>86:478L6g43`;8m7>5;h30f?6=3f8>47>5;|`0g`<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yg5c83:1?7>50z&02<<2=2B8m>5f12c94?=n9:h1<75`24:94?=zj:n96=4<:183!5113?>7E=n3:k27d<722c:?o4?::m11=<722wi?i:50;194?6|,:<268;4H2c0?l74i3:17d?6<729q/?;75549K7d55<1=>l4}r1`7?6=;r798o4mc:?0g1<5=116?n8512`8yv5d=3:1?v3=4b8ag>;4k?0995523b:956d53z?10a7fg=9:h0q~=l9;297~;5l4}r1`f?6=;r798k4mc:?0gf<5=116?nk512`8yv5c=3:1>v3=498ag>;4l?09955rs2ag>5<4s48><7ll;<1`a?420278h=4>3c9~w6ea2908w0<:1;``?85c838>4637<:8:?0`1<6;k1v>j<:180843?3hh70=k4;06<>;4l?0:?o5rs26;>5<5sW9?463=498006=#;<<1?994n276>5=z{:>=6=4={_172>;5<>088>5+34497116<5rs266>5<5sW9?963=478006=#;<<1?994n276>7=z{:?96=4={_166>;5=8088>5+34497116>5rs272>5<5sW9>=63=518006=#;<<1?994n276>1=z{:?;6=4={_164>;55+3449711685rs26e>5<5sW9?j63=4d8006=#;<<1?994n276>3=z{:>n6=4={_17a>;55+34497116:5rs26g>5<5sW9?h63=4b8006=#;<<1?994n276>==z{:>h6=4={_17g>;55+3449711645rs26b>5<5sW9?m63=4`8006=#;<<1?994n276>d=z{:>26=4={_17=>;5<0088>5+34497116o5rs267>5<5sW9?863<52811==#;<<1?994n276>f=z{j91<7;9:b08j632291vn?50;1xZf7<5;><6oj4=2f5>45f3-9>:7m=;o161?73ho70=k4;30e>"4=?0h>6`<5481?xudl3:1?vPld:?1143`9'700=k;1e?8;53:pgf<72:qUon522429fa=:;m:1=>o4$275>f4695rsb`94?5|Vjh01?:i:cf896eb289j7)=:6;a1?k52=3?0q~mn:180[ef348?i7lk;<1`g?74i2.89;4l2:l010<13tyh57>53z\`=>;5{tk10;6>uQc99>61e=jm16?n6512c8 6312j80b>;::99~wf1=839pRn94=36a>gb<5:i=6<=n;%162?e53g9>9774}ra6>5<4sWi>70<;a;`g?85d<3;8m6*<578`6>h4=<0j7p}l4;297~Xd<279844md:?0g7<6;h1/?885c39m703=j2wxnk4?:5y]fc=::=31nn523b0956d<5:?86<=6;%162?e53g9>97m4}|qb3?6=:rTj;63?:`58yvg?2909wSo7;<29e==z{;=m6=4<{_04b>;72;=m70<;5;a0?!52>38uQ26f895<5?m16>9;5c09'700=:>o0b>;::09~w71d2908wS<8c:?3>71d348?97m?;%162?40m2d8984=;|q15o4=1816>5rs3::>5<4sW83563?:3::?843=3ih7)=:6;04a>h4=<0?7p}=8983>6}Y:1201=4=899>613=kk1/?88526g8j6322<1v?68:180[4??27;6?68;<071?ef3-9>:7<8e:l010<13ty94;4?:2y]6=0<59094;522579g<=#;<<1>:k4n276>2=z{;2>6=4<{_0;1>;72;2>70<;5;a;?!52>38uQ296895<50=16>9;5c69'700=:>o0b>;::89~w7>52908wS<72:?3>7>5348?97m:;%162?40m2d8984n;|q1<4<72:qU>5?4=181<4=::=?1o95+344962c6o5rs35a>5<4sW8h4=<0h7p}7}:83h;70<;5;17<>"4=?08n85a34794>{t;k>1<7;5<<088;5+34497g36<5rs2`0>5<5s4:1mi522579713<,:?=6>l:;o161?452z?3>gd<5;>>6>;=;%162?5e=2d8984<;|q0fc<72;q6<7ln;<071?5292.89;4;::49~w6dc2909w0>5b99>613=;=l0(>;9:2`6?k52=3<0q~=mc;296~;72k=01?:::26f?!52>39i96`<5484?xu4jk0;6?u20;`5?843=39?h6*<5780f0=i;<=z{:h36=4={<29f6=::=?1?9o4$275>6d23g9>97o4}r1a3?6=:r7;6o<4=366>62>3-9>:7=m5:l0104?gd348?97=;4:&013<4j<1e?8;5c:~f75d290>6?4:{M1b1?7|@;:87pB>of?3:17do7:188m<`=831i<7>55;294~"4>00m:6F5;h:g>5<:183!5113;846F7}Yi116<77k;|q117<72;q6?l:5125895<>n2wx>8=50;0x95<5?h1U>:o4}r060?6=:rTjn63?:``8yxd3:?0;6>4>:2yO7d3=9rB9<>5rL2c5>4}#<1h1m<5r$24:>76d3`ki6=44o35b>5<{t:<91<763;p(96m:`38y!51138;o6gnb;29?j40i3:17do8:188f5<72:0;6=u+37;9b1=O;h90e5j50;9jeg<722e9;l4?::pe2<72;qUm:520;:g?xu5=;0;6?u20;04e>X5?h1v?;<:181[ge34:1mo5r}c664?6=;3;1?vB:o50;9je2<722h;6=4<:183!5113l?7E=n3:k;`?6=3`ki6=44o35b>5<k0R?9n;|q116<72;qUmo520;ca?x{e<9;1<7=51;1xH6g228qC>==4}M1b2?7|,=2i6l?4}%15=?47k2cjn7>5;n04e?6=3`k<6=44b183>6<729q/?;75f59K7d5>i5?h0;66s|a683>7}Yi>16<76k;|q117<72;q6<7<8a:\13d=z{;?86=4={_ca?86=ik1vqo<;1;29a?5=99q/?;752538k75c2900e?2900e?=n:188m75e2900e?3`83>>o6;k0;66g>3b83>>o6;m0;66g>3d83>>o6;o0;66g>4183>>o6<80;66a=5983>>{e::l1<7850;2x 60>2?:0D>o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;h30a?6=3f8>47>5;|`105<72:0;6=u+37;910=O;h90e<=n:188m45e2900c?;7:188yv44l3:1>vP=3e9>616=:<20q~<=e;296~X5:l16>>h512c8yv44;3:1>vP=329>66c=9:k0q~<<4;296~X5;=16>>k512`8yv44=3:1>vP=349>66c=9:i0q~<<6;296~X5;?16>>k512g8yv44?3:1>vP=369>66c=9:n0q~<<8;296~X5;116>>k512d8yv4413:1>vP=389>66c=9=;0q~<>k51528yv44j3:1>vP=3c9>66`=9:h0q~<=f;296~X5:o16>>h512f8yv4483:1>vP=319>66`=9:i0q~<<2;296~X5;;16>>h512g8yv44m3:1>v3=3d811==::=:1=>o4}r00b?6=:r79?k4=599>616=9:h0qpl74;291?5=?r.8:4474:m4b?6=3`;?i7>5;h635?6=3`2>6=44i6g94?=e0:0;6>4?:1y'73?==?1C?l=4i01b>5<5<5}#;?31>8m4H2c0?l74i3:17d?7<:8:p51c=838pR<:j;<:0>45e3ty?<<4?:3y]057<5191=>o4}r:6>5<5sW2>706>:01b?xu0m3:1>vP8e:?;5?74j2wx4=4?:3y><6<5=1164?4>3`9~w=7=838p15?524:89=4=9:h0qpl;0883>0<42>q/?;7541;8k1632900e<:k:188m1652900e9>n:188m1662900n9>7:180>5<7s-9=57;9;I1b7>o6;h0;66g>3c83>>i5=10;66sm41494?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`742<72:0;6=u+37;960e<@:k87d?4e83>7}Y9=n019>7:01a?xu38;0;6?uQ4108916?289j7p};0`83>7}Y<9k019>9:01b?xu3880;6?uQ41389161289i7p};0483>7}:<921>864=524>45f3ty?<;4?:3y>050=:<2019>8:01a?x{e9=h1<7:50;2x 60>2<20D>o<;h30e?6=3`;8n7>5;h30g?6=3f8>47>5;|`g=?6=;3:1N4i:1b=>o50;9j56d=831d>8650;9~f61329086=4?{%15=?323A9j?6g>3`83>>o6;k0;66a=5983>>{e;>81<7;50;2x 60>2o<;h30e?6=3`;8n7>5;h30g?6=3`;8h7>5;n065}#;?319k5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo=5<4290;w)=99;06g>N4i:1b=>o50;9j56d=831d>8650;9~f=0=83>1<7>t$24:>73b3A9j?6g>3`83>>o6;k0;66g>3b83>>i5=10;66sm41194?5=83:p(>86:448L6g43`;8m7>5;h30f?6=3f8>47>5;|`74g<72:0;6=u+37;960e<@:k87d?o<;h30=?6=3f8>47>5;|q:a?6=krT2i63>488b3>;bn3k<70=9a;c4?854=3k<70=80;c4?845l3k<70<3k<709j:`5891372h=019>>:`58yv54=3:1>vP<349>763=:>k0q~:?1;291~X388168=?526c89=2=<9;019>6:522?827;3;8m6s|d683>7}Yl>16ik4nc:p073=838pR9<:;<612?ge3ty?9=4?:3y]006<5=?;6?9n;|q4a?6=;rT;?<3=n7p}>4`83>7}:9=31=kk4=06a>73?3ty8?:4?:3y>76>=:<201>=i:01b?xu4;00;6?u232c960><5:9m6<=m;|q20f<72:q6=97527:89=0=9:k019>m:01b?xu645e34>;n7?328>n7069:01`?xu4;j0;6?u23279eg=:;:n1>864}r37b?6=:r7:844=6d9>006=ik1v9>n:18182713>;m63;0c811==z{=:?6=4={<635?ge34>;57:?4:p`=<72?q6?;o5a99>763=i116?:>5a99>51d=9:k01i7524:89613289i7p}<7283>1}:;?k1?8l4=30g>d><5;9h6l64=257>73?3ty52z?4a?ge342?6:h4}r:6>5<5s42?65;4=94960>52z?17f;69:7>53z?20<<6no168?8526c89164289i7p}<7083>7}:;>:1mo52360960>;>7>52z?74<<38;168==524:8yv54m3:1>v3<3g811==:;:n1=>74}r00g?6=:r79?n4=7`9>51d=9:i0q~=9f;291~X4<916?;o529689615289o70=<8;30`>;4;h0:?n5+344973c6=5rs24g>5<3s49=m7<72:?037<6;k16?>6512a8965f289i7)=:6;15a>h4=<0:7p}<6b83>1}:;?k1>5?4=251>45f349847?8m:187851i38o4=21b>45c3-9>:7=9e:l010<43tym>7>52z?fb?4?927o57?71e34n26<=n;%162?`63g9>97?4}r006?6=:r79>i4=7g9>617=::80(>;9:312?k52=3:0q~<<0;296~;5:m09;i522539666<,:?=6?=>;o161?752z?16a<5?j16>9?523d8 6312;9:7c=:5;08yv44j3:1>v3=2e81>l4$275>7563g9>97=4}r00e?6=:r79>i4=889>617=::k0(>;9:312?k52=3>0q~<<9;296~;5:m094552253966?<,:?=6?=>;o161?352z?16a<50>16>9?522:8 6312;9:7c=:5;48yv44?3:1>v3=2e81<3=::=;1>>94$275>7563g9>9794}r002?6=:r79>i4=849>617=::<0(>;9:312?k52=320q~<<5;296~;5:m0949522539663<,:?=6?=>;o161??52z?16a<50;16>9?52268 6312;9:7c=:5;c8yv44;3:1>v3=2e81<4=::=;1>>=4$275>7563g9>97l4}r01a?6=:r79>i4=7c9>617=:;o0(>;9:312?k52=3i0qplfo::0yK655=b<,=2i6>o>;|&02<<6;91d8:<50;9j5d0=831d8=h50;9j5d1=831b?hj50;9l<=<722cm?7>5;h0:g?6=3`9;j7>5;h376?6=3`8i=7>5;h3b7?6=3k<96=4<:387I5f=3;pD?><;|N0e3<6s->3n7?<1:'73?=:;>0ell50;9l62g=831bm:4?::`3>5<4290;w)=99;d7?M5f;2F8m84>{%375;|`be?6=:3:173?3ty99>4?:3y>4?40i2T9;l5rs377>5<5sWki70>5ac9~yg27n3:1?7?53zN0e0<6sA8;?6sC3`495~"30k0:?<5r$24:>76d3`ki6=44o35b>5<{t:<91<74<3sE9j97?tH320?xJ4i?0:w):7b;305>{#;?31>?;4i``94?=h:>k1<75fa683>>o??3:17o>50;694?6|,:<26k94H2c0?l>c2900ell50;9j62?=831d>:o50;9~wd1=838pRl94=18;`>{t0>0;6?uQ869>4?4012wx>8<50;0x95<5?h1U>:o4}r067?6=:rTjn63?:``8yxd?13:1?7>50z&02<<5=m1C?l=4i01b>5<5<55;294~"4>009:=5G3`18m45f2900e<=m:188m45d2900e<=k:188k73?2900qo==2;290?6=8r.8:44:9:J0e6=n9:k1<75f12`94?=n9:i1<75`24:94?=zj:8?6=4::183!5113?i7E=n3:k27d<722c:?o4?::k27f<722c:?i4?::m11=<722wi8<=50;694?6|,:<26874H2c0?l74i3:17d?5<5<5<5290;w)=99;364>N4i:1b=>750;9l60>=831vn9?::181>5<7s-9=57?:0:J0e6=n9:31<75`24:94?=zj==36=4=:183!5113;><6F8650;9~w1152909wS:82:?737<5?h1v278>?4>3`9>772=9:i0q~:?f;296~X38o168=h526c8yv7f?3:1>vP>a69>772=9:n0q~=jd;290~X4mm168:<5869><<<6;h16:94>389~w=>=83>pR564=9;960><5=;86<=m;<642?74j2wxj>4?:5y]b6=:>;0j;63;0g8b3>;3?;0j;6s|28a94?5|V;3h70==2;30g>;4:=0:?l5rs22e>5<5sW9;j63<24827f=z{8>96=4<{_376>;39:0:?l52464956e53z\1f4=:;;81=>l4=207>45e3ty:m>4?:3y]5d5<5:8>6<=k;|q732<72;q68:<5ac9>02>=:<20q~8=:1818052;=j7066:01a?xu39=0;6?u241d9eg=:<8?1>864}r641?6=:r7?;;4=599>02>=9:30q~==0;297~;4:<099552401956e<5===6<=n;|q064<72;q6??;512c896452;?37p}<2283>7}:;;?1=>l4=207>73?3ty=?7>52z?56?ge34o::0yK6556g63t.8:44=069ja`<722c8;84?::m006<722h;6=4<:183!51132i7E=n3:k27<<722co;7>5;n0652z\fa>;72m=0q~<:2;296~;72;?37S=;3:p605=838pR>9:;<2956?6}K;h?1=vF=029~H6g128q/85l53`38y!51138;;6gje;29?l50=3:17b=;3;29?g6=8391<7>t$24:>=d<@:k87d?<9;29?lb02900c?;7:188yvcb2909wSkj;<29`2=z{;?96=4={<2960>87p}=5283>7}Y;>?01=4>389~yg25i3:1?7?53zN0e0<6sA8;?6sC3`495~"30k08m<5r$24:>7603`on6=44i256>5<86=44b183>6<729q/?;758c9K7d5?7>52z\030=:83;856srb57b>5<42808wA=n5;3xL7643tF8m;4>{%6;f?5f92w/?;752158m`c=831b?:;50;9l715=831i<7>53;294~"4>003n6F7}:838>46P<429~w7342909wS=85:?3>45>3twi8;o50;195?5|D:k>63;p(96m:2c2?x"4>009<:5fed83>>o4?<0;66a<4283>>d729086=4?{%15=?>e3A9j?6g>3883>>oc?3:17b<:8;29?xubm3:1>vPje:?3>a1>7>52z?3>73?3W9??6s|24194?4|V:=>70>512;8yxd4m10;6?4?:1y'73?=9<:0D>o<;h30=?6=3f8>47>5;|`0af<72;0;6=u+37;9506<@:k87d?<9;29?j4203:17pl7<729q/?;751428L6g43`;857>5;n065}#;?31>8m4H2c0?l74i3:17d?7<729q/?;751428L6g43`;857>5;n0645034>?h7=85:p046=838pR9??;<1f0?27n2wx?hk50;6xZ6cb34>347=jd:?0a3<4mm16?h:53df8yv20;3:1>vP;729>7`2=<>80q~::8;297~X3=1168565445896c12=?<7p};5283>7}Y<<901967:570?xu>83:1>vP60:?0e2<5?=1v9:8:181[23?27?454;469~w6`a2909wS=if:?0a0<4nk1v9:::181[23=27?454;379~w16d2909wS:?c:?7<=<38j1v9>>:181[279278hk4;009~wa1=839pRi94=2g6>a1<5:nm6i94}rd0>5<1sWl870=j1;;f?82?033n70=j6;;f?85cn33n70=j4;d0?xu3980;6?uQ403896c22=:m7p}7}Y;ll01>h?:260?xu4n00;6?uQ3g;896`f2;?37p};2483>7}Y<;?01>ji:506?xu3?2=7}Y<>>01>k::551?xu3=00;6?uQ44;8913f2:>87p};3`83>7}Y<:k01967:51b?xu>93:1>vP61:?0a0<>92wx4h4?:3y]<`=:;l?14h5rs2g4>5<5sW9n;63;4mj09955rs50;>5<4sW>9463;898762=:;l<18?94}r665?6=:rT?9<5249:9007::7>52z\753=:;l?18<84}r61=?6=:rT?>45243c9715=57>52z\72<=::h7>52z\75a=:;l?18??;<1f0?57n2wx=;?50;0x96c128<:70=j5;355>{t9h<1<7=t=2g2>4g1349n:7?n6:?0a1<6i?1v<;>:18185b>3;>=63n6=4={<67`?cb34>?j7<:8:p5d1=838p1>k9:0c4?85b<3;j;6s|28f94?4|5:o:6?7k;<1f0?4>k2wx8n4?:3y>7`3=69<8;<61e?50=2wx?>;50;0x91>?2:9=70=kf;101>{t010;69u23d39<==:<12145523d49<==:;l>1455rs0:0>5<5s49n:7?76:?0a0<60:1v3;jm63389~w2c=83=p1>k::6g896ba2>o01>h?:dg8914f2lo019;n:dg8910f2lo01>hn:01:?xu6>10;6?u23d3953><5:o=6<87;|q71g<72;q6?h;54458913f2:=>7p}7}:;l21=>74=2gb>73?3ty:454?:3y>7`0=91201>k::0c1?xu51j0;6?u23d3967}:;l?1?k<4=2d3>6123ty87`7=;9l01967:22e?85b>39;j6s|15094?4|5:o:6<:=;<1f0?73:2wx8;l50;0x96c22=<370:9a;141>{t:k;1<77d6349n87k9:0c0?85b<3;j?6s|1e594?4|5:o=67`0===16?hm512;8yv4>83:1>vP=919>0=>=:0:0(>;9:3:e?k52=3:0q~<7e;296~X50l16856529g8 6312;2m7c=:5;38yv4>13:1>vP=989>0=>=:030(>;9:3:e?k52=380q~<68;296~X51116856528:8 6312;2m7c=:5;18yv4>?3:1>vP=969>0=>=:0=0(>;9:3:e?k52=3>0q~<66;296~X51?1685652848 6312;2m7c=:5;78yv4>=3:1>vP=949>0=>=:0?0(>;9:3:e?k52=3<0q~<64;296~X51=1685652868 6312;2m7c=:5;58yv4>;3:1>vP=929>0=>=:090(>;9:3:e?k52=320q~<62;296~X51;1685652808 6312;2m7c=:5;;8yv4>93:1>vP=909>0=>=:0;0(>;9:3:e?k52=3k0q~<7d;296~X50m16856529f8 6312;2m7c=:5;`8yv132909wS9;;<1f5?253-9>:79<;o161?6;7)=:6;50?k52=3;0q~9>:180[16349n=7=i;<6;:79<;o161?43=87c=:5;18yv0b2908wS8j;<1f5?5e34>347=m;%162?143g9>97:4}r4g>5<4sW3-9>:79<;o161?03=87c=:5;58yv0f2908wS8n;<1f5?5034>347=8;%162?143g9>9764}r4:>5<4sW<270=j1;15?82?039=7)=:6;50?k52=330q~87:180[0?349n=7=:;<6;:79<;o161?g3=87c=:5;`8yv012908wS89;<1f5?5434>347=<;%162?143g9>97m4}r46>5<4sW<>70=j1;11?82?03997)=:6;50?k52=3n0q~9l:180[1d349n=7:n;<6;:79<;o161?c270:78;6:?!52>3=87c=:5;d8yv1f2909wS9n;<6;:79<;o161?773ty<57>52z\4=>;3010?;6*<57847>h4=<0:=6s|7983>7}Y?1168565479'700=?:1e?8;5139~w21=838pR:94=5:;>13<,:?=6:=4n276>45?7)=:6;50?k52=3;?7p}85;296~X0=27?454;3:&013<0;2d8984>5:p2c<72;qU:k5249:97f=#;<<1;>5a347953=zutwvLMMt17`f>2`1no33|I|I/O/GTS4|INPUT||||||||| +P3|A_FSB<6>|I|I/O/GTS1|INPUT||||||||| +P4|A_FSB<7>|I|I/O/GTS2|INPUT||||||||| P5|VCC||VCCINT|||||||||| -P6|TIE||I/O|||||||||| +P6|A_FSB<8>|I|I/O|INPUT||||||||| P7|A_FSB<9>|I|I/O|INPUT||||||||| P8|A_FSB<10>|I|I/O|INPUT||||||||| P9|A_FSB<11>|I|I/O|INPUT||||||||| @@ -111,10 +111,10 @@ P90|nDinOE|O|I/O|OUTPUT||||||||| P91|nRES|I/O|I/O|BIDIR||||||||| P92|nIPL2|I|I/O|INPUT||||||||| P93|nVPA_FSB|O|I/O|OUTPUT||||||||| -P94|TIE||I/O|||||||||| -P95|TIE||I/O|||||||||| -P96|TIE||I/O|||||||||| -P97|TIE||I/O|||||||||| +P94|A_FSB<1>|I|I/O|INPUT||||||||| +P95|A_FSB<2>|I|I/O|INPUT||||||||| +P96|A_FSB<3>|I|I/O|INPUT||||||||| +P97|A_FSB<4>|I|I/O|INPUT||||||||| P98|VCC||VCCINT|||||||||| P99|TIE||I/O/GSR|||||||||| P100|GND||GND|||||||||| diff --git a/cpld/XC95144XL/WarpSE.pnx b/cpld/XC95144XL/WarpSE.pnx index 5591abf..68b4bce 100644 --- a/cpld/XC95144XL/WarpSE.pnx +++ b/cpld/XC95144XL/WarpSE.pnx @@ -15,4 +15,4 @@ sr (SLOW|FAST|slow|fast) "SLOW" dir (BIDIR|bidir|INPUT|input|OUTPUT|output) "BIDIR"> ]> - + diff --git a/cpld/XC95144XL/WarpSE.prj b/cpld/XC95144XL/WarpSE.prj index dc97722..8ca6847 100644 --- a/cpld/XC95144XL/WarpSE.prj +++ b/cpld/XC95144XL/WarpSE.prj @@ -1,7 +1,7 @@ -verilog work "../RAM.v" -verilog work "../IOBS.v" -verilog work "../IOBM.v" -verilog work "../FSB.v" -verilog work "../CS.v" -verilog work "../CNT.v" -verilog work "../WarpSE.v" +verilog work "RAM.v" +verilog work "IOBS.v" +verilog work "IOBM.v" +verilog work "FSB.v" +verilog work "CS.v" +verilog work "CNT.v" +verilog work "WarpSE.v" diff --git a/cpld/XC95144XL/WarpSE.rpt b/cpld/XC95144XL/WarpSE.rpt index 10b0e26..7c6d42b 100644 --- a/cpld/XC95144XL/WarpSE.rpt +++ b/cpld/XC95144XL/WarpSE.rpt @@ -1,7 +1,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4- 7-2023, 0:29AM +Design Name: WarpSE Date: 4- 7-2023, 2:26AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -9,22 +9,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -115/144 ( 80%) 286 /720 ( 40%) 209/432 ( 48%) 92 /144 ( 64%) 63 /81 ( 78%) +121/144 ( 84%) 395 /720 ( 55%) 236/432 ( 55%) 97 /144 ( 67%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 9/18 28/54 37/90 11/11* -FB2 4/18 9/54 8/90 4/10 -FB3 15/18 29/54 35/90 10/10* -FB4 18/18* 29/54 63/90 6/10 -FB5 18/18* 29/54 29/90 8/10 -FB6 15/18 28/54 38/90 10/10* -FB7 18/18* 28/54 34/90 8/10 -FB8 18/18* 29/54 42/90 6/10 +FB1 18/18* 24/54 24/90 11/11* +FB2 5/18 4/54 5/90 8/10 +FB3 18/18* 35/54 39/90 10/10* +FB4 15/18 39/54 77/90 10/10* +FB5 17/18 35/54 64/90 8/10 +FB6 18/18* 36/54 68/90 10/10* +FB7 18/18* 24/54 37/90 8/10 +FB8 12/18 39/54 81/90 6/10 ----- ----- ----- ----- - 115/144 209/432 286/720 63/81 + 121/144 236/432 395/720 71/81 * - Resource is exhausted @@ -40,18 +40,18 @@ Global set/reset net(s) unused. Signal Type Required Mapped | Pin Type Used Total ------------------------------------|------------------------------------ -Input : 24 24 | I/O : 60 73 +Input : 32 32 | I/O : 65 73 Output : 35 35 | GCK/IO : 3 3 -Bidirectional : 1 1 | GTS/IO : 0 4 +Bidirectional : 1 1 | GTS/IO : 3 4 GCK : 3 3 | GSR/IO : 0 1 GTS : 0 0 | GSR : 0 0 | ---- ---- - Total 63 63 + Total 71 71 ** Power Data ** -There are 115 macrocells in high performance mode (MCHP). +There are 121 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -73,22 +73,6 @@ INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<1>'. The input(s) are - unused after optimization. Please verify functionality via simulation. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<2>'. The input(s) are - unused after optimization. Please verify functionality via simulation. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<3>'. The input(s) are - unused after optimization. Please verify functionality via simulation. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<4>'. The input(s) are - unused after optimization. Please verify functionality via simulation. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<5>'. The input(s) are - unused after optimization. Please verify functionality via simulation. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<6>'. The input(s) are - unused after optimization. Please verify functionality via simulation. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<7>'. The input(s) are - unused after optimization. Please verify functionality via simulation. -WARNING:Cpld:1007 - Removing unused input(s) 'A_FSB<8>'. The input(s) are - unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. WARNING:Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused @@ -103,21 +87,21 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 7 11 FB3_9 28 I/O O STD FAST RESET +nDTACK_FSB 8 17 FB3_9 28 I/O O STD FAST RESET nROMWE 1 2 FB3_17 34 I/O O STD FAST nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET nDoutOE 2 5 FB4_5 89 I/O O STD FAST -nDinOE 4 7 FB4_6 90 I/O O STD FAST +nDinOE 3 6 FB4_6 90 I/O O STD FAST nRES 1 1 FB4_8 91 I/O I/O STD FAST nVPA_FSB 3 9 FB4_11 93 I/O O STD FAST RESET nROMCS 2 5 FB5_2 35 I/O O STD FAST nCAS 1 1 FB5_5 36 I/O O STD FAST RESET nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 1 1 FB5_9 40 I/O O STD FAST -RA<3> 1 1 FB5_11 41 I/O O STD FAST -RA<5> 1 1 FB5_12 42 I/O O STD FAST -RA<2> 1 1 FB5_14 43 I/O O STD FAST -RA<6> 1 1 FB5_15 46 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET @@ -125,109 +109,118 @@ nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET nADoutLE0 1 2 FB6_15 85 I/O O STD FAST nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 1 1 FB7_2 50 I/O O STD FAST -RA<7> 1 1 FB7_5 52 I/O O STD FAST -RA<0> 1 1 FB7_6 53 I/O O STD FAST -RA<8> 1 1 FB7_8 54 I/O O STD FAST -RA<10> 1 1 FB7_9 55 I/O O STD FAST -RA<9> 1 1 FB7_11 56 I/O O STD FAST +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST C25MEN 0 0 FB7_12 58 I/O O STD FAST C20MEN 0 0 FB7_14 59 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 1 2 FB8_5 64 I/O O STD FAST SET +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST nRAMLWE 1 4 FB8_6 65 I/O O STD FAST nRAMUWE 1 4 FB8_8 66 I/O O STD FAST nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 79 Buried Nodes ** +** 85 Buried Nodes ** Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -iobm/Er 1 1 FB1_1 STD RESET -cs/nOverlay 2 5 FB1_11 STD RESET -iobm/ES<2> 3 5 FB1_12 STD RESET -iobm/ES<0> 3 6 FB1_13 STD RESET -iobm/ES<3> 4 6 FB1_14 STD RESET -iobm/ES<1> 4 6 FB1_15 STD RESET -IODONE 4 8 FB1_16 STD RESET -IOU0 8 14 FB1_17 STD RESET -IOL0 8 14 FB1_18 STD RESET -iobm/VPAr 1 1 FB2_15 STD RESET -cnt/nIPL2r 1 1 FB2_16 STD RESET -IOBERR 2 2 FB2_17 STD RESET -cnt/Timer<1> 4 5 FB2_18 STD RESET -ram/RS_FSM_FFd4 1 1 FB3_4 STD RESET -ram/RS_FSM_FFd1 1 1 FB3_5 STD RESET -iobs/IODONEr<0> 1 1 FB3_6 STD RESET -cnt/INITS_FSM_FFd1 1 7 FB3_7 STD RESET -cnt/Er<0> 1 1 FB3_8 STD RESET -cnt/TimerTC 2 6 FB3_10 STD RESET +iobs/IODONEr 1 1 FB1_1 STD RESET +iobs/IOACTr 1 1 FB1_2 STD RESET +iobm/VPAr 1 1 FB1_3 STD RESET +iobm/IOWRREQr 1 1 FB1_4 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB1_5 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB1_6 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB1_7 STD RESET +iobm/IORDREQr 1 1 FB1_8 STD RESET +iobm/Er 1 1 FB1_9 STD RESET +iobm/C8Mr 1 1 FB1_10 STD RESET +cnt/nIPL2r 1 1 FB1_11 STD RESET +cnt/Er<0> 1 1 FB1_12 STD RESET +ALE0S 1 1 FB1_13 STD RESET +iobs/IOU1 2 2 FB1_14 STD RESET +iobs/IOL1 2 2 FB1_15 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB1_16 STD RESET +IOBERR 2 2 FB1_17 STD RESET +iobm/ES<2> 3 5 FB1_18 STD RESET +ram/RS_FSM_FFd5 1 1 FB2_14 STD RESET +ram/RS_FSM_FFd3 1 1 FB2_15 STD RESET +ram/RS_FSM_FFd2 1 1 FB2_16 STD RESET +ram/RS_FSM_FFd1 1 1 FB2_17 STD RESET +ram/RASrf 1 1 FB2_18 STD RESET +ram/BACTr 1 2 FB3_1 STD RESET +fsb/ASrf 1 1 FB3_2 STD RESET +cnt/LTimerTC 2 16 FB3_3 STD RESET +cnt/LTimer<9> 2 12 FB3_4 STD RESET +cnt/LTimer<8> 2 11 FB3_5 STD RESET +cnt/LTimer<7> 2 10 FB3_6 STD RESET +cnt/LTimer<6> 2 9 FB3_7 STD RESET +cnt/LTimer<5> 2 8 FB3_8 STD RESET +cnt/LTimer<4> 2 7 FB3_10 STD RESET cnt/LTimer<3> 2 6 FB3_11 STD RESET cnt/LTimer<2> 2 5 FB3_12 STD RESET cnt/LTimer<1> 2 4 FB3_13 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB3_14 STD RESET -RefReq 2 5 FB3_15 STD RESET -cnt/Timer<2> 5 6 FB3_16 STD RESET -RefUrg 5 7 FB3_18 STD RESET -ram/BACTr 1 2 FB4_1 STD RESET -nRESout 1 2 FB4_3 STD RESET -iobs/Clear1 1 2 FB4_4 STD RESET -ram/Once 2 2 FB4_7 STD RESET -iobs/TS_FSM_FFd1 2 3 FB4_9 STD RESET -cs/ODCSr 2 6 FB4_10 STD RESET -IOWRREQ 8 15 FB4_12 STD RESET -iobs/Load1 4 9 FB4_13 STD RESET -iobs/IORW1 4 10 FB4_14 STD RESET -IONPReady 4 8 FB4_15 STD RESET -iobs/TS_FSM_FFd2 5 12 FB4_16 STD RESET -IORDREQ 8 15 FB4_17 STD RESET -iobs/Sent 9 12 FB4_18 STD RESET -cnt/LTimerTC 2 16 FB5_1 STD RESET +cnt/LTimer<12> 2 15 FB3_14 STD RESET +cnt/LTimer<11> 2 14 FB3_15 STD RESET +cnt/LTimer<10> 2 13 FB3_16 STD RESET +IOPWReady 2 5 FB3_18 STD RESET +nRESout 1 2 FB4_1 STD RESET Signal Total Total Loc Pwr Reg Init Name Pts Inps Mode State -cnt/LTimer<9> 2 12 FB5_3 STD RESET -cnt/LTimer<8> 2 11 FB5_4 STD RESET -cnt/LTimer<7> 2 10 FB5_7 STD RESET -cnt/LTimer<6> 2 9 FB5_8 STD RESET -cnt/LTimer<5> 2 8 FB5_10 STD RESET -cnt/LTimer<4> 2 7 FB5_13 STD RESET -cnt/LTimer<12> 2 15 FB5_16 STD RESET -cnt/LTimer<11> 2 14 FB5_17 STD RESET -cnt/LTimer<10> 2 13 FB5_18 STD RESET -iobs/IOACTr 1 1 FB6_5 STD RESET -iobm/IOS_FSM_FFd5 1 1 FB6_6 STD RESET -iobm/IOS_FSM_FFd4 1 1 FB6_7 STD RESET -iobm/IOS_FSM_FFd1 1 1 FB6_8 STD RESET -iobm/C8Mr 1 1 FB6_10 STD RESET -iobm/IOS_FSM_FFd6 2 5 FB6_13 STD RESET -iobm/IOS_FSM_FFd7 3 6 FB6_16 STD SET -ALE0M 5 11 FB6_18 STD RESET -iobm/IOWRREQr 1 1 FB7_1 STD RESET -iobm/IORDREQr 1 1 FB7_3 STD RESET -cnt/LTimer<0> 1 3 FB7_4 STD RESET -cnt/Er<1> 1 1 FB7_7 STD RESET -iobm/IOS_FSM_FFd2 2 4 FB7_10 STD RESET -cnt/Timer<0> 2 4 FB7_13 STD RESET -iobm/IOS_FSM_FFd3 3 5 FB7_15 STD RESET -iobm/DoutOE 4 8 FB7_16 STD RESET -iobm/IOS0 5 12 FB7_17 STD RESET -IOACT 8 14 FB7_18 STD RESET -ram/RS_FSM_FFd3 1 1 FB8_1 STD RESET -ram/RS_FSM_FFd2 1 1 FB8_3 STD RESET -fsb/ASrf 1 1 FB8_4 STD RESET -ALE0S 1 1 FB8_7 STD RESET -ram/RefDone 2 5 FB8_9 STD RESET -iobs/IOU1 2 2 FB8_10 STD RESET -iobs/IOL1 2 2 FB8_11 STD RESET -IOPWReady 2 5 FB8_13 STD RESET -ram/RS_FSM_FFd6 4 8 FB8_14 STD RESET -ram/RS_FSM_FFd8 5 9 FB8_16 STD SET -ram/CAS 5 9 FB8_17 STD RESET -ram/RAMEN 7 10 FB8_18 STD RESET +ram/RS_FSM_FFd8 11 12 FB4_3 STD SET +iobs/IORW1 8 19 FB4_4 STD RESET +IORDREQ 9 15 FB4_9 STD RESET +cs/ODCSr 2 6 FB4_10 STD RESET +iobs/Load1 8 18 FB4_12 STD RESET +iobs/TS_FSM_FFd1 2 3 FB4_13 STD RESET +RAMReady 10 13 FB4_15 STD RESET +ram/RS_FSM_FFd7 2 7 FB4_16 STD RESET +iobs/Sent 13 18 FB4_17 STD RESET +ram/RAMEN 12 14 FB5_3 STD RESET +ram/RASrr 4 9 FB5_4 STD RESET +ram/RS_FSM_FFd6 9 12 FB5_7 STD RESET +ram/Once 3 8 FB5_8 STD RESET +ram/RASEL 3 8 FB5_10 STD RESET +cs/nOverlay 2 5 FB5_13 STD RESET +ram/RS_FSM_FFd4 2 4 FB5_16 STD RESET +ram/RefDone 2 5 FB5_17 STD RESET +ram/CAS 13 14 FB5_18 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET +iobm/ES<0> 3 6 FB6_5 STD RESET +iobm/ES<3> 4 6 FB6_6 STD RESET +iobm/ES<1> 4 6 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +cnt/LTimer<0> 1 3 FB7_1 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB7_3 STD RESET +cnt/Er<1> 1 1 FB7_4 STD RESET +cnt/TimerTC 2 6 FB7_7 STD RESET +cnt/Timer<0> 2 4 FB7_10 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB7_13 STD RESET +RefReq 2 5 FB7_15 STD RESET +cnt/Timer<1> 4 5 FB7_16 STD RESET +cnt/Timer<2> 5 6 FB7_17 STD RESET +RefUrg 5 7 FB7_18 STD RESET +iobs/TS_FSM_FFd2 14 19 FB8_4 STD RESET -** 27 Inputs ** +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +IOWRREQ 15 21 FB8_9 STD RESET +IOU0 17 21 FB8_13 STD RESET +iobs/Clear1 1 2 FB8_16 STD RESET +IONPReady 5 17 FB8_17 STD RESET +IOL0 17 21 FB8_18 STD RESET + +** 35 Inputs ** Signal Loc Pin Pin Pin Name No. Type Use @@ -242,6 +235,10 @@ A_FSB<20> FB1_12 18 I/O I A_FSB<21> FB1_14 19 I/O I A_FSB<22> FB1_15 20 I/O I C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I A_FSB<9> FB2_12 7 I/O I A_FSB<10> FB2_14 8 I/O I A_FSB<11> FB2_15 9 I/O I @@ -255,6 +252,10 @@ nLDS_FSB FB3_12 30 I/O I nAS_FSB FB3_14 32 I/O I nUDS_FSB FB3_15 33 I/O I nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I nBERR_IOB FB6_5 76 I/O I nVPA_IOB FB6_6 77 I/O I nDTACK_IOB FB6_8 78 I/O I @@ -275,57 +276,64 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/Er 1 0 /\4 0 FB1_1 (b) (b) -(unused) 0 0 0 5 FB1_2 11 I/O I -(unused) 0 0 0 5 FB1_3 12 I/O I -(unused) 0 0 0 5 FB1_4 (b) -(unused) 0 0 0 5 FB1_5 13 I/O I -(unused) 0 0 0 5 FB1_6 14 I/O I -(unused) 0 0 0 5 FB1_7 (b) -(unused) 0 0 0 5 FB1_8 15 I/O I -(unused) 0 0 0 5 FB1_9 16 I/O I -(unused) 0 0 0 5 FB1_10 (b) -cs/nOverlay 2 0 0 3 FB1_11 17 I/O I -iobm/ES<2> 3 0 0 2 FB1_12 18 I/O I -iobm/ES<0> 3 0 0 2 FB1_13 (b) (b) -iobm/ES<3> 4 0 0 1 FB1_14 19 I/O I -iobm/ES<1> 4 0 \/1 0 FB1_15 20 I/O I -IODONE 4 1<- \/2 0 FB1_16 (b) (b) -IOU0 8 3<- 0 0 FB1_17 22 GCK/I/O GCK -IOL0 8 4<- /\1 0 FB1_18 (b) (b) +iobs/IODONEr 1 0 0 4 FB1_1 (b) (b) +iobs/IOACTr 1 0 0 4 FB1_2 11 I/O I +iobm/VPAr 1 0 0 4 FB1_3 12 I/O I +iobm/IOWRREQr 1 0 0 4 FB1_4 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB1_5 13 I/O I +iobm/IOS_FSM_FFd4 1 0 0 4 FB1_6 14 I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB1_7 (b) (b) +iobm/IORDREQr 1 0 0 4 FB1_8 15 I/O I +iobm/Er 1 0 0 4 FB1_9 16 I/O I +iobm/C8Mr 1 0 0 4 FB1_10 (b) (b) +cnt/nIPL2r 1 0 0 4 FB1_11 17 I/O I +cnt/Er<0> 1 0 0 4 FB1_12 18 I/O I +ALE0S 1 0 0 4 FB1_13 (b) (b) +iobs/IOU1 2 0 0 3 FB1_14 19 I/O I +iobs/IOL1 2 0 0 3 FB1_15 20 I/O I +iobm/IOS_FSM_FFd2 2 0 0 3 FB1_16 (b) (b) +IOBERR 2 0 0 3 FB1_17 22 GCK/I/O GCK +iobm/ES<2> 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 11: fsb/ASrf 20: iobs/TS_FSM_FFd1 - 2: A_FSB<21> 12: iobm/ES<0> 21: iobs/TS_FSM_FFd2 - 3: A_FSB<22> 13: iobm/ES<1> 22: nADoutLE1 - 4: A_FSB<23> 14: iobm/ES<2> 23: nAS_FSB - 5: E 15: iobm/ES<3> 24: nAS_IOB - 6: IOL0 16: iobm/Er 25: nDTACK_IOB - 7: IOU0 17: iobs/IOL1 26: nLDS_FSB - 8: nRES.PIN 18: iobs/IOU1 27: nUDS_FSB - 9: cs/ODCSr 19: iobs/Sent 28: nVMA_IOB - 10: cs/nOverlay + 1: C8M 9: iobm/ES<0> 17: iobs/Load1 + 2: E 10: iobm/ES<1> 18: iobs/TS_FSM_FFd2 + 3: IOACT 11: iobm/ES<2> 19: nAS_IOB + 4: IOBERR 12: iobm/Er 20: nBERR_IOB + 5: IODONE 13: iobm/IOS_FSM_FFd2 21: nIPL2 + 6: IORDREQ 14: iobm/IOS_FSM_FFd3 22: nLDS_FSB + 7: IOWRREQ 15: iobm/IOS_FSM_FFd5 23: nUDS_FSB + 8: iobm/C8Mr 16: iobm/IOS_FSM_FFd6 24: nVPA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/Er ....X................................... 1 -cs/nOverlay .......XXXX...........X................. 5 -iobm/ES<2> ....X......XXX.X........................ 5 -iobm/ES<0> ....X......XXXXX........................ 6 -iobm/ES<3> ....X......XXXXX........................ 6 -iobm/ES<1> ....X......XXXXX........................ 6 -IODONE .......X...XXXX........XX..X............ 8 -IOU0 XXXX..X..XX......XXXXXX...X............. 14 -IOL0 XXXX.X...XX.....X.XXXXX..X.............. 14 +iobs/IODONEr ....X................................... 1 +iobs/IOACTr ..X..................................... 1 +iobm/VPAr .......................X................ 1 +iobm/IOWRREQr ......X................................. 1 +iobm/IOS_FSM_FFd5 ...............X........................ 1 +iobm/IOS_FSM_FFd4 ..............X......................... 1 +iobm/IOS_FSM_FFd1 ............X........................... 1 +iobm/IORDREQr .....X.................................. 1 +iobm/Er .X...................................... 1 +iobm/C8Mr X....................................... 1 +cnt/nIPL2r ....................X................... 1 +cnt/Er<0> .X...................................... 1 +ALE0S .................X...................... 1 +iobs/IOU1 ................X.....X................. 2 +iobs/IOL1 ................X....X.................. 2 +iobm/IOS_FSM_FFd2 ...XX..X.....X.......................... 4 +IOBERR ..................XX.................... 2 +iobm/ES<2> .X......XXXX............................ 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 9/45 -Number of signals used by logic mapping into function block: 9 +Number of function block inputs used/remaining: 4/50 +Number of signals used by logic mapping into function block: 4 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -333,373 +341,379 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_3 (b) (unused) 0 0 0 5 FB2_4 (b) (unused) 0 0 0 5 FB2_5 1 GTS/I/O -(unused) 0 0 0 5 FB2_6 2 GTS/I/O +(unused) 0 0 0 5 FB2_6 2 GTS/I/O I (unused) 0 0 0 5 FB2_7 (b) -(unused) 0 0 0 5 FB2_8 3 GTS/I/O -(unused) 0 0 0 5 FB2_9 4 GTS/I/O +(unused) 0 0 0 5 FB2_8 3 GTS/I/O I +(unused) 0 0 0 5 FB2_9 4 GTS/I/O I (unused) 0 0 0 5 FB2_10 (b) -(unused) 0 0 0 5 FB2_11 6 I/O +(unused) 0 0 0 5 FB2_11 6 I/O I (unused) 0 0 0 5 FB2_12 7 I/O I (unused) 0 0 0 5 FB2_13 (b) -(unused) 0 0 0 5 FB2_14 8 I/O I -iobm/VPAr 1 0 0 4 FB2_15 9 I/O I -cnt/nIPL2r 1 0 0 4 FB2_16 (b) (b) -IOBERR 2 0 0 3 FB2_17 10 I/O I -cnt/Timer<1> 4 0 0 1 FB2_18 (b) (b) +ram/RS_FSM_FFd5 1 0 0 4 FB2_14 8 I/O I +ram/RS_FSM_FFd3 1 0 0 4 FB2_15 9 I/O I +ram/RS_FSM_FFd2 1 0 0 4 FB2_16 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB2_17 10 I/O I +ram/RASrf 1 0 0 4 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: cnt/Er<0> 4: cnt/Timer<1> 7: nBERR_IOB - 2: cnt/Er<1> 5: cnt/TimerTC 8: nIPL2 - 3: cnt/Timer<0> 6: nAS_IOB 9: nVPA_IOB + 1: ram/RS_FSM_FFd2 3: ram/RS_FSM_FFd6 4: ram/RS_FSM_FFd7 + 2: ram/RS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPAr ........X............................... 1 -cnt/nIPL2r .......X................................ 1 -IOBERR .....XX................................. 2 -cnt/Timer<1> XXXXX................................... 5 +ram/RS_FSM_FFd5 ...X.................................... 1 +ram/RS_FSM_FFd3 ..X..................................... 1 +ram/RS_FSM_FFd2 .X...................................... 1 +ram/RS_FSM_FFd1 X....................................... 1 +ram/RASrf ...X.................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 29/25 -Number of signals used by logic mapping into function block: 29 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB3_1 (b) -(unused) 0 0 0 5 FB3_2 23 GCK/I/O GCK/I -(unused) 0 0 0 5 FB3_3 (b) -ram/RS_FSM_FFd4 1 0 0 4 FB3_4 (b) (b) -ram/RS_FSM_FFd1 1 0 0 4 FB3_5 24 I/O I -iobs/IODONEr<0> 1 0 0 4 FB3_6 25 I/O I -cnt/INITS_FSM_FFd1 1 0 0 4 FB3_7 (b) (b) -cnt/Er<0> 1 0 \/1 3 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 7 2<- 0 0 FB3_9 28 I/O O -cnt/TimerTC 2 0 /\1 2 FB3_10 (b) (b) +ram/BACTr 1 0 0 4 FB3_1 (b) (b) +fsb/ASrf 1 0 0 4 FB3_2 23 GCK/I/O GCK/I +cnt/LTimerTC 2 0 0 3 FB3_3 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB3_4 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB3_5 24 I/O I +cnt/LTimer<7> 2 0 0 3 FB3_6 25 I/O I +cnt/LTimer<6> 2 0 0 3 FB3_7 (b) (b) +cnt/LTimer<5> 2 0 \/2 1 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 8 3<- 0 0 FB3_9 28 I/O O +cnt/LTimer<4> 2 0 /\1 2 FB3_10 (b) (b) cnt/LTimer<3> 2 0 0 3 FB3_11 29 I/O I cnt/LTimer<2> 2 0 0 3 FB3_12 30 I/O I cnt/LTimer<1> 2 0 0 3 FB3_13 (b) (b) -cnt/INITS_FSM_FFd2 2 0 0 3 FB3_14 32 I/O I -RefReq 2 0 0 3 FB3_15 33 I/O I -cnt/Timer<2> 5 0 0 0 FB3_16 (b) (b) +cnt/LTimer<12> 2 0 0 3 FB3_14 32 I/O I +cnt/LTimer<11> 2 0 0 3 FB3_15 33 I/O I +cnt/LTimer<10> 2 0 0 3 FB3_16 (b) (b) nROMWE 1 0 0 4 FB3_17 34 I/O O -RefUrg 5 0 0 0 FB3_18 (b) (b) +IOPWReady 2 0 0 3 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<18> 11: RefUrg 21: cnt/Timer<1> - 2: A_FSB<19> 12: cnt/Er<0> 22: cnt/Timer<2> - 3: A_FSB<20> 13: cnt/Er<1> 23: cnt/TimerTC - 4: A_FSB<21> 14: cnt/INITS_FSM_FFd1 24: cnt/nIPL2r - 5: A_FSB<22> 15: cnt/INITS_FSM_FFd2 25: fsb/ASrf - 6: A_FSB<23> 16: cnt/LTimer<0> 26: nAS_FSB - 7: E 17: cnt/LTimer<1> 27: nWE_FSB - 8: IODONE 18: cnt/LTimer<2> 28: ram/RS_FSM_FFd1 - 9: IONPReady 19: cnt/LTimerTC 29: ram/RS_FSM_FFd2 - 10: IOPWReady 20: cnt/Timer<0> + 1: A_FSB<13> 13: RAMReady 25: cnt/LTimer<6> + 2: A_FSB<14> 14: cnt/Er<0> 26: cnt/LTimer<7> + 3: A_FSB<16> 15: cnt/Er<1> 27: cnt/LTimer<8> + 4: A_FSB<17> 16: cnt/LTimer<0> 28: cnt/LTimer<9> + 5: A_FSB<18> 17: cnt/LTimer<10> 29: cnt/TimerTC + 6: A_FSB<19> 18: cnt/LTimer<11> 30: cs/nOverlay + 7: A_FSB<20> 19: cnt/LTimer<12> 31: fsb/ASrf + 8: A_FSB<21> 20: cnt/LTimer<1> 32: iobs/Clear1 + 9: A_FSB<22> 21: cnt/LTimer<2> 33: nADoutLE1 + 10: A_FSB<23> 22: cnt/LTimer<3> 34: nAS_FSB + 11: IONPReady 23: cnt/LTimer<4> 35: nWE_FSB + 12: IOPWReady 24: cnt/LTimer<5> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd4 ...........................X............ 1 -ram/RS_FSM_FFd1 ............................X........... 1 -iobs/IODONEr<0> .......X................................ 1 -cnt/INITS_FSM_FFd1 ...........XXXX...X...XX................ 7 -cnt/Er<0> ......X................................. 1 -nDTACK_FSB XXXXXX..XX..............XXX............. 11 -cnt/TimerTC ..........XXX......XXX.................. 6 -cnt/LTimer<3> ...........XX..XXX....X................. 6 -cnt/LTimer<2> ...........XX..XX.....X................. 5 -cnt/LTimer<1> ...........XX..X......X................. 4 -cnt/INITS_FSM_FFd2 ...........XXXX...X...X................. 6 -RefReq ..........XXX.......XX.................. 5 -cnt/Timer<2> ...........XX......XXXX................. 6 -nROMWE .........................XX............. 2 -RefUrg ..........XXX......XXXX................. 7 +ram/BACTr ..............................X..X...... 2 +fsb/ASrf .................................X...... 1 +cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 +cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 +cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 +cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 +cnt/LTimer<6> .............XXX...XXXXX....X........... 9 +cnt/LTimer<5> .............XXX...XXXX.....X........... 8 +nDTACK_FSB XXXXXXXXXXXXX................XX..XX..... 17 +cnt/LTimer<4> .............XXX...XXX......X........... 7 +cnt/LTimer<3> .............XXX...XX.......X........... 6 +cnt/LTimer<2> .............XXX...X........X........... 5 +cnt/LTimer<1> .............XXX............X........... 4 +cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 +cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 +cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 +nROMWE .................................XX..... 2 +IOPWReady ...........X..................XXXX...... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 29/25 -Number of signals used by logic mapping into function block: 29 +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/BACTr 1 1<- /\5 0 FB4_1 (b) (b) -nAoutOE 2 0 /\1 2 FB4_2 87 I/O O -nRESout 1 0 0 4 FB4_3 (b) (b) -iobs/Clear1 1 0 0 4 FB4_4 (b) (b) -nDoutOE 2 0 0 3 FB4_5 89 I/O O -nDinOE 4 0 0 1 FB4_6 90 I/O O -ram/Once 2 0 0 3 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -iobs/TS_FSM_FFd1 2 0 0 3 FB4_9 92 I/O I +nRESout 1 0 \/2 2 FB4_1 (b) (b) +nAoutOE 2 2<- \/5 0 FB4_2 87 I/O O +ram/RS_FSM_FFd8 11 6<- 0 0 FB4_3 (b) (b) +iobs/IORW1 8 4<- /\1 0 FB4_4 (b) (b) +nDoutOE 2 1<- /\4 0 FB4_5 89 I/O O +nDinOE 3 0 /\1 1 FB4_6 90 I/O O +(unused) 0 0 0 5 FB4_7 (b) +nRES 1 0 \/4 0 FB4_8 91 I/O I/O +IORDREQ 9 4<- 0 0 FB4_9 92 I/O I cs/ODCSr 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 3 0 \/2 0 FB4_11 93 I/O O -IOWRREQ 8 3<- 0 0 FB4_12 94 I/O (b) -iobs/Load1 4 0 /\1 0 FB4_13 (b) (b) -iobs/IORW1 4 0 \/1 0 FB4_14 95 I/O (b) -IONPReady 4 1<- \/2 0 FB4_15 96 I/O (b) -iobs/TS_FSM_FFd2 5 2<- \/2 0 FB4_16 (b) (b) -IORDREQ 8 3<- 0 0 FB4_17 97 I/O (b) -iobs/Sent 9 5<- /\1 0 FB4_18 (b) (b) +nVPA_FSB 3 0 0 2 FB4_11 93 I/O O +iobs/Load1 8 3<- 0 0 FB4_12 94 I/O I +iobs/TS_FSM_FFd1 2 0 /\3 0 FB4_13 (b) (b) +(unused) 0 0 \/5 0 FB4_14 95 I/O I +RAMReady 10 5<- 0 0 FB4_15 96 I/O I +ram/RS_FSM_FFd7 2 0 \/3 0 FB4_16 (b) (b) +iobs/Sent 13 8<- 0 0 FB4_17 97 I/O I +(unused) 0 0 /\5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<18> 11: cnt/INITS_FSM_FFd2 21: iobs/Sent - 2: A_FSB<19> 12: cs/nOverlay 22: iobs/TS_FSM_FFd1 - 3: A_FSB<20> 13: fsb/ASrf 23: iobs/TS_FSM_FFd2 - 4: A_FSB<21> 14: iobm/DoutOE 24: nADoutLE1 - 5: A_FSB<22> 15: iobm/IORDREQr 25: nAS_FSB - 6: A_FSB<23> 16: iobm/IOS0 26: nAoutOE - 7: IONPReady 17: iobm/IOWRREQr 27: nBR_IOB - 8: IORDREQ 18: iobs/IOACTr 28: nRESout - 9: IOWRREQ 19: iobs/IODONEr<0> 29: nWE_FSB - 10: cnt/INITS_FSM_FFd1 20: iobs/IORW1 + 1: A_FSB<13> 14: RefReq 27: iobs/TS_FSM_FFd1 + 2: A_FSB<14> 15: RefUrg 28: iobs/TS_FSM_FFd2 + 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 + 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB + 5: A_FSB<18> 18: cs/nOverlay 31: nAoutOE + 6: A_FSB<19> 19: fsb/ASrf 32: nBR_IOB + 7: A_FSB<20> 20: iobm/DoutOE 33: nRESout + 8: A_FSB<21> 21: iobm/IORDREQr 34: nWE_FSB + 9: A_FSB<22> 22: iobm/IOS0 35: ram/BACTr + 10: A_FSB<23> 23: iobm/IOWRREQr 36: ram/RAMEN + 11: IONPReady 24: iobs/IOACTr 37: ram/RS_FSM_FFd4 + 12: IORDREQ 25: iobs/IORW1 38: ram/RS_FSM_FFd8 + 13: RAMReady 26: iobs/Sent 39: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/BACTr ............X...........X............... 2 -nAoutOE .........XX..............XX............. 4 -nRESout .........XX............................. 2 -iobs/Clear1 .....................XX................. 2 -nDoutOE .............XXXX........X.............. 5 -nDinOE ..XXXX.....X............X...X........... 7 -ram/Once ............X...........X............... 2 -nRES ...........................X............ 1 -iobs/TS_FSM_FFd1 .................X...XX................. 3 -cs/ODCSr ..XXXX......X...........X............... 6 -nVPA_FSB XXXXXXX.....X...........X............... 9 -IOWRREQ ..XXXX..X..XX....X.XXXXXX...X........... 15 -iobs/Load1 ....XX......X.......XXXXX...X........... 9 -iobs/IORW1 ....XX......X......XXXXXX...X........... 10 -IONPReady ....XXX.....X.....X.X...X...X........... 8 -iobs/TS_FSM_FFd2 ..XXXX.....XX....X..XXXXX............... 12 -IORDREQ ..XXXX.X...XX....X.XXXXXX...X........... 15 -iobs/Sent ..XXXX.....XX.......XXXXX...X........... 12 +nRESout ...............XX....................... 2 +nAoutOE ...............XX.............XX........ 4 +ram/RS_FSM_FFd8 ........XX...XX..XX..........X....XXXXX. 12 +iobs/IORW1 XXXXXXXXXX.......XX.....XXXXXX...X...... 19 +nDoutOE ...................XXXX.......X......... 5 +nDinOE ......XXXX...................X...X...... 6 +nRES ................................X....... 1 +IORDREQ ......XXXX.X.....XX....XXXXXXX...X...... 15 +cs/ODCSr ......XXXX........X..........X.......... 6 +nVPA_FSB ....XXXXXXX.......X..........X.......... 9 +iobs/Load1 XXXXXXXXXX.......XX......XXXXX...X...... 18 +iobs/TS_FSM_FFd1 .......................X..XX............ 3 +RAMReady ........XX..XXX..XX..........X....XXXXX. 13 +ram/RS_FSM_FFd7 ........XX.......XX..........X.....X.X.. 7 +iobs/Sent XXXXXXXXXX.......XX......XXXXX...X...... 18 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 29/25 -Number of signals used by logic mapping into function block: 29 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -cnt/LTimerTC 2 0 0 3 FB5_1 (b) (b) -nROMCS 2 0 0 3 FB5_2 35 I/O O -cnt/LTimer<9> 2 0 0 3 FB5_3 (b) (b) -cnt/LTimer<8> 2 0 0 3 FB5_4 (b) (b) -nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 0 4 FB5_6 37 I/O O -cnt/LTimer<7> 2 0 0 3 FB5_7 (b) (b) -cnt/LTimer<6> 2 0 0 3 FB5_8 39 I/O (b) -RA<4> 1 0 0 4 FB5_9 40 I/O O -cnt/LTimer<5> 2 0 0 3 FB5_10 (b) (b) -RA<3> 1 0 0 4 FB5_11 41 I/O O -RA<5> 1 0 0 4 FB5_12 42 I/O O -cnt/LTimer<4> 2 0 0 3 FB5_13 (b) (b) -RA<2> 1 0 0 4 FB5_14 43 I/O O -RA<6> 1 0 0 4 FB5_15 46 I/O O -cnt/LTimer<12> 2 0 0 3 FB5_16 (b) (b) -cnt/LTimer<11> 2 0 0 3 FB5_17 49 I/O (b) -cnt/LTimer<10> 2 0 0 3 FB5_18 (b) (b) +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 \/3 0 FB5_2 35 I/O O +ram/RAMEN 12 7<- 0 0 FB5_3 (b) (b) +ram/RASrr 4 3<- /\4 0 FB5_4 (b) (b) +nCAS 1 0 /\3 1 FB5_5 36 I/O O +nOE 1 0 \/3 1 FB5_6 37 I/O O +ram/RS_FSM_FFd6 9 4<- 0 0 FB5_7 (b) (b) +ram/Once 3 0 /\1 1 FB5_8 39 I/O (b) +RA<4> 2 0 0 3 FB5_9 40 I/O O +ram/RASEL 3 0 0 2 FB5_10 (b) (b) +RA<3> 2 0 0 3 FB5_11 41 I/O O +RA<5> 2 0 0 3 FB5_12 42 I/O O +cs/nOverlay 2 0 0 3 FB5_13 (b) (b) +RA<2> 2 0 0 3 FB5_14 43 I/O O +RA<6> 2 0 0 3 FB5_15 46 I/O O +ram/RS_FSM_FFd4 2 0 0 3 FB5_16 (b) (b) +ram/RefDone 2 0 \/3 0 FB5_17 49 I/O (b) +ram/CAS 13 8<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<11> 11: cnt/Er<1> 21: cnt/LTimer<6> - 2: A_FSB<12> 12: cnt/LTimer<0> 22: cnt/LTimer<7> - 3: A_FSB<13> 13: cnt/LTimer<10> 23: cnt/LTimer<8> - 4: A_FSB<16> 14: cnt/LTimer<11> 24: cnt/LTimer<9> - 5: A_FSB<19> 15: cnt/LTimer<12> 25: cnt/TimerTC - 6: A_FSB<20> 16: cnt/LTimer<1> 26: cs/nOverlay - 7: A_FSB<21> 17: cnt/LTimer<2> 27: nAS_FSB - 8: A_FSB<22> 18: cnt/LTimer<3> 28: nWE_FSB - 9: A_FSB<23> 19: cnt/LTimer<4> 29: ram/CAS - 10: cnt/Er<0> 20: cnt/LTimer<5> + 1: A_FSB<11> 13: A_FSB<7> 25: ram/RAMEN + 2: A_FSB<12> 14: nRES.PIN 26: ram/RASEL + 3: A_FSB<13> 15: RefReq 27: ram/RS_FSM_FFd1 + 4: A_FSB<16> 16: RefUrg 28: ram/RS_FSM_FFd2 + 5: A_FSB<19> 17: cs/ODCSr 29: ram/RS_FSM_FFd3 + 6: A_FSB<20> 18: cs/nOverlay 30: ram/RS_FSM_FFd4 + 7: A_FSB<21> 19: fsb/ASrf 31: ram/RS_FSM_FFd5 + 8: A_FSB<22> 20: nAS_FSB 32: ram/RS_FSM_FFd6 + 9: A_FSB<23> 21: nWE_FSB 33: ram/RS_FSM_FFd7 + 10: A_FSB<3> 22: ram/BACTr 34: ram/RS_FSM_FFd8 + 11: A_FSB<4> 23: ram/CAS 35: ram/RefDone + 12: A_FSB<5> 24: ram/Once Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimerTC .........XXXXXXXXXXXXXXXX............... 16 -nROMCS .....XXXX................X.............. 5 -cnt/LTimer<9> .........XXX...XXXXXXXX.X............... 12 -cnt/LTimer<8> .........XXX...XXXXXXX..X............... 11 -nCAS ............................X........... 1 -nOE ..........................XX............ 2 -cnt/LTimer<7> .........XXX...XXXXXX...X............... 10 -cnt/LTimer<6> .........XXX...XXXXX....X............... 9 -RA<4> X....................................... 1 -cnt/LTimer<5> .........XXX...XXXX.....X............... 8 -RA<3> ....X................................... 1 -RA<5> .X...................................... 1 -cnt/LTimer<4> .........XXX...XXX......X............... 7 -RA<2> ...X.................................... 1 -RA<6> ..X..................................... 1 -cnt/LTimer<12> .........XXXXX.XXXXXXXXXX............... 15 -cnt/LTimer<11> .........XXXX..XXXXXXXXXX............... 14 -cnt/LTimer<10> .........XXX...XXXXXXXXXX............... 13 +nROMCS .....XXXX........X...................... 5 +ram/RAMEN .......XX.....XX.XXX.X.XX....X..XXX..... 14 +ram/RASrr .......XX........XXX....X...X..X.X...... 9 +nCAS ......................X................. 1 +nOE ...................XX................... 2 +ram/RS_FSM_FFd6 .......XX.....XX.XXX.X..X.....X..XX..... 12 +ram/Once .......XX........XXX...XX........X...... 8 +RA<4> X........X...............X.............. 3 +ram/RASEL .......XX........XXX....X.......XX...... 8 +RA<3> ....XX...................X.............. 3 +RA<5> .X........X..............X.............. 3 +cs/nOverlay .............X..XXXX.................... 5 +RA<2> ...X........X............X.............. 3 +RA<6> ..X........X.............X.............. 3 +ram/RS_FSM_FFd4 ...............X..........X...X...X..... 4 +ram/RefDone ..............XX...........XX.....X..... 5 +ram/CAS .......XX.....XX.XXX.X..X.....XXXXX..... 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB6_1 (b) +iobm/IOS_FSM_FFd6 2 0 0 3 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -(unused) 0 0 0 5 FB6_3 (b) -(unused) 0 0 0 5 FB6_4 (b) -iobs/IOACTr 1 0 0 4 FB6_5 76 I/O I -iobm/IOS_FSM_FFd5 1 0 0 4 FB6_6 77 I/O I -iobm/IOS_FSM_FFd4 1 0 0 4 FB6_7 (b) (b) -iobm/IOS_FSM_FFd1 1 0 \/1 3 FB6_8 78 I/O I +iobm/IOS_FSM_FFd7 3 0 0 2 FB6_3 (b) (b) +iobm/IOS_FSM_FFd3 3 0 0 2 FB6_4 (b) (b) +iobm/ES<0> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<3> 4 0 0 1 FB6_6 77 I/O I +iobm/ES<1> 4 0 0 1 FB6_7 (b) (b) +iobm/DoutOE 4 0 \/1 0 FB6_8 78 I/O I nLDS_IOB 6 1<- 0 0 FB6_9 79 I/O O -iobm/C8Mr 1 0 \/1 3 FB6_10 (b) (b) +IODONE 4 0 \/1 0 FB6_10 (b) (b) nUDS_IOB 6 1<- 0 0 FB6_11 80 I/O O nAS_IOB 4 0 0 1 FB6_12 81 I/O O -iobm/IOS_FSM_FFd6 2 0 0 3 FB6_13 (b) (b) +iobm/IOS0 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O nADoutLE0 1 0 0 4 FB6_15 85 I/O O -iobm/IOS_FSM_FFd7 3 0 0 2 FB6_16 (b) (b) -nDinLE 1 0 0 4 FB6_17 86 I/O O -ALE0M 5 0 0 0 FB6_18 (b) (b) +ALE0M 5 0 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/3 1 FB6_17 86 I/O O +IOACT 8 3<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 11: iobm/ES<3> 20: iobm/IOWRREQr - 2: ALE0S 12: iobm/IORDREQr 21: iobm/VPAr - 3: C8M 13: iobm/IOS_FSM_FFd1 22: iobs/Clear1 - 4: IOACT 14: iobm/IOS_FSM_FFd2 23: iobs/Load1 - 5: IOL0 15: iobm/IOS_FSM_FFd3 24: nADoutLE1 - 6: IOU0 16: iobm/IOS_FSM_FFd4 25: nAoutOE - 7: iobm/C8Mr 17: iobm/IOS_FSM_FFd5 26: nLDS_IOB - 8: iobm/ES<0> 18: iobm/IOS_FSM_FFd6 27: nUDS_IOB - 9: iobm/ES<1> 19: iobm/IOS_FSM_FFd7 28: nVMA_IOB - 10: iobm/ES<2> + 1: ALE0M 13: iobm/ES<1> 25: iobm/IOS_FSM_FFd7 + 2: ALE0S 14: iobm/ES<2> 26: iobm/IOWRREQr + 3: E 15: iobm/ES<3> 27: iobm/VPAr + 4: IOACT 16: iobm/Er 28: iobs/Clear1 + 5: IOBERR 17: iobm/IORDREQr 29: iobs/Load1 + 6: IODONE 18: iobm/IOS0 30: nADoutLE1 + 7: IOL0 19: iobm/IOS_FSM_FFd1 31: nAS_IOB + 8: IOU0 20: iobm/IOS_FSM_FFd2 32: nAoutOE + 9: nRES.PIN 21: iobm/IOS_FSM_FFd3 33: nDTACK_IOB + 10: iobm/C8Mr 22: iobm/IOS_FSM_FFd4 34: nLDS_IOB + 11: iobm/DoutOE 23: iobm/IOS_FSM_FFd5 35: nUDS_IOB + 12: iobm/ES<0> 24: iobm/IOS_FSM_FFd6 36: nVMA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nVMA_IOB ...X...XXXX.........X...X..X............ 8 -iobs/IOACTr ...X.................................... 1 -iobm/IOS_FSM_FFd5 .................X...................... 1 -iobm/IOS_FSM_FFd4 ................X....................... 1 -iobm/IOS_FSM_FFd1 .............X.......................... 1 -nLDS_IOB ....X.X....X..XXXXX.....XX.............. 10 -iobm/C8Mr ..X..................................... 1 -nUDS_IOB .....XX....X..XXXXX.....X.X............. 10 -nAS_IOB ......X....X..XXXXXX....X............... 9 -iobm/IOS_FSM_FFd6 ......X....X......XX....X............... 5 -nADoutLE1 .....................XXX................ 3 +iobm/IOS_FSM_FFd6 .........X......X.......XX.....X........ 5 +nVMA_IOB ...X.......XXXX...........X....X...X.... 8 +iobm/IOS_FSM_FFd7 .........X......X.X.....XX.....X........ 6 +iobm/IOS_FSM_FFd3 ....XX...X..........XX.................. 5 +iobm/ES<0> ..X........XXXXX........................ 6 +iobm/ES<3> ..X........XXXXX........................ 6 +iobm/ES<1> ..X........XXXXX........................ 6 +iobm/DoutOE .........XX.........XXXXXX.............. 8 +nLDS_IOB ......X..X......X...XXXXX......X.X...... 10 +IODONE ........X..XXXX...............X.X..X.... 8 +nUDS_IOB .......X.X......X...XXXXX......X..X..... 10 +nAS_IOB .........X......X...XXXXXX.....X........ 9 +iobm/IOS0 .........X......XXXXXXXXXX.....X........ 12 +nADoutLE1 ...........................XXX.......... 3 nADoutLE0 XX...................................... 2 -iobm/IOS_FSM_FFd7 ......X....XX.....XX....X............... 6 -nDinLE ..............XX........................ 2 -ALE0M X..........XXXXXXXXX....X............... 11 +ALE0M X...............X.XXXXXXXX.....X........ 11 +nDinLE ....................XX.................. 2 +IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/IOWRREQr 1 0 /\3 1 FB7_1 (b) (b) -RA<1> 1 0 0 4 FB7_2 50 I/O O -iobm/IORDREQr 1 0 0 4 FB7_3 (b) (b) -cnt/LTimer<0> 1 0 0 4 FB7_4 (b) (b) -RA<7> 1 0 0 4 FB7_5 52 I/O O -RA<0> 1 0 0 4 FB7_6 53 I/O O -cnt/Er<1> 1 0 0 4 FB7_7 (b) (b) -RA<8> 1 0 0 4 FB7_8 54 I/O O -RA<10> 1 0 0 4 FB7_9 55 I/O O -iobm/IOS_FSM_FFd2 2 0 0 3 FB7_10 (b) (b) -RA<9> 1 0 0 4 FB7_11 56 I/O O +cnt/LTimer<0> 1 0 0 4 FB7_1 (b) (b) +RA<1> 2 0 0 3 FB7_2 50 I/O O +cnt/INITS_FSM_FFd1 1 0 0 4 FB7_3 (b) (b) +cnt/Er<1> 1 0 0 4 FB7_4 (b) (b) +RA<7> 2 0 0 3 FB7_5 52 I/O O +RA<0> 2 0 0 3 FB7_6 53 I/O O +cnt/TimerTC 2 0 0 3 FB7_7 (b) (b) +RA<8> 2 0 0 3 FB7_8 54 I/O O +RA<10> 2 0 0 3 FB7_9 55 I/O O +cnt/Timer<0> 2 0 0 3 FB7_10 (b) (b) +RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/Timer<0> 2 0 0 3 FB7_13 (b) (b) +cnt/INITS_FSM_FFd2 2 0 0 3 FB7_13 (b) (b) C20MEN 0 0 0 5 FB7_14 59 I/O O -iobm/IOS_FSM_FFd3 3 0 0 2 FB7_15 60 I/O (b) -iobm/DoutOE 4 0 0 1 FB7_16 (b) (b) -iobm/IOS0 5 0 0 0 FB7_17 61 I/O (b) -IOACT 8 3<- 0 0 FB7_18 (b) (b) +RefReq 2 0 0 3 FB7_15 60 I/O (b) +cnt/Timer<1> 4 0 0 1 FB7_16 (b) (b) +cnt/Timer<2> 5 0 0 0 FB7_17 61 I/O (b) +RefUrg 5 0 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 11: IOWRREQ 20: iobm/IOS_FSM_FFd1 - 2: A_FSB<14> 12: cnt/Er<0> 21: iobm/IOS_FSM_FFd2 - 3: A_FSB<15> 13: cnt/Er<1> 22: iobm/IOS_FSM_FFd3 - 4: A_FSB<17> 14: cnt/Timer<0> 23: iobm/IOS_FSM_FFd4 - 5: A_FSB<18> 15: cnt/TimerTC 24: iobm/IOS_FSM_FFd5 - 6: A_FSB<9> 16: iobm/C8Mr 25: iobm/IOS_FSM_FFd6 - 7: IOACT 17: iobm/DoutOE 26: iobm/IOS_FSM_FFd7 - 8: IOBERR 18: iobm/IORDREQr 27: iobm/IOWRREQr - 9: IODONE 19: iobm/IOS0 28: nAoutOE - 10: IORDREQ + 1: A_FSB<10> 9: A_FSB<6> 17: cnt/INITS_FSM_FFd2 + 2: A_FSB<14> 10: A_FSB<7> 18: cnt/LTimerTC + 3: A_FSB<15> 11: A_FSB<8> 19: cnt/Timer<0> + 4: A_FSB<17> 12: A_FSB<9> 20: cnt/Timer<1> + 5: A_FSB<18> 13: RefUrg 21: cnt/Timer<2> + 6: A_FSB<1> 14: cnt/Er<0> 22: cnt/TimerTC + 7: A_FSB<21> 15: cnt/Er<1> 23: cnt/nIPL2r + 8: A_FSB<2> 16: cnt/INITS_FSM_FFd1 24: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/IOWRREQr ..........X............................. 1 -RA<1> X....................................... 1 -iobm/IORDREQr .........X.............................. 1 -cnt/LTimer<0> ...........XX.X......................... 3 -RA<7> .X...................................... 1 -RA<0> .....X.................................. 1 -cnt/Er<1> ...........X............................ 1 -RA<8> ....X................................... 1 -RA<10> ...X.................................... 1 -iobm/IOS_FSM_FFd2 .......XX......X.....X.................. 4 -RA<9> ..X..................................... 1 +cnt/LTimer<0> .............XX......X.................. 3 +RA<1> X......X...............X................ 3 +cnt/INITS_FSM_FFd1 .............XXXXX...XX................. 7 +cnt/Er<1> .............X.......................... 1 +RA<7> .X......X..............X................ 3 +RA<0> .....X.....X...........X................ 3 +cnt/TimerTC ............XXX...XXX................... 6 +RA<8> ....X.X................X................ 3 +RA<10> ...X.....X.............X................ 3 +cnt/Timer<0> .............XX...X..X.................. 4 +RA<9> ..X.......X............X................ 3 C25MEN ........................................ 0 -cnt/Timer<0> ...........XXXX......................... 4 +cnt/INITS_FSM_FFd2 .............XXXXX...X.................. 6 C20MEN ........................................ 0 -iobm/IOS_FSM_FFd3 .......XX......X.....XX................. 5 -iobm/DoutOE ...............XX....XXXXXX............. 8 -iobm/IOS0 ...............X.XXXXXXXXXXX............ 12 -IOACT ......XXX......X.X.XXXXXXXXX............ 14 +RefReq ............XXX....XX................... 5 +cnt/Timer<1> .............XX...XX.X.................. 5 +cnt/Timer<2> .............XX...XXXX.................. 6 +RefUrg ............XXX...XXXX.................. 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 29/25 -Number of signals used by logic mapping into function block: 29 +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RS_FSM_FFd3 1 0 /\2 2 FB8_1 (b) (b) -RA<11> 1 0 0 4 FB8_2 63 I/O O -ram/RS_FSM_FFd2 1 0 0 4 FB8_3 (b) (b) -fsb/ASrf 1 0 0 4 FB8_4 (b) (b) -nRAS 1 0 0 4 FB8_5 64 I/O O -nRAMLWE 1 0 0 4 FB8_6 65 I/O O -ALE0S 1 0 0 4 FB8_7 (b) (b) -nRAMUWE 1 0 0 4 FB8_8 66 I/O O -ram/RefDone 2 0 0 3 FB8_9 67 I/O (b) -iobs/IOU1 2 0 0 3 FB8_10 (b) (b) -iobs/IOL1 2 0 0 3 FB8_11 68 I/O (b) -nBERR_FSB 3 0 0 2 FB8_12 70 I/O O -IOPWReady 2 0 0 3 FB8_13 (b) (b) -ram/RS_FSM_FFd6 4 0 0 1 FB8_14 71 I/O (b) -nBR_IOB 2 0 0 3 FB8_15 72 I/O O -ram/RS_FSM_FFd8 5 0 0 0 FB8_16 (b) (b) -ram/CAS 5 0 0 0 FB8_17 73 I/O (b) -ram/RAMEN 7 2<- 0 0 FB8_18 (b) (b) +(unused) 0 0 /\5 0 FB8_1 (b) (b) +RA<11> 2 0 /\3 0 FB8_2 63 I/O O +(unused) 0 0 \/5 0 FB8_3 (b) (b) +iobs/TS_FSM_FFd2 14 9<- 0 0 FB8_4 (b) (b) +nRAS 3 2<- /\4 0 FB8_5 64 I/O O +nRAMLWE 1 0 /\2 2 FB8_6 65 I/O O +(unused) 0 0 \/1 4 FB8_7 (b) (b) +nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O +IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) +(unused) 0 0 /\5 0 FB8_10 (b) (b) +(unused) 0 0 \/2 3 FB8_11 68 I/O (b) +nBERR_FSB 3 2<- \/4 0 FB8_12 70 I/O O +IOU0 17 12<- 0 0 FB8_13 (b) (b) +(unused) 0 0 /\5 0 FB8_14 71 I/O (b) +nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O +iobs/Clear1 1 0 \/4 0 FB8_16 (b) (b) +IONPReady 5 4<- \/4 0 FB8_17 73 I/O (b) +IOL0 17 12<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<19> 11: iobs/Load1 21: ram/BACTr - 2: IOBERR 12: iobs/Sent 22: ram/Once - 3: IOPWReady 13: iobs/TS_FSM_FFd2 23: ram/RAMEN - 4: RefReq 14: nADoutLE1 24: ram/RS_FSM_FFd2 - 5: RefUrg 15: nAS_FSB 25: ram/RS_FSM_FFd3 - 6: cnt/INITS_FSM_FFd1 16: nBERR_FSB 26: ram/RS_FSM_FFd4 - 7: cnt/INITS_FSM_FFd2 17: nBR_IOB 27: ram/RS_FSM_FFd6 - 8: cnt/nIPL2r 18: nLDS_FSB 28: ram/RS_FSM_FFd8 - 9: fsb/ASrf 19: nUDS_FSB 29: ram/RefDone - 10: iobs/Clear1 20: nWE_FSB + 1: A_FSB<13> 14: IOU0 27: iobs/TS_FSM_FFd1 + 2: A_FSB<14> 15: IOWRREQ 28: iobs/TS_FSM_FFd2 + 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 + 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB + 5: A_FSB<18> 18: cnt/nIPL2r 31: nBERR_FSB + 6: A_FSB<19> 19: cs/nOverlay 32: nBR_IOB + 7: A_FSB<20> 20: fsb/ASrf 33: nLDS_FSB + 8: A_FSB<21> 21: iobs/IOACTr 34: nUDS_FSB + 9: A_FSB<22> 22: iobs/IODONEr 35: nWE_FSB + 10: A_FSB<23> 23: iobs/IOL1 36: ram/RAMEN + 11: IOBERR 24: iobs/IORW1 37: ram/RASEL + 12: IOL0 25: iobs/IOU1 38: ram/RASrf + 13: IONPReady 26: iobs/Sent 39: ram/RASrr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RS_FSM_FFd3 ..........................X............. 1 -RA<11> X....................................... 1 -ram/RS_FSM_FFd2 ........................X............... 1 -fsb/ASrf ..............X......................... 1 -nRAS ........................X.X............. 2 -nRAMLWE ..............X..X.X..X................. 4 -ALE0S ............X........................... 1 -nRAMUWE ..............X...XX..X................. 4 -ram/RefDone ...XX..................XX...X........... 5 -iobs/IOU1 ..........X.......X..................... 2 -iobs/IOL1 ..........X......X...................... 2 -nBERR_FSB .X......X..X..XX........................ 5 -IOPWReady ..X.....XX...XX......................... 5 -ram/RS_FSM_FFd6 ...XX...X.....X.....X.X....XX........... 8 -nBR_IOB .....XXX........X....................... 4 -ram/RS_FSM_FFd8 ...XX...X.....X.....X.X..X.XX........... 9 -ram/CAS ...XX...X.....X.....X.X...XXX........... 9 -ram/RAMEN ...XX...X.....X.....XXX..X.XX........... 10 +RA<11> .....XX.............................X... 3 +iobs/TS_FSM_FFd2 XXXXXXXXXX........XXX....XXXXX....X..... 19 +nRAS ........XX........X..........X.....X.XX. 7 +nRAMLWE .............................X..X.XX.... 4 +nRAMUWE .............................X...XXX.... 4 +IOWRREQ XXXXXXXXXX....X...XXX..X.XXXXX....X..... 21 +nBERR_FSB ..........X........X.....X...XX......... 5 +IOU0 XXXXXXXXXX...X....XX....XXXXXX...XX..... 21 +nBR_IOB ...............XXX.............X........ 4 +iobs/Clear1 ..........................XX............ 2 +IONPReady XXXXXXXXXX..X.....XX.X...X...X....X..... 17 +IOL0 XXXXXXXXXX.X......XX..X..XXXXX..X.X..... 21 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** @@ -729,6 +743,24 @@ C20MEN <= '0'; C25MEN <= '1'; + + + + + + + + + + + + + + + + + + FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); IOACT_D <= ((iobm/IOS_FSM_FFd4) OR (iobm/IOS_FSM_FFd5) @@ -750,21 +782,39 @@ IODONE_D <= ((NOT nRES.PIN) FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); IOL0_T <= ((iobs/TS_FSM_FFd1) - OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1) + OR (RA_11_OBUF$BUF0.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) - OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1)); + OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) + OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1)); FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0'); -IONPReady_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT iobs/Sent AND NOT IONPReady) - OR (NOT IONPReady AND NOT iobs/IODONEr(0)) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT nWE_FSB AND NOT IONPReady)); +IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) + OR (NOT IONPReady AND NOT iobs/IODONEr) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT nWE_FSB AND NOT IONPReady AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT nWE_FSB AND NOT IONPReady AND A_FSB(14))); FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) @@ -772,10 +822,12 @@ IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND + nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) @@ -787,60 +839,132 @@ IOU0_T <= ((iobs/TS_FSM_FFd1) OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nBR_IOB_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) OR (iobs/IOU1 AND IOU0 AND NOT nADoutLE1)); FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0'); -IOWRREQ_D <= ((iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/TS_FSM_FFd2 AND NOT IOWRREQ) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND + nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND fsb/ASrf AND + nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND fsb/ASrf AND + nADoutLE1) + OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) + OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) + OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND + NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); -RA(0) <= A_FSB(9); +RA(0) <= ((ram/RASEL AND A_FSB(1)) + OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= A_FSB(10); +RA(1) <= ((ram/RASEL AND A_FSB(2)) + OR (NOT ram/RASEL AND A_FSB(10))); -RA(2) <= A_FSB(16); +RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); -RA(3) <= A_FSB(19); +RA(3) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); -RA(4) <= A_FSB(11); +RA(4) <= ((ram/RASEL AND A_FSB(3)) + OR (NOT ram/RASEL AND A_FSB(11))); -RA(5) <= A_FSB(12); +RA(5) <= ((ram/RASEL AND A_FSB(4)) + OR (NOT ram/RASEL AND A_FSB(12))); -RA(6) <= A_FSB(13); +RA(6) <= ((ram/RASEL AND A_FSB(5)) + OR (NOT ram/RASEL AND A_FSB(13))); -RA(7) <= A_FSB(14); +RA(7) <= ((ram/RASEL AND A_FSB(6)) + OR (NOT ram/RASEL AND A_FSB(14))); -RA(8) <= A_FSB(18); +RA(8) <= ((A_FSB(21) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); -RA(9) <= A_FSB(15); +RA(9) <= ((ram/RASEL AND A_FSB(8)) + OR (NOT ram/RASEL AND A_FSB(15))); -RA(10) <= A_FSB(17); +RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); -RA(11) <= A_FSB(19); +RA(11) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + +FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); +RAMReady_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT fsb/ASrf) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); @@ -1070,56 +1194,141 @@ iobs/Clear1_D <= (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); -FDCPE_iobs/IODONEr0: FDCPE port map (iobs/IODONEr(0),IODONE,FCLK,'0','0'); +FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - iobs/IORW1 AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - iobs/IORW1 AND iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - iobs/IORW1 AND iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); +iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + A_FSB(14) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + A_FSB(13) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + A_FSB(14) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + A_FSB(13) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND A_FSB(13) AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/Sent AND NOT nWE_FSB AND - iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); +iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(14) AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(13) AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(13) AND + fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(14) AND + fsb/ASrf AND nADoutLE1)); -FDCPE_iobs/Sent: FDCPE port map (iobs/Sent,iobs/Sent_D,FCLK,'0','0'); -iobs/Sent_D <= ((A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) - OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd2) - OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd1) - OR (NOT iobs/Sent AND nWE_FSB AND iobs/TS_FSM_FFd2) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - NOT iobs/Sent AND cs/nOverlay) - OR (NOT iobs/Sent AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1) - OR (A_FSB(22) AND NOT iobs/Sent AND iobs/TS_FSM_FFd1)); +FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); +iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND A_FSB(14) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND A_FSB(13) AND fsb/ASrf AND nADoutLE1) + OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND + NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)); FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); -iobs/TS_FSM_FFd2_D <= ((nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND - nADoutLE1) - OR (NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) AND - cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND + NOT A_FSB(14) AND NOT A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) - OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); nADoutLE0 <= (NOT ALE0M AND NOT ALE0S); @@ -1159,28 +1368,32 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((NOT A_FSB(22) AND NOT IONPReady AND NOT IOPWReady) - OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18)) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady AND A_FSB(14)) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady AND A_FSB(13)) OR (A_FSB(23) AND NOT IONPReady) OR (nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady) - OR (NOT A_FSB(22) AND nWE_FSB AND NOT IONPReady)); + OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) - OR (A_FSB(20) AND nWE_FSB AND NOT nAS_FSB) - OR (NOT A_FSB(22) AND cs/nOverlay AND nWE_FSB AND NOT nAS_FSB))); + OR (A_FSB(22) AND A_FSB(21) AND nWE_FSB AND NOT nAS_FSB) + OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); -nDoutOE <= NOT (((iobm/DoutOE AND NOT nAoutOE) - OR (NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND - NOT nAoutOE))); +nDoutOE <= NOT (((NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND + NOT nAoutOE) + OR (iobm/DoutOE AND NOT nAoutOE))); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND @@ -1201,8 +1414,11 @@ nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); -FDCPE_nRAS: FDCPE port map (nRAS,nRAS_D,FCLK,'0','0'); -nRAS_D <= (NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd6); + +nRAS <= NOT (((ram/RASrf) + OR (ram/RASrr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB))); nRES_I <= '0'; @@ -1247,32 +1463,75 @@ FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); -ram/CAS_D <= ((ram/RS_FSM_FFd6) +ram/CAS_D <= ((ram/RS_FSM_FFd7) + OR (ram/RS_FSM_FFd6) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND ram/RS_FSM_FFd8) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (RefReq AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND - fsb/ASrf AND NOT ram/BACTr)); + ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); -FDCPE_ram/Once: FDCPE port map (ram/Once,ram/Once_D,FCLK,'0','0',ram/Once_CE); -ram/Once_D <= (nAS_FSB AND NOT fsb/ASrf); -ram/Once_CE <= (nAS_FSB AND NOT fsb/ASrf); +FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); +ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf)); FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((RefUrg AND NOT ram/RefDone AND nAS_FSB AND +ram/RAMEN_D <= ((ram/RS_FSM_FFd7) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (RefReq AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND - fsb/ASrf AND NOT ram/BACTr) - OR (NOT ram/RAMEN AND NOT nAS_FSB AND ram/Once) - OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) + OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND - ram/RS_FSM_FFd8) - OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - ram/RS_FSM_FFd8 AND NOT ram/BACTr)); + ram/RS_FSM_FFd8)); + +FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); +ram/RASEL_D <= ((ram/RS_FSM_FFd7) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf)); + +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); + +FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); +ram/RASrr_D <= ((ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd6) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); @@ -1280,28 +1539,59 @@ FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0', FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); -FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd1,FCLK,'0','0'); +FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); +ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); -ram/RS_FSM_FFd6_D <= ((RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND +ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND ram/RS_FSM_FFd8) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND ram/RS_FSM_FFd8 AND NOT fsb/ASrf) - OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND ram/RS_FSM_FFd8 AND NOT ram/BACTr) - OR (RefReq AND NOT ram/RefDone AND ram/RS_FSM_FFd8 AND - fsb/ASrf AND NOT ram/BACTr)); + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr)); + +FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); +ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf)); FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); -ram/RS_FSM_FFd8_D <= ((NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd4) OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) - OR (RefReq AND NOT ram/RefDone AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) - OR (RefReq AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd4 AND - fsb/ASrf AND NOT ram/BACTr)); + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd4) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) @@ -1353,11 +1643,11 @@ Device : XC95144XL-10-TQ100 Pin Signal Pin Signal No. Name No. Name 1 KPR 51 VCC - 2 KPR 52 RA<7> - 3 KPR 53 RA<0> - 4 KPR 54 RA<8> + 2 A_FSB<5> 52 RA<7> + 3 A_FSB<6> 53 RA<0> + 4 A_FSB<7> 54 RA<8> 5 VCC 55 RA<10> - 6 KPR 56 RA<9> + 6 A_FSB<8> 56 RA<9> 7 A_FSB<9> 57 VCC 8 A_FSB<10> 58 C25MEN 9 A_FSB<11> 59 C20MEN @@ -1395,10 +1685,10 @@ No. Name No. Name 41 RA<3> 91 nRES 42 RA<5> 92 nIPL2 43 RA<2> 93 nVPA_FSB - 44 GND 94 KPR - 45 TDI 95 KPR - 46 RA<6> 96 KPR - 47 TMS 97 KPR + 44 GND 94 A_FSB<1> + 45 TDI 95 A_FSB<2> + 46 RA<6> 96 A_FSB<3> + 47 TMS 97 A_FSB<4> 48 TCK 98 VCC 49 KPR 99 KPR 50 RA<1> 100 GND diff --git a/cpld/XC95144XL/WarpSE.syr b/cpld/XC95144XL/WarpSE.syr index 2ab0429..f90ad48 100644 --- a/cpld/XC95144XL/WarpSE.syr +++ b/cpld/XC95144XL/WarpSE.syr @@ -75,18 +75,18 @@ wysiwyg : NO ========================================================================= * HDL Compilation * ========================================================================= -Compiling verilog file "../RAM.v" in library work -Compiling verilog file "../IOBS.v" in library work +Compiling verilog file "RAM.v" in library work +Compiling verilog file "IOBS.v" in library work Module compiled -Compiling verilog file "../IOBM.v" in library work +Compiling verilog file "IOBM.v" in library work Module compiled -Compiling verilog file "../FSB.v" in library work +Compiling verilog file "FSB.v" in library work Module compiled -Compiling verilog file "../CS.v" in library work +Compiling verilog file "CS.v" in library work Module compiled -Compiling verilog file "../CNT.v" in library work +Compiling verilog file "CNT.v" in library work Module compiled -Compiling verilog file "../WarpSE.v" in library work +Compiling verilog file "WarpSE.v" in library work Module compiled Module compiled No errors in compilation @@ -143,9 +143,9 @@ Module is correct for synthesis. Performing bidirectional port resolution... Synthesizing Unit . - Related source file is "../CS.v". -WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -WARNING:Xst:646 - Signal is assigned but never used. This unconnected signal will be trimmed during the optimization process. + Related source file is "CS.v". +WARNING:Xst:1305 - Output is never assigned. Tied to value 0. +WARNING:Xst:647 - Input > is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit register for signal . Found 1-bit register for signal . Summary: @@ -154,7 +154,7 @@ Unit synthesized. Synthesizing Unit . - Related source file is "../RAM.v". + Related source file is "RAM.v". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 8 | @@ -178,13 +178,12 @@ Synthesizing Unit . Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 6 D-type flip-flop(s). + inferred 7 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . - Related source file is "../IOBS.v". -WARNING:Xst:646 - Signal > is assigned but never used. This unconnected signal will be trimmed during the optimization process. + Related source file is "IOBS.v". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | @@ -207,7 +206,7 @@ WARNING:Xst:646 - Signal > is assigned but never used. This unconnect Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . - Found 2-bit register for signal . + Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -215,12 +214,12 @@ WARNING:Xst:646 - Signal > is assigned but never used. This unconnect Found 1-bit register for signal . Summary: inferred 1 Finite State Machine(s). - inferred 9 D-type flip-flop(s). + inferred 10 D-type flip-flop(s). Unit synthesized. Synthesizing Unit . - Related source file is "../IOBM.v". + Related source file is "IOBM.v". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 7 | @@ -257,7 +256,7 @@ Unit synthesized. Synthesizing Unit . - Related source file is "../CNT.v". + Related source file is "CNT.v". Found finite state machine for signal . ----------------------------------------------------------------------- | States | 4 | @@ -287,11 +286,7 @@ Unit synthesized. Synthesizing Unit . - Related source file is "../FSB.v". -WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. + Related source file is "FSB.v". Found 1-bit register for signal . Found 1-bit register for signal . Found 1-bit register for signal . @@ -301,7 +296,7 @@ Unit synthesized. Synthesizing Unit . - Related source file is "../WarpSE.v". + Related source file is "WarpSE.v". WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. WARNING:Xst:647 - Input is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Found 1-bit tristate buffer for signal . @@ -322,8 +317,8 @@ Macro Statistics 13-bit up counter : 1 4-bit up counter : 2 # Registers : 56 - 1-bit register : 54 - 2-bit register : 2 + 1-bit register : 55 + 2-bit register : 1 # Tristates : 5 1-bit tristate buffer : 5 @@ -380,12 +375,6 @@ Optimizing FSM on signal with one-hot encoding. 101 | 01000000 110 | 10000000 ------------------- -WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1293 - FF/Latch <0> has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:2257 - FF/Latches is unconnected in block . -WARNING:Xst:2257 - FF/Latches is unconnected in block . ========================================================================= Advanced HDL Synthesis Report @@ -395,16 +384,14 @@ Macro Statistics # Counters : 3 13-bit up counter : 1 4-bit up counter : 2 -# Registers : 42 - Flip-Flops : 42 +# Registers : 44 + Flip-Flops : 44 ========================================================================= ========================================================================= * Low Level Synthesis * ========================================================================= -WARNING:Xst:1710 - FF/Latch (without init value) has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:2677 - Node of sequential type is unconnected in block . Optimizing unit ... @@ -416,19 +403,16 @@ Optimizing unit ... implementation constraint: INIT=r : RASEL implementation constraint: INIT=r : CAS implementation constraint: INIT=r : RASrr - implementation constraint: INIT=r : RS_FSM_FFd6 - implementation constraint: INIT=r : RASrf implementation constraint: INIT=r : RS_FSM_FFd7 implementation constraint: INIT=r : Once + implementation constraint: INIT=r : RASrf implementation constraint: INIT=r : RAMEN implementation constraint: INIT=r : RS_FSM_FFd1 implementation constraint: INIT=r : RS_FSM_FFd2 implementation constraint: INIT=r : RS_FSM_FFd3 implementation constraint: INIT=r : RS_FSM_FFd4 implementation constraint: INIT=r : RS_FSM_FFd5 - -Optimizing unit ... - implementation constraint: INIT=r : ASrf + implementation constraint: INIT=r : RS_FSM_FFd6 Optimizing unit ... implementation constraint: INIT=r : IOACTr @@ -436,6 +420,9 @@ Optimizing unit ... implementation constraint: INIT=r : Sent implementation constraint: INIT=r : TS_FSM_FFd1 +Optimizing unit ... + implementation constraint: INIT=r : ASrf + Optimizing unit ... implementation constraint: INIT=s : IOS_FSM_FFd7 implementation constraint: INIT=r : DoutOE @@ -453,10 +440,6 @@ Optimizing unit ... implementation constraint: INIT=r : INITS_FSM_FFd2 implementation constraint: INIT=r : Timer_2 implementation constraint: INIT=r : INITS_FSM_FFd1 -WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1896 - Due to other FF/Latch trimming, FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. -WARNING:Xst:1293 - FF/Latch has a constant value of 0 in block . This FF/Latch will be trimmed during the optimization process. ========================================================================= * Partition Report * @@ -488,28 +471,28 @@ Design Statistics # IOs : 75 Cell Usage : -# BELS : 457 -# AND2 : 129 -# AND3 : 20 -# AND4 : 7 +# BELS : 559 +# AND2 : 172 +# AND3 : 25 +# AND4 : 9 # AND5 : 2 # AND6 : 1 # AND7 : 1 # AND8 : 1 # GND : 6 -# INV : 190 -# OR2 : 69 -# OR3 : 10 +# INV : 214 +# OR2 : 96 +# OR3 : 11 # OR4 : 2 # VCC : 1 # XOR2 : 18 -# FlipFlops/Latches : 92 -# FD : 56 +# FlipFlops/Latches : 97 +# FD : 61 # FDC : 2 # FDCE : 33 # FDP : 1 -# IO Buffers : 63 -# IBUF : 27 +# IO Buffers : 71 +# IBUF : 35 # IOBUFE : 1 # OBUF : 31 # OBUFE : 4 @@ -517,13 +500,13 @@ Cell Usage : Total REAL time to Xst completion: 5.00 secs -Total CPU time to Xst completion: 4.96 secs +Total CPU time to Xst completion: 5.04 secs --> -Total memory usage is 261316 kilobytes +Total memory usage is 261508 kilobytes Number of errors : 0 ( 0 filtered) -Number of warnings : 22 ( 0 filtered) +Number of warnings : 5 ( 0 filtered) Number of infos : 0 ( 0 filtered) diff --git a/cpld/XC95144XL/WarpSE.tim b/cpld/XC95144XL/WarpSE.tim deleted file mode 100644 index 4379c35..0000000 --- a/cpld/XC95144XL/WarpSE.tim +++ /dev/null @@ -1,983 +0,0 @@ - Performance Summary Report - -------------------------- - -Design: WarpSE -Device: XC95144XL-10-TQ100 -Speed File: Version 3.0 -Program: Timing Report Generator: version P.20131013 -Date: Fri Apr 07 00:23:44 2023 - -Performance Summary: - -Pad to Pad (tPD) : 10.0ns (1 macrocell levels) -Pad 'nAS_FSB' to Pad 'nOE' - -Clock net 'FCLK' path delays: - -Clock Pad to Output Pad (tCO) : 14.5ns (2 macrocell levels) -Clock Pad 'FCLK' to Output Pad 'nRES' (GCK) - -Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) -Clock to Q, net 'iobs/Sent.Q' to DFF Setup(D) at 'iobs/Sent.D' (GCK) -Target FF drives output net 'iobs/Sent' - -Setup to Clock at the Pad (tSU) : 7.5ns (0 macrocell levels) -Data signal 'A_FSB<23>' to DFF D input Pin at 'iobs/Sent.D' -Clock pad 'FCLK' (GCK) - - Minimum Clock Period: 11.0ns - Maximum Internal Clock Speed: 90.9Mhz - (Limited by Cycle Time) - -Clock net 'C16M' path delays: - -Clock Pad to Output Pad (tCO) : 13.5ns (2 macrocell levels) -Clock Pad 'C16M' to Output Pad 'nADoutLE0' (GCK) - -Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) -Clock to Q, net 'iobm/IOS_FSM_FFd7.Q' to DFF Setup(D) at 'nLDS_IOB.D' (GCK) -Target FF drives output net 'nLDS_IOB' - -Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) -Data signal 'C8M' to DFF D input Pin at 'iobm/C8Mr.D' -Clock pad 'C16M' (GCK) - - Minimum Clock Period: 11.0ns - Maximum Internal Clock Speed: 90.9Mhz - (Limited by Cycle Time) - -Clock net 'C8M' path delays: - -Clock Pad to Output Pad (tCO) : 5.8ns (1 macrocell levels) -Clock Pad 'C8M' to Output Pad 'nVMA_IOB' (GCK) - -Clock to Setup (tCYC) : 11.0ns (1 macrocell levels) -Clock to Q, net 'nVMA_IOB.Q' to DFF Setup(D) at 'IODONE.D' (GCK) -Target FF drives output net 'IODONE' - -Setup to Clock at the Pad (tSU) : 6.5ns (0 macrocell levels) -Data signal 'nBERR_IOB' to DFF D input Pin at 'IOBERR.D' -Clock pad 'C8M' (GCK) - - Minimum Clock Period: 11.0ns - Maximum Internal Clock Speed: 90.9Mhz - (Limited by Cycle Time) - --------------------------------------------------------------------------------- - Pad to Pad (tPD) (nsec) - -\ From A A A A A A A A A A A - \ _ _ _ _ _ _ _ _ _ _ _ - \ F F F F F F F F F F F - \ S S S S S S S S S S S - \ B B B B B B B B B B B - \ < < < < < < < < < < < - \ 1 1 1 1 1 1 1 1 1 1 2 - \ 0 1 2 3 4 5 6 7 8 9 0 - \ > > > > > > > > > > > - To \------------------------------------------------------------------ - -RA<0> -RA<10> 10.0 -RA<11> 10.0 -RA<1> 10.0 -RA<2> 10.0 -RA<3> 10.0 -RA<4> 10.0 -RA<5> 10.0 -RA<6> 10.0 -RA<7> 10.0 -RA<8> 10.0 -RA<9> 10.0 -nDinOE 10.0 -nOE -nRAMLWE -nRAMUWE -nROMCS 10.0 -nROMWE - --------------------------------------------------------------------------------- - Pad to Pad (tPD) (nsec) - -\ From A A A A n n n n - \ _ _ _ _ A L U W - \ F F F F S D D E - \ S S S S _ S S _ - \ B B B B F _ _ F - \ < < < < S F F S - \ 2 2 2 9 B S S B - \ 1 2 3 > B B - \ > > > - To \------------------------------------------------ - -RA<0> 10.0 -RA<10> -RA<11> -RA<1> -RA<2> -RA<3> -RA<4> -RA<5> -RA<6> -RA<7> -RA<8> -RA<9> -nDinOE 10.0 10.0 10.0 10.0 10.0 -nOE 10.0 10.0 -nRAMLWE 10.0 10.0 10.0 -nRAMUWE 10.0 10.0 10.0 -nROMCS 10.0 10.0 10.0 -nROMWE 10.0 10.0 - --------------------------------------------------------------------------------- - Clock Pad to Output Pad (tCO) (nsec) - -\ From C C F - \ 1 8 C - \ 6 M L - \ M K - \ - \ - \ - \ - \ - \ - To \------------------ - -nADoutLE0 13.5 13.5 -nADoutLE1 5.8 -nAS_IOB 5.8 14.5 -nAoutOE 5.8 -nBERR_FSB 5.8 -nBR_IOB 5.8 -nCAS 5.8 -nDTACK_FSB 5.8 -nDinLE 5.8 -nDinOE 13.5 -nDoutOE 13.5 13.5 -nLDS_IOB 5.8 14.5 -nRAMLWE 13.5 -nRAMUWE 13.5 -nRAS 5.8 -nRES 14.5 -nROMCS 13.5 -nUDS_IOB 5.8 14.5 -nVMA_IOB 5.8 14.5 -nVPA_FSB 5.8 - --------------------------------------------------------------------------------- - Setup to Clock at Pad (tSU or tSUF) (nsec) - -\ From C C F - \ 1 8 C - \ 6 M L - \ M K - \ - \ - \ - \ - \ - \ - To \------------------ - -A_FSB<18> 7.5 -A_FSB<19> 7.5 -A_FSB<20> 7.5 -A_FSB<21> 7.5 -A_FSB<22> 7.5 -A_FSB<23> 7.5 -C8M 6.5 -E 6.5 6.5 -nAS_FSB 7.5 -nBERR_IOB 6.5 -nDTACK_IOB 6.5 -nIPL2 6.5 -nLDS_FSB 6.5 -nRES 6.5 6.5 -nUDS_FSB 6.5 -nVPA_IOB 6.5 -nWE_FSB 7.5 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: FCLK) - -\ From I I I I I I R R c c - \ O O O O O O e e n n - \ L N P R U W f f t t - \ 0 P W D 0 R R U / / - \ . R R R . R e r E E - \ Q e e E Q E q g r r - \ a a Q Q . . < < - \ d d . . Q Q 0 1 - \ y y Q Q > > - \ . . . . - \ Q Q Q Q - \ - \ - \ - \ - \ - \ - \ - \ - \ - To \------------------------------------------------------------ - -ALE0S.D -IOL0.D 11.0 -IONPReady.D 10.0 -IOPWReady.D 10.0 -IORDREQ.D 10.0 -IOU0.D 11.0 -IOWRREQ.D 10.0 -RefReq.CE 10.0 10.0 -RefReq.D 10.0 -RefUrg.CE 10.0 10.0 -RefUrg.D 10.0 10.0 10.0 -cnt/Er<1>.D 10.0 -cnt/INITS_FSM_FFd1.D 10.0 10.0 -cnt/INITS_FSM_FFd2.D 10.0 10.0 -cnt/LTimer<0>.CE 10.0 10.0 -cnt/LTimer<10>.CE 10.0 10.0 -cnt/LTimer<10>.D -cnt/LTimer<11>.CE 10.0 10.0 -cnt/LTimer<11>.D -cnt/LTimer<12>.CE 10.0 10.0 -cnt/LTimer<12>.D -cnt/LTimer<1>.CE 10.0 10.0 -cnt/LTimer<1>.D -cnt/LTimer<2>.CE 10.0 10.0 -cnt/LTimer<2>.D -cnt/LTimer<3>.CE 10.0 10.0 -cnt/LTimer<3>.D -cnt/LTimer<4>.CE 10.0 10.0 -cnt/LTimer<4>.D -cnt/LTimer<5>.CE 10.0 10.0 -cnt/LTimer<5>.D -cnt/LTimer<6>.CE 10.0 10.0 -cnt/LTimer<6>.D -cnt/LTimer<7>.CE 10.0 10.0 -cnt/LTimer<7>.D -cnt/LTimer<8>.CE 10.0 10.0 -cnt/LTimer<8>.D -cnt/LTimer<9>.CE 10.0 10.0 -cnt/LTimer<9>.D -cnt/LTimerTC.CE 10.0 10.0 -cnt/LTimerTC.D -cnt/Timer<0>.CE 10.0 10.0 -cnt/Timer<0>.D 10.0 10.0 -cnt/Timer<1>.CE 10.0 10.0 -cnt/Timer<1>.D 10.0 10.0 -cnt/Timer<2>.CE 10.0 10.0 -cnt/Timer<2>.D 10.0 10.0 -cnt/TimerTC.CE 10.0 10.0 -cnt/TimerTC.D 10.0 -cs/ODCSr.D -cs/nOverlay.D -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Sent.D -iobs/TS_FSM_FFd1.D -iobs/TS_FSM_FFd2.D -nADoutLE1.D -nAoutOE.D -nBERR_FSB.D -nBR_IOB.D -nCAS.D -nDTACK_FSB.D 11.0 11.0 -nRAS.D -nRESout.D -nVPA_FSB.D 10.0 -ram/BACTr.D -ram/CAS.D 10.0 10.0 -ram/Once.CE -ram/Once.D -ram/RAMEN.D 11.0 11.0 -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D -ram/RS_FSM_FFd4.D -ram/RS_FSM_FFd6.D 10.0 10.0 -ram/RS_FSM_FFd8.D 10.0 10.0 -ram/RefDone.D 10.0 10.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: FCLK) - -\ From c c c c c c c c c c - \ n n n n n n n n n n - \ t t t t t t t t t t - \ / / / / / / / / / / - \ I I L L L L L L L L - \ N N T T T T T T T T - \ I I i i i i i i i i - \ T T m m m m m m m m - \ S S e e e e e e e e - \ _ _ r r r r r r r r - \ F F < < < < < < < < - \ S S 0 1 1 1 1 2 3 4 - \ M M > 0 1 2 > > > > - \ _ _ . > > > . . . . - \ F F Q . . . Q Q Q Q - \ F F Q Q Q - \ d d - \ 1 2 - \ . . - \ Q Q - To \------------------------------------------------------------ - -ALE0S.D -IOL0.D -IONPReady.D -IOPWReady.D -IORDREQ.D -IOU0.D -IOWRREQ.D -RefReq.CE -RefReq.D -RefUrg.CE -RefUrg.D -cnt/Er<1>.D -cnt/INITS_FSM_FFd1.D 10.0 10.0 -cnt/INITS_FSM_FFd2.D 10.0 10.0 -cnt/LTimer<0>.CE -cnt/LTimer<10>.CE -cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<11>.CE -cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<12>.CE -cnt/LTimer<12>.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<1>.CE -cnt/LTimer<1>.D 10.0 -cnt/LTimer<2>.CE -cnt/LTimer<2>.D 10.0 10.0 -cnt/LTimer<3>.CE -cnt/LTimer<3>.D 10.0 10.0 10.0 -cnt/LTimer<4>.CE -cnt/LTimer<4>.D 10.0 10.0 10.0 10.0 -cnt/LTimer<5>.CE -cnt/LTimer<5>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<6>.CE -cnt/LTimer<6>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<7>.CE -cnt/LTimer<7>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<8>.CE -cnt/LTimer<8>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<9>.CE -cnt/LTimer<9>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimerTC.CE -cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -cnt/Timer<0>.CE -cnt/Timer<0>.D -cnt/Timer<1>.CE -cnt/Timer<1>.D -cnt/Timer<2>.CE -cnt/Timer<2>.D -cnt/TimerTC.CE -cnt/TimerTC.D -cs/ODCSr.D -cs/nOverlay.D -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Sent.D -iobs/TS_FSM_FFd1.D -iobs/TS_FSM_FFd2.D -nADoutLE1.D -nAoutOE.D 10.0 10.0 -nBERR_FSB.D -nBR_IOB.D 10.0 10.0 -nCAS.D -nDTACK_FSB.D -nRAS.D -nRESout.D 10.0 10.0 -nVPA_FSB.D -ram/BACTr.D -ram/CAS.D -ram/Once.CE -ram/Once.D -ram/RAMEN.D -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D -ram/RS_FSM_FFd4.D -ram/RS_FSM_FFd6.D -ram/RS_FSM_FFd8.D -ram/RefDone.D - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: FCLK) - -\ From c c c c c c c c c c - \ n n n n n n n n n n - \ t t t t t t t t t t - \ / / / / / / / / / / - \ L L L L L L T T T T - \ T T T T T T i i i i - \ i i i i i i m m m m - \ m m m m m m e e e e - \ e e e e e e r r r r - \ r r r r r r < < < T - \ < < < < < T 0 1 2 C - \ 5 6 7 8 9 C > > > . - \ > > > > > . . . . Q - \ . . . . . Q Q Q Q - \ Q Q Q Q Q - \ - \ - \ - \ - \ - To \------------------------------------------------------------ - -ALE0S.D -IOL0.D -IONPReady.D -IOPWReady.D -IORDREQ.D -IOU0.D -IOWRREQ.D -RefReq.CE -RefReq.D 10.0 10.0 -RefUrg.CE -RefUrg.D 10.0 10.0 10.0 10.0 -cnt/Er<1>.D -cnt/INITS_FSM_FFd1.D 10.0 10.0 -cnt/INITS_FSM_FFd2.D 10.0 10.0 -cnt/LTimer<0>.CE 10.0 -cnt/LTimer<10>.CE 10.0 -cnt/LTimer<10>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<11>.CE 10.0 -cnt/LTimer<11>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<12>.CE 10.0 -cnt/LTimer<12>.D 10.0 10.0 10.0 10.0 10.0 -cnt/LTimer<1>.CE 10.0 -cnt/LTimer<1>.D -cnt/LTimer<2>.CE 10.0 -cnt/LTimer<2>.D -cnt/LTimer<3>.CE 10.0 -cnt/LTimer<3>.D -cnt/LTimer<4>.CE 10.0 -cnt/LTimer<4>.D -cnt/LTimer<5>.CE 10.0 -cnt/LTimer<5>.D -cnt/LTimer<6>.CE 10.0 -cnt/LTimer<6>.D 10.0 -cnt/LTimer<7>.CE 10.0 -cnt/LTimer<7>.D 10.0 10.0 -cnt/LTimer<8>.CE 10.0 -cnt/LTimer<8>.D 10.0 10.0 10.0 -cnt/LTimer<9>.CE 10.0 -cnt/LTimer<9>.D 10.0 10.0 10.0 10.0 -cnt/LTimerTC.CE 10.0 -cnt/LTimerTC.D 10.0 10.0 10.0 10.0 10.0 -cnt/Timer<0>.CE -cnt/Timer<0>.D 10.0 10.0 -cnt/Timer<1>.CE -cnt/Timer<1>.D 10.0 10.0 10.0 -cnt/Timer<2>.CE -cnt/Timer<2>.D 10.0 10.0 10.0 10.0 -cnt/TimerTC.CE -cnt/TimerTC.D 10.0 10.0 10.0 -cs/ODCSr.D -cs/nOverlay.D -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Sent.D -iobs/TS_FSM_FFd1.D -iobs/TS_FSM_FFd2.D -nADoutLE1.D -nAoutOE.D -nBERR_FSB.D -nBR_IOB.D -nCAS.D -nDTACK_FSB.D -nRAS.D -nRESout.D -nVPA_FSB.D -ram/BACTr.D -ram/CAS.D -ram/Once.CE -ram/Once.D -ram/RAMEN.D -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D -ram/RS_FSM_FFd4.D -ram/RS_FSM_FFd6.D -ram/RS_FSM_FFd8.D -ram/RefDone.D - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: FCLK) - -\ From c c c f i i i i i i - \ n s s s o o o o o o - \ t / / b b b b b b b - \ / O n / s s s s s s - \ n D O A / / / / / / - \ I C v S C I I I I I - \ P S e r l O O O O O - \ L r r f e A D L R U - \ 2 . l . a C O 1 W 1 - \ r Q a Q r T N . 1 . - \ . y 1 r E Q . Q - \ Q . . . r Q - \ Q Q Q < - \ 0 - \ > - \ . - \ Q - \ - \ - \ - To \------------------------------------------------------------ - -ALE0S.D -IOL0.D 11.0 11.0 11.0 -IONPReady.D 11.0 10.0 -IOPWReady.D 10.0 10.0 -IORDREQ.D 11.0 11.0 10.0 11.0 -IOU0.D 11.0 11.0 11.0 -IOWRREQ.D 11.0 11.0 10.0 11.0 -RefReq.CE -RefReq.D -RefUrg.CE -RefUrg.D -cnt/Er<1>.D -cnt/INITS_FSM_FFd1.D 10.0 -cnt/INITS_FSM_FFd2.D -cnt/LTimer<0>.CE -cnt/LTimer<10>.CE -cnt/LTimer<10>.D -cnt/LTimer<11>.CE -cnt/LTimer<11>.D -cnt/LTimer<12>.CE -cnt/LTimer<12>.D -cnt/LTimer<1>.CE -cnt/LTimer<1>.D -cnt/LTimer<2>.CE -cnt/LTimer<2>.D -cnt/LTimer<3>.CE -cnt/LTimer<3>.D -cnt/LTimer<4>.CE -cnt/LTimer<4>.D -cnt/LTimer<5>.CE -cnt/LTimer<5>.D -cnt/LTimer<6>.CE -cnt/LTimer<6>.D -cnt/LTimer<7>.CE -cnt/LTimer<7>.D -cnt/LTimer<8>.CE -cnt/LTimer<8>.D -cnt/LTimer<9>.CE -cnt/LTimer<9>.D -cnt/LTimerTC.CE -cnt/LTimerTC.D -cnt/Timer<0>.CE -cnt/Timer<0>.D -cnt/Timer<1>.CE -cnt/Timer<1>.D -cnt/Timer<2>.CE -cnt/Timer<2>.D -cnt/TimerTC.CE -cnt/TimerTC.D -cs/ODCSr.D 10.0 -cs/nOverlay.D 10.0 10.0 10.0 -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D 10.0 10.0 -iobs/IOU1.CE -iobs/Load1.D 10.0 -iobs/Sent.D 11.0 10.0 -iobs/TS_FSM_FFd1.D 10.0 -iobs/TS_FSM_FFd2.D 11.0 11.0 10.0 -nADoutLE1.D 10.0 -nAoutOE.D -nBERR_FSB.D 10.0 -nBR_IOB.D 10.0 -nCAS.D -nDTACK_FSB.D 10.0 -nRAS.D -nRESout.D -nVPA_FSB.D 10.0 -ram/BACTr.D 11.0 -ram/CAS.D 10.0 -ram/Once.CE 10.0 -ram/Once.D 10.0 -ram/RAMEN.D 11.0 -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D -ram/RS_FSM_FFd4.D -ram/RS_FSM_FFd6.D 10.0 -ram/RS_FSM_FFd8.D 10.0 -ram/RefDone.D - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: FCLK) - -\ From i i i i n n n n r r - \ o o o o A A B B a a - \ b b b b D o E R m m - \ s s s s o u R _ / / - \ / / / / u t R I B C - \ L S T T t O _ O A A - \ o e S S L E F B C S - \ a n _ _ E . S . T . - \ d t F F 1 Q B Q r Q - \ 1 . S S . . . - \ . Q M M Q Q Q - \ Q _ _ - \ F F - \ F F - \ d d - \ 1 2 - \ . . - \ Q Q - \ - \ - To \------------------------------------------------------------ - -ALE0S.D 10.0 -IOL0.D 10.0 10.0 11.0 11.0 -IONPReady.D 10.0 -IOPWReady.D 10.0 -IORDREQ.D 10.0 10.0 11.0 11.0 -IOU0.D 10.0 10.0 11.0 11.0 -IOWRREQ.D 10.0 10.0 11.0 11.0 -RefReq.CE -RefReq.D -RefUrg.CE -RefUrg.D -cnt/Er<1>.D -cnt/INITS_FSM_FFd1.D -cnt/INITS_FSM_FFd2.D -cnt/LTimer<0>.CE -cnt/LTimer<10>.CE -cnt/LTimer<10>.D -cnt/LTimer<11>.CE -cnt/LTimer<11>.D -cnt/LTimer<12>.CE -cnt/LTimer<12>.D -cnt/LTimer<1>.CE -cnt/LTimer<1>.D -cnt/LTimer<2>.CE -cnt/LTimer<2>.D -cnt/LTimer<3>.CE -cnt/LTimer<3>.D -cnt/LTimer<4>.CE -cnt/LTimer<4>.D -cnt/LTimer<5>.CE -cnt/LTimer<5>.D -cnt/LTimer<6>.CE -cnt/LTimer<6>.D -cnt/LTimer<7>.CE -cnt/LTimer<7>.D -cnt/LTimer<8>.CE -cnt/LTimer<8>.D -cnt/LTimer<9>.CE -cnt/LTimer<9>.D -cnt/LTimerTC.CE -cnt/LTimerTC.D -cnt/Timer<0>.CE -cnt/Timer<0>.D -cnt/Timer<1>.CE -cnt/Timer<1>.D -cnt/Timer<2>.CE -cnt/Timer<2>.D -cnt/TimerTC.CE -cnt/TimerTC.D -cs/ODCSr.D -cs/nOverlay.D -iobs/Clear1.D 10.0 10.0 -iobs/IOL1.CE 10.0 -iobs/IORW1.D 10.0 10.0 10.0 10.0 -iobs/IOU1.CE 10.0 -iobs/Load1.D 10.0 10.0 10.0 10.0 -iobs/Sent.D 11.0 11.0 11.0 10.0 -iobs/TS_FSM_FFd1.D 10.0 10.0 -iobs/TS_FSM_FFd2.D 10.0 10.0 11.0 11.0 -nADoutLE1.D 10.0 10.0 -nAoutOE.D 10.0 10.0 -nBERR_FSB.D 10.0 10.0 -nBR_IOB.D 10.0 -nCAS.D 10.0 -nDTACK_FSB.D -nRAS.D -nRESout.D -nVPA_FSB.D -ram/BACTr.D -ram/CAS.D 10.0 -ram/Once.CE -ram/Once.D -ram/RAMEN.D 11.0 -ram/RS_FSM_FFd1.D -ram/RS_FSM_FFd2.D -ram/RS_FSM_FFd3.D -ram/RS_FSM_FFd4.D -ram/RS_FSM_FFd6.D 10.0 -ram/RS_FSM_FFd8.D 10.0 -ram/RefDone.D - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: FCLK) - -\ From r r r r r r r r r - \ a a a a a a a a a - \ m m m m m m m m m - \ / / / / / / / / / - \ O R R R R R R R R - \ n A S S S S S S e - \ c M _ _ _ _ _ _ f - \ e E F F F F F F D - \ . N S S S S S S o - \ Q . M M M M M M n - \ Q _ _ _ _ _ _ e - \ F F F F F F . - \ F F F F F F Q - \ d d d d d d - \ 1 2 3 4 6 8 - \ . . . . . . - \ Q Q Q Q Q Q - \ - \ - \ - To \------------------------------------------------------ - -ALE0S.D -IOL0.D -IONPReady.D -IOPWReady.D -IORDREQ.D -IOU0.D -IOWRREQ.D -RefReq.CE -RefReq.D -RefUrg.CE -RefUrg.D -cnt/Er<1>.D -cnt/INITS_FSM_FFd1.D -cnt/INITS_FSM_FFd2.D -cnt/LTimer<0>.CE -cnt/LTimer<10>.CE -cnt/LTimer<10>.D -cnt/LTimer<11>.CE -cnt/LTimer<11>.D -cnt/LTimer<12>.CE -cnt/LTimer<12>.D -cnt/LTimer<1>.CE -cnt/LTimer<1>.D -cnt/LTimer<2>.CE -cnt/LTimer<2>.D -cnt/LTimer<3>.CE -cnt/LTimer<3>.D -cnt/LTimer<4>.CE -cnt/LTimer<4>.D -cnt/LTimer<5>.CE -cnt/LTimer<5>.D -cnt/LTimer<6>.CE -cnt/LTimer<6>.D -cnt/LTimer<7>.CE -cnt/LTimer<7>.D -cnt/LTimer<8>.CE -cnt/LTimer<8>.D -cnt/LTimer<9>.CE -cnt/LTimer<9>.D -cnt/LTimerTC.CE -cnt/LTimerTC.D -cnt/Timer<0>.CE -cnt/Timer<0>.D -cnt/Timer<1>.CE -cnt/Timer<1>.D -cnt/Timer<2>.CE -cnt/Timer<2>.D -cnt/TimerTC.CE -cnt/TimerTC.D -cs/ODCSr.D -cs/nOverlay.D -iobs/Clear1.D -iobs/IOL1.CE -iobs/IORW1.D -iobs/IOU1.CE -iobs/Load1.D -iobs/Sent.D -iobs/TS_FSM_FFd1.D -iobs/TS_FSM_FFd2.D -nADoutLE1.D -nAoutOE.D -nBERR_FSB.D -nBR_IOB.D -nCAS.D -nDTACK_FSB.D -nRAS.D 10.0 10.0 -nRESout.D -nVPA_FSB.D -ram/BACTr.D -ram/CAS.D 10.0 10.0 10.0 10.0 -ram/Once.CE -ram/Once.D -ram/RAMEN.D 10.0 10.0 10.0 11.0 11.0 -ram/RS_FSM_FFd1.D 10.0 -ram/RS_FSM_FFd2.D 10.0 -ram/RS_FSM_FFd3.D 10.0 -ram/RS_FSM_FFd4.D 10.0 -ram/RS_FSM_FFd6.D 10.0 10.0 10.0 -ram/RS_FSM_FFd8.D 10.0 10.0 10.0 10.0 -ram/RefDone.D 10.0 10.0 10.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: C16M) - -\ From A I i i i i i i i i - \ L O o o o o o o o o - \ E A b b b b b b b b - \ 0 C m m m m m m m m - \ M T / / / / / / / / - \ . . C D I I I I I I - \ Q Q 8 o O O O O O O - \ M u R S S S S S - \ r t D 0 _ _ _ _ - \ . O R . F F F F - \ Q E E Q S S S S - \ . Q M M M M - \ Q r _ _ _ _ - \ . F F F F - \ Q F F F F - \ d d d d - \ 1 2 3 4 - \ . . . . - \ Q Q Q Q - To \------------------------------------------------------------ - -ALE0M.D 10.0 10.0 10.0 10.0 10.0 10.0 -IOACT.D 11.0 10.0 10.0 11.0 11.0 11.0 10.0 -iobm/DoutOE.D 10.0 10.0 10.0 10.0 -iobm/IOS0.D 10.0 10.0 10.0 10.0 10.0 10.0 10.0 -iobm/IOS_FSM_FFd1.D 10.0 -iobm/IOS_FSM_FFd2.D 10.0 10.0 -iobm/IOS_FSM_FFd3.D 10.0 10.0 10.0 -iobm/IOS_FSM_FFd4.D -iobm/IOS_FSM_FFd5.D -iobm/IOS_FSM_FFd6.D 10.0 10.0 -iobm/IOS_FSM_FFd7.D 10.0 10.0 10.0 -nAS_IOB.D 10.0 10.0 10.0 10.0 -nDinLE.D 10.0 10.0 -nLDS_IOB.D 11.0 11.0 10.0 10.0 -nUDS_IOB.D 11.0 11.0 10.0 10.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: C16M) - -\ From i i i i n n - \ o o o o L U - \ b b b b D D - \ m m m m S S - \ / / / / _ _ - \ I I I I I I - \ O O O O O O - \ S S S W B B - \ _ _ _ R . . - \ F F F R Q Q - \ S S S E - \ M M M Q - \ _ _ _ r - \ F F F . - \ F F F Q - \ d d d - \ 5 6 7 - \ . . . - \ Q Q Q - To \------------------------------------ - -ALE0M.D 10.0 10.0 10.0 10.0 -IOACT.D 10.0 10.0 11.0 11.0 -iobm/DoutOE.D 10.0 10.0 10.0 10.0 -iobm/IOS0.D 10.0 10.0 10.0 10.0 -iobm/IOS_FSM_FFd1.D -iobm/IOS_FSM_FFd2.D -iobm/IOS_FSM_FFd3.D -iobm/IOS_FSM_FFd4.D 10.0 -iobm/IOS_FSM_FFd5.D 10.0 -iobm/IOS_FSM_FFd6.D 10.0 10.0 -iobm/IOS_FSM_FFd7.D 10.0 10.0 -nAS_IOB.D 10.0 10.0 10.0 10.0 -nDinLE.D -nLDS_IOB.D 10.0 10.0 11.0 10.0 -nUDS_IOB.D 10.0 10.0 11.0 10.0 - --------------------------------------------------------------------------------- - Clock to Setup (tCYC) (nsec) - (Clock: C8M) - -\ From i i i i i i n - \ o o o o o o V - \ b b b b b b M - \ m m m m m m A - \ / / / / / / _ - \ E E E E E V I - \ S S S S r P O - \ < < < < . A B - \ 0 1 2 3 Q r . - \ > > > > . Q - \ . . . . Q - \ Q Q Q Q - To \------------------------------------------ - -IODONE.D 11.0 11.0 11.0 11.0 11.0 -iobm/ES<0>.D 10.0 10.0 10.0 10.0 10.0 -iobm/ES<1>.D 10.0 10.0 10.0 10.0 10.0 -iobm/ES<2>.D 10.0 10.0 10.0 10.0 -iobm/ES<3>.D 10.0 10.0 10.0 10.0 10.0 -nVMA_IOB.D 10.0 10.0 10.0 10.0 10.0 10.0 - -Path Type Definition: - -Pad to Pad (tPD) - Reports pad to pad paths that start - at input pads and end at output pads. - Paths are not traced through - registers. - -Clock Pad to Output Pad (tCO) - Reports paths that start at input - pads trace through clock inputs of - registers and end at output pads. - Paths are not traced through PRE/CLR - inputs of registers. - -Setup to Clock at Pad (tSU or tSUF) - Reports external setup time of data - to clock at pad. Data path starts at - an input pad and ends at register - (Fast Input Register for tSUF) D/T - input. Clock path starts at input pad - and ends at the register clock input. - Paths are not traced through - registers. Pin-to-pin setup - requirement is not reported or - guaranteed for product-term clocks - derived from macrocell feedback - signals. - -Clock to Setup (tCYC) - Register to register cycle time. - Include source register tCO and - destination register tSU. Note that - when the computed Maximum Clock Speed - is limited by tCYC it is computed - assuming that all registers are - rising-edge sensitive. - diff --git a/cpld/XC95144XL/WarpSE.tspec b/cpld/XC95144XL/WarpSE.tspec deleted file mode 100644 index 6112318..0000000 --- a/cpld/XC95144XL/WarpSE.tspec +++ /dev/null @@ -1,880 +0,0 @@ -AUTO_TS_P2P:FROM:FCLK:TO:nRES:1 -AUTO_TS_F2P:FROM:nRESout.Q:TO:nRES:1 -AUTO_TS_P2P:FROM:C16M:TO:nVMA_IOB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nVMA_IOB:1 -AUTO_TS_F2P:FROM:nVMA_IOBout.Q:TO:nVMA_IOB:1 -AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nVMA_IOB:1 -AUTO_TS_P2P:FROM:C16M:TO:nAS_IOB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nAS_IOB:1 -AUTO_TS_F2P:FROM:nAS_IOBout.Q:TO:nAS_IOB:1 -AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAS_IOB:1 -AUTO_TS_P2P:FROM:C16M:TO:nLDS_IOB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nLDS_IOB:1 -AUTO_TS_F2P:FROM:nLDS_IOBout.Q:TO:nLDS_IOB:1 -AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nLDS_IOB:1 -AUTO_TS_P2P:FROM:C16M:TO:nUDS_IOB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nUDS_IOB:1 -AUTO_TS_F2P:FROM:nUDS_IOBout.Q:TO:nUDS_IOB:1 -AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nUDS_IOB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nBERR_FSB:1 -AUTO_TS_F2P:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nDTACK_FSB:1 -AUTO_TS_F2P:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nBR_IOB:1 -AUTO_TS_F2P:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB:1 -AUTO_TS_P2P:FROM:A_FSB<10>:TO:RA<0>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<0>:1 -AUTO_TS_P2P:FROM:A_FSB<1>:TO:RA<0>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<0>:1 -AUTO_TS_P2P:FROM:A_FSB<11>:TO:RA<1>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<1>:1 -AUTO_TS_P2P:FROM:A_FSB<2>:TO:RA<1>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<1>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<2>:1 -AUTO_TS_P2P:FROM:A_FSB<12>:TO:RA<2>:1 -AUTO_TS_P2P:FROM:A_FSB<3>:TO:RA<2>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<2>:1 -AUTO_TS_P2P:FROM:A_FSB<13>:TO:RA<3>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<3>:1 -AUTO_TS_P2P:FROM:A_FSB<4>:TO:RA<3>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<3>:1 -AUTO_TS_P2P:FROM:A_FSB<14>:TO:RA<4>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<4>:1 -AUTO_TS_P2P:FROM:A_FSB<5>:TO:RA<4>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<4>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<5>:1 -AUTO_TS_P2P:FROM:A_FSB<15>:TO:RA<5>:1 -AUTO_TS_P2P:FROM:A_FSB<6>:TO:RA<5>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<5>:1 -AUTO_TS_P2P:FROM:A_FSB<16>:TO:RA<6>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<6>:1 -AUTO_TS_P2P:FROM:A_FSB<7>:TO:RA<6>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<6>:1 -AUTO_TS_P2P:FROM:A_FSB<8>:TO:RA<7>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<7>:1 -AUTO_TS_P2P:FROM:A_FSB<17>:TO:RA<7>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<7>:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:RA<8>:1 -AUTO_TS_P2P:FROM:A_FSB<22>:TO:RA<8>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<8>:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<8>:1 -AUTO_TS_P2P:FROM:A_FSB<9>:TO:RA<8>:1 -AUTO_TS_P2P:FROM:A_FSB<18>:TO:RA<8>:1 -AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:RA<8>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<8>:1 -AUTO_TS_P2P:FROM:A_FSB<20>:TO:RA<9>:1 -AUTO_TS_P2P:FROM:FCLK:TO:RA<9>:1 -AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<9>:1 -AUTO_TS_F2P:FROM:ram/RASEL.Q:TO:RA<9>:1 -AUTO_TS_P2P:FROM:FCLK:TO:nDoutOE:1 -AUTO_TS_P2P:FROM:C16M:TO:nDoutOE:1 -AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nDoutOE:1 -AUTO_TS_F2P:FROM:iobm/DoutOE.Q:TO:nDoutOE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nOE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nOE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nVPA_FSB:1 -AUTO_TS_P2P:FROM:FCLK:TO:nVPA_FSB:1 -AUTO_TS_F2P:FROM:fsb/VPA.Q:TO:nVPA_FSB:1 -AUTO_TS_P2P:FROM:C16M:TO:nADoutLE0:1 -AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE0:1 -AUTO_TS_F2P:FROM:ALE0M.Q:TO:nADoutLE0:1 -AUTO_TS_F2P:FROM:ALE0S.Q:TO:nADoutLE0:1 -AUTO_TS_P2P:FROM:FCLK:TO:nCAS:1 -AUTO_TS_F2P:FROM:nCAS_OBUF.Q:TO:nCAS:1 -AUTO_TS_P2P:FROM:C16M:TO:nDinLE:1 -AUTO_TS_F2P:FROM:nDinLE_OBUF.Q:TO:nDinLE:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:nAS_FSB:TO:nDinOE:1 -AUTO_TS_P2P:FROM:nWE_FSB:TO:nDinOE:1 -AUTO_TS_P2P:FROM:A_FSB<22>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:A_FSB<20>:TO:nDinOE:1 -AUTO_TS_P2P:FROM:A_FSB<19>:TO:RA<11>:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:RA<10>:1 -AUTO_TS_P2P:FROM:FCLK:TO:nADoutLE1:1 -AUTO_TS_F2P:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1:1 -AUTO_TS_P2P:FROM:FCLK:TO:nAoutOE:1 -AUTO_TS_F2P:FROM:nAoutOE_OBUF.Q:TO:nAoutOE:1 -AUTO_TS_P2P:FROM:A_FSB<23>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:A_FSB<22>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:A_FSB<21>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:A_FSB<20>:TO:nROMCS:1 -AUTO_TS_P2P:FROM:FCLK:TO:nROMCS:1 -AUTO_TS_F2P:FROM:cs/nOverlay1.Q:TO:nROMCS:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/IOS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOACT.D:1 -AUTO_TS_P2F:FROM:C16M:TO:IOACT.D:1 -AUTO_TS_P2F:FROM:C8M:TO:IOACT.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:IOBERR.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/RESrf.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/RESrr.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrf.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/BERRrr.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrf.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/DTACKrr.Q:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobm/ETACK.Q:TO:IOBERR.D:1 -AUTO_TS_P2F:FROM:C16M:TO:IOBERR.D:1 -AUTO_TS_P2F:FROM:C8M:TO:IOBERR.D:1 -AUTO_TS_P2F:FROM:nBERR_IOB:TO:IOBERR.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Once.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Once.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:RefUrg.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefUrg.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefUrg.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:RefUrg.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<0>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<0>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<0>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<0>.CE:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<0>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.D:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<0>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<0>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<0>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<0>.CE:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<1>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<1>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<1>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<1>.CE:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/Timer<2>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Timer<2>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/Timer<2>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Timer<2>.CE:1 -AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay1.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay1.CE:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay1.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<10>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<10>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<10>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<10>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<10>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<11>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<11>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<11>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<11>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<11>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<1>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<1>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<1>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<1>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<1>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<2>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<2>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<2>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<2>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<2>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<3>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<3>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<3>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<3>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<3>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<4>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<4>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<4>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<4>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<4>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<4>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<4>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<4>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<5>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<5>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<5>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<5>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<5>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<6>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<6>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<6>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<6>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<6>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<7>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<7>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<7>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<7>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<7>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<8>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<8>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<8>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<8>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<8>.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<9>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<9>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<9>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<9>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<9>.CE:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:IORW0.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:IORW0.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimer<12>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimer<12>.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimer<12>.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimer<12>.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimer<12>.CE:1 -AUTO_TS_F2F:FROM:cnt/Timer<0>.Q:TO:cnt/TimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:cnt/TimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:cnt/TimerTC.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:cnt/TimerTC.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/TimerTC.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/TimerTC.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/TimerTC.CE:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:ram/RefReqSync.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RefDone.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RefDone.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay0.Q:TO:cs/nOverlay0.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:cs/nOverlay0.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay0.D:1 -AUTO_TS_F2F:FROM:nRESout.Q:TO:cs/nOverlay0.RSTF:1 -AUTO_TS_P2F:FROM:nRES:TO:cs/nOverlay0.RSTF:1 -AUTO_TS_P2F:FROM:FCLK:TO:cs/nOverlay0.RSTF:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOL0.D:1 -AUTO_TS_F2F:FROM:iobs/IOL1.Q:TO:IOL0.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:IOL0.D:1 -AUTO_TS_P2F:FROM:nLDS_FSB:TO:IOL0.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOL0.CE:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOL0.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:IOL0.CE:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOU0.D:1 -AUTO_TS_F2F:FROM:iobs/IOU1.Q:TO:IOU0.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:IOU0.D:1 -AUTO_TS_P2F:FROM:nUDS_FSB:TO:IOU0.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOU0.CE:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOU0.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:IOU0.CE:1 -AUTO_TS_F2F:FROM:cnt/Timer<1>.Q:TO:RefReq.D:1 -AUTO_TS_F2F:FROM:cnt/Timer<2>.Q:TO:RefReq.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:RefReq.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:RefReq.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:RefReq.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:RefReq.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:RefReq.CE:1 -AUTO_TS_F2F:FROM:cnt/LTimer<0>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<1>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<2>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<3>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<4>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<5>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<6>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<7>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<8>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<9>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<10>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<11>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/LTimer<12>.Q:TO:cnt/LTimerTC.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/LTimerTC.CE:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/LTimerTC.CE:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/LTimerTC.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/LTimerTC.CE:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready0r.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready0r.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/Ready1r.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/Ready1r.D:1 -AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/VPA.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<9>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<8>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<15>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<11>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<10>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:fsb/VPA.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:fsb/VPA.D:1 -AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOL1.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOL1.CE:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:iobs/IORW1.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/IORW1.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/IORW1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:IOBERR.Q:TO:iobs/IOReady.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/IOReady.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOReady.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobs/IOReady.D:1 -AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:iobs/IOU1.CE:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/IOU1.CE:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:nBERR_FSB_OBUF.Q:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:IOBERR.Q:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:C16M:TO:nBERR_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/Ready1r.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/IOReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:nDTACK_FSB_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:fsb/Ready0r.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:ram/RAMReady.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<9>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<8>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<15>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<12>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<11>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<10>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:nDTACK_FSB_OBUF.D:1 -AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nBR_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nBR_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nBR_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:nBR_IOB_OBUF.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nBR_IOB_OBUF.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RASEL.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RASEL.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RS_FSM_FFd3.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/PS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefUrg.D:1 -AUTO_TS_F2F:FROM:ram/RegUrgSync.Q:TO:ram/RefUrg.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RefUrg.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/PS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/nIPL2r.Q:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/INITS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/INITS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/Er<1>.Q:TO:cnt/INITS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/TimerTC.Q:TO:cnt/INITS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/LTimerTC.Q:TO:cnt/INITS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:cnt/INITS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:cnt/INITS_FSM_FFd2.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/INITS_FSM_FFd2.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMEN.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMEN.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMEN.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMEN.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMEN.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMEN.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:iobs/Load1.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:iobs/Load1.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/BACTr.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/BACTr.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/BACTr.D:1 -AUTO_TS_F2F:FROM:ram/RefDone.Q:TO:ram/RefReq.D:1 -AUTO_TS_F2F:FROM:ram/RefReqSync.Q:TO:ram/RefReq.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RefReq.D:1 -AUTO_TS_F2F:FROM:RefReq.Q:TO:ram/RefReqSync.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RefReqSync.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:ALE0S.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:ALE0S.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ALE0S.D:1 -AUTO_TS_F2F:FROM:iobs/Once.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:iobs/IOACTr.Q:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<20>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<14>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<19>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<18>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<17>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<16>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:nWE_FSB:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<13>:TO:IOREQ.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:IOREQ.D:1 -AUTO_TS_F2F:FROM:cnt/Er<0>.Q:TO:cnt/Er<1>.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:cnt/Er<1>.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd2.Q:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:iobs/PS_FSM_FFd1.Q:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:iobs/Clear1.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobs/Clear1.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nRESout.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nRESout.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nRESout.D:1 -AUTO_TS_F2F:FROM:cs/nOverlay1.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd2.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd3.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RS_FSM_FFd1.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RefUrg.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RefReq.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/BACTr.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:fsb/ASrf.Q:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:ram/RAMEN.Q:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<22>:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<23>:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:ram/RAMReady.D:1 -AUTO_TS_P2F:FROM:A_FSB<21>:TO:ram/RAMReady.D:1 -AUTO_TS_F2F:FROM:RefUrg.Q:TO:ram/RegUrgSync.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:ram/RegUrgSync.D:1 -AUTO_TS_F2F:FROM:ram/RASEL.Q:TO:nCAS_OBUF.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nCAS_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/Load1.Q:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_F2F:FROM:nADoutLE1_OBUF.Q:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_F2F:FROM:iobs/Clear1.Q:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nADoutLE1_OBUF.D:1 -AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:nAoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd1.Q:TO:nAoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:cnt/INITS_FSM_FFd2.Q:TO:nAoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:nBR_IOB_OBUF.Q:TO:nAoutOE_OBUF.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nAoutOE_OBUF.D:1 -AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:IOACT.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/VPArf.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/VPArr.Q:TO:nVMA_IOBout.D:1 -AUTO_TS_P2F:FROM:C16M:TO:nVMA_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nAS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nAS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nAS_IOBout.D:1 -AUTO_TS_P2F:FROM:C16M:TO:nAS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nLDS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nLDS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nLDS_IOBout.D:1 -AUTO_TS_F2F:FROM:IOL0.Q:TO:nLDS_IOBout.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:nLDS_IOBout.D:1 -AUTO_TS_P2F:FROM:C16M:TO:nLDS_IOBout.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nLDS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nUDS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nUDS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:nUDS_IOBout.D:1 -AUTO_TS_F2F:FROM:IOU0.Q:TO:nUDS_IOBout.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:nUDS_IOBout.D:1 -AUTO_TS_P2F:FROM:C16M:TO:nUDS_IOBout.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:nUDS_IOBout.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/IOS_FSM_FFd1.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<0>.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<0>.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<0>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<1>.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<1>.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<1>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<2>.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<2>.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<2>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<3>.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<3>.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<3>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/Er2.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/ES<4>.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/ES<4>.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/ES<4>.D:1 -AUTO_TS_F2F:FROM:nVMA_IOBout.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<0>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<1>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<2>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<3>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/ES<4>.Q:TO:iobm/ETACK.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/ETACK.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:ALE0M.D:1 -AUTO_TS_P2F:FROM:C16M:TO:ALE0M.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:iobm/DoutOE.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd3.Q:TO:iobm/DoutOE.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:iobm/DoutOE.D:1 -AUTO_TS_F2F:FROM:iobm/IOREQr.Q:TO:iobm/DoutOE.D:1 -AUTO_TS_F2F:FROM:IORW0.Q:TO:iobm/DoutOE.D:1 -AUTO_TS_F2F:FROM:nAoutOE_OBUF.Q:TO:iobm/DoutOE.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobm/DoutOE.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobm/DoutOE.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd1.Q:TO:nDinLE_OBUF.D:1 -AUTO_TS_F2F:FROM:iobm/IOS_FSM_FFd2.Q:TO:nDinLE_OBUF.D:1 -AUTO_TS_P2F:FROM:C16M:TO:nDinLE_OBUF.D:1 -AUTO_TS_F2F:FROM:IOACT.Q:TO:iobs/IOACTr.D:1 -AUTO_TS_P2F:FROM:C16M:TO:iobs/IOACTr.D:1 -AUTO_TS_F2F:FROM:IOREQ.Q:TO:iobm/IOREQr.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobm/IOREQr.D:1 -AUTO_TS_F2F:FROM:iobm/Er.Q:TO:iobm/Er2.D:1 -AUTO_TS_P2F:FROM:C8M:TO:iobm/Er2.D:1 -AUTO_TS_F2F:FROM:nRESout.Q:TO:iobm/RESrf.D:1 -AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrf.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobm/RESrf.D:1 -AUTO_TS_F2F:FROM:nRESout.Q:TO:iobm/RESrr.D:1 -AUTO_TS_P2F:FROM:nRES:TO:iobm/RESrr.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:iobm/RESrr.D:1 -AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK:1 -AUTO_TS_P2F:FROM:C16M:TO:C16M_IBUF/FCLK-:1 -AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK:1 -AUTO_TS_P2F:FROM:nLDS_FSB:TO:iobs/IOL1.D:1 -AUTO_TS_P2F:FROM:nUDS_FSB:TO:iobs/IOU1.D:1 -AUTO_TS_P2F:FROM:E:TO:iobm/Er.D:1 -AUTO_TS_P2F:FROM:C8M:TO:C8M_IBUF/FCLK-:1 -AUTO_TS_P2F:FROM:E:TO:cnt/Er<0>.D:1 -AUTO_TS_P2F:FROM:nIPL2:TO:cnt/nIPL2r.D:1 -AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrf.D:1 -AUTO_TS_P2F:FROM:nDTACK_IOB:TO:iobm/DTACKrr.D:1 -AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArf.D:1 -AUTO_TS_P2F:FROM:nVPA_IOB:TO:iobm/VPArr.D:1 -AUTO_TS_P2F:FROM:nAS_FSB:TO:fsb/ASrf.D:1 -AUTO_TS_P2F:FROM:FCLK:TO:FCLK_IBUF/FCLK-:1 -AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrf.D:1 -AUTO_TS_P2F:FROM:nBERR_IOB:TO:iobm/BERRrr.D:1 diff --git a/cpld/XC95144XL/WarpSE.v b/cpld/XC95144XL/WarpSE.v new file mode 100644 index 0000000..a051481 --- /dev/null +++ b/cpld/XC95144XL/WarpSE.v @@ -0,0 +1,153 @@ +module WarpSE( + input [23:1] A_FSB, + input nAS_FSB, + input nLDS_FSB, + input nUDS_FSB, + input nWE_FSB, + output nDTACK_FSB, + output nVPA_FSB, + output nBERR_FSB, + input FCLK, + input C16M, + input C8M, + input E, + input nDTACK_IOB, + input nVPA_IOB, + output nVMA_IOB, + output nAS_IOB, + output nUDS_IOB, + output nLDS_IOB, + output nBR_IOB, + input nBG_IOB, + input nBERR_IOB, + inout nRES, + input nIPL2, + output nROMCS, + output nRAMLWE, + output nRAMUWE, + output nROMWE, + output nRAS, + output nCAS, + output [11:0] RA, + output nOE, + output nADoutLE0, + output nADoutLE1, + output nAoutOE, + output nDoutOE, + output nDinOE, + output nDinLE, + input [3:1] SW, + output C20MEN, + output C25MEN); + + /* FSB clock oscillator enables */ + // Enable both oscillators... only mount one + assign C20MEN = 0; + assign C25MEN = 1; + + /* Reset input and open-drain output */ + wire nRESin = nRES; + wire nRESout; + assign nRES = !nRESout ? 1'b0 : 1'bZ; + + /* AS cycle detection */ + wire BACT; + + /* Refresh request/ack signals */ + wire RefReq, RefUrg; + + /* FSB chip select signals */ + wire IOCS, IOPWCS, IACS; + wire ROMCS, ROMCS4X; + wire RAMCS, RAMCS0X; + CS cs( + /* MC68HC000 interface */ + A_FSB[23:08], FCLK, nRESin, nWE_FSB, + /* AS cycle detection */ + BACT, + /* Device select outputs */ + IOCS, IOPWCS, IACS, + ROMCS, ROMCS4X, + RAMCS, RAMCS0X); + + wire RAMReady; + RAM ram( + /* MC68HC000 interface */ + FCLK, A_FSB[21:1], nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, + /* AS cycle detection */ + BACT, + /* Select and ready signals */ + RAMCS, ROMCS, RAMReady, + /* Refresh Counter Interface */ + RefReq, RefUrg, + /* DRAM and NOR flash interface */ + RA[11:0], nRAS, nCAS, + nRAMLWE, nRAMUWE, nOE, nROMCS, nROMWE); + + wire IONPReady, IOPWReady; + wire IORDREQ, IOWRREQ; + wire IOL0, IOU0; + wire ALE0S, ALE0M, ALE1; + assign nADoutLE0 = ~(ALE0S || ALE0M); + assign nADoutLE1 = ~ALE1; + wire IOACT, IODONE, IOBERR; + IOBS iobs( + /* MC68HC000 interface */ + FCLK, nWE_FSB, nAS_FSB, nLDS_FSB, nUDS_FSB, + /* AS cycle detection */ + BACT, + /* Select signals */ + IOCS, IOPWCS, ROMCS, + /* FSB cycle termination outputs */ + IONPReady, IOPWReady, nBERR_FSB, + /* Read data OE control */ + nDinOE, + /* IOB Master Controller Interface */ + IORDREQ, IOWRREQ, + IOACT, IODONE, IOBERR, + /* FIFO primary level control */ + ALE0S, IOL0, IOU0, + /* FIFO secondary level control */ + ALE1); + + wire AoutOE; + assign nAoutOE = !AoutOE; + wire nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout; + assign nAS_IOB = AoutOE ? nAS_IOBout : 1'bZ; + assign nLDS_IOB = AoutOE ? nLDS_IOBout : 1'bZ; + assign nUDS_IOB = AoutOE ? nUDS_IOBout : 1'bZ; + assign nVMA_IOB = AoutOE ? nVMA_IOBout : 1'bZ; + IOBM iobm( + /* PDS interface */ + C16M, C8M, E, + nAS_IOBout, nLDS_IOBout, nUDS_IOBout, nVMA_IOBout, + nDTACK_IOB, nVPA_IOB, nBERR_IOB, nRESin, + /* PDS address and data latch control */ + AoutOE, nDoutOE, ALE0M, nDinLE, + /* IO bus slave port interface */ + IORDREQ, IOWRREQ, IOL0, IOU0, + IOACT, IODONE, IOBERR); + + CNT cnt( + /* FSB clock and E clock inputs */ + FCLK, E, + /* Refresh request */ + RefReq, RefUrg, + /* Reset, button */ + nRESout, nIPL2, + /* Mac PDS bus master control outputs */ + AoutOE, nBR_IOB); + + FSB fsb( + /* MC68HC000 interface */ + FCLK, nAS_FSB, nDTACK_FSB, nVPA_FSB, + /* FSB cycle detection */ + BACT, + /* Ready inputs */ + ROMCS4X, + RAMCS0X, RAMReady, + IOPWCS, IOPWReady, IONPReady, + /* Interrupt acknowledge select */ + IACS); + +endmodule diff --git a/cpld/XC95144XL/WarpSE.vm6 b/cpld/XC95144XL/WarpSE.vm6 index 6e9f9bf..22734c5 100644 --- a/cpld/XC95144XL/WarpSE.vm6 +++ b/cpld/XC95144XL/WarpSE.vm6 @@ -8,2974 +8,3610 @@ NETWORK | WarpSE | 0 | 0 | 16391 INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_23_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<23> | 8200 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<23> | 9451 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_22_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<22> | 8201 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<22> | 9452 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_21_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<21> | 8202 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<21> | 9453 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_20_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<20> | 8203 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<20> | 9454 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_19_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<19> | 8204 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<19> | 9455 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_18_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<18> | 8205 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | A_FSB<18> | 9456 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | C8M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 3 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C8M | 8206 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C8M | 9457 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | C8M_IBUF | 8010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C8M_IBUF/FCLK | 8011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+PinTrst+OptxMapped | nVMA_IOBout | WarpSE_COPY_0_COPY_0 | 2155893760 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 8109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK | 8011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVMA_IOBout$Q | 8013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nVMA_IOBout$OE | 8015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nVMA_IOBout.SI | nVMA_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/VPAr | 8109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVMA_IOBout.D1 | 8228 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVMA_IOBout.D1 | 9487 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVMA_IOBout.D2 | 8229 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVMA_IOBout.D2 | 9488 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> SPPTERM | 7 | IV_TRUE | nVMA_IOBout | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | IOACT | IV_TRUE | iobm/VPAr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nVMA_IOBout.TRST | 8231 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 9490 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nVMA_IOBout.REG | nVMA_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVMA_IOBout.D | 8227 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F +NODE | nVMA_IOBout.D | 9486 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK | 8011 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK +NODE | C8M_IBUF/FCLK | 9248 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVMA_IOBout.Q | 8232 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nVMA_IOBout.Q | 9491 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nVMA_IOBout.BUFOE | nVMA_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nVMA_IOBout.TRST | 8231 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nVMA_IOBout.TRST | 9490 | ? | 0 | 4096 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nVMA_IOBout.BUFOE.OUT | 8230 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nVMA_IOBout.BUFOE.OUT | 9489 | ? | 0 | 0 | nVMA_IOBout | NULL | NULL | nVMA_IOBout.BUFOE | 0 | 10 | BUF_OUT INPUT_INSTANCE | 0 | 0 | NULL | C16M_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | C16M | 8207 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | C16M | 9458 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+PinTrst+OptxMapped+Ce | nAS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325120 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAS_IOBout$Q | 8018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nAS_IOBout$OE | 8020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nAS_IOBout.SI | nAS_IOBout | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAS_IOBout.D1 | 8234 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAS_IOBout.D1 | 9493 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAS_IOBout.D2 | 8235 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nAS_IOBout.D2 | 9494 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_FALSE | iobm/IORDREQr | IV_FALSE | iobm/IOWRREQr OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nAS_IOBout.TRST | 8237 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 9496 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nAS_IOBout.REG | nAS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAS_IOBout.D | 8233 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nAS_IOBout.D | 9492 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAS_IOBout.Q | 8238 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nAS_IOBout.Q | 9497 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nAS_IOBout.BUFOE | nAS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nAS_IOBout.TRST | 8237 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nAS_IOBout.TRST | 9496 | ? | 0 | 4096 | nAS_IOBout | NULL | NULL | nAS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nAS_IOBout.BUFOE.OUT | 8236 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nAS_IOBout.BUFOE.OUT | 9495 | ? | 0 | 0 | nAS_IOBout | NULL | NULL | nAS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nLDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 8022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1.EXP | 8753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.EXP | iobm/IOS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 10046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nLDS_IOBout$Q | 8021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nLDS_IOBout | 8022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nLDS_IOBout$OE | 8023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nLDS_IOBout.SI | nLDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_IOBout | 8022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM +NODE | nLDS_IOBout | 9259 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1.EXP | 8753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.EXP | iobm/IOS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 10046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nLDS_IOBout.D1 | 8240 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nLDS_IOBout.D1 | 9499 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nLDS_IOBout.D2 | 8241 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1.EXP +SIGNAL | NODE | nLDS_IOBout.D2 | 9500 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobm/DoutOE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOL0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOL0 SPPTERM | 3 | IV_FALSE | nLDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOL0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nLDS_IOBout.TRST | 8243 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 9502 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nLDS_IOBout.REG | nLDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nLDS_IOBout.D | 8239 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nLDS_IOBout.D | 9498 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nLDS_IOBout.Q | 8244 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nLDS_IOBout.Q | 9503 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nLDS_IOBout.BUFOE | nLDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nLDS_IOBout.TRST | 8243 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nLDS_IOBout.TRST | 9502 | ? | 0 | 4096 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nLDS_IOBout.BUFOE.OUT | 8242 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nLDS_IOBout.BUFOE.OUT | 9501 | ? | 0 | 0 | nLDS_IOBout | NULL | NULL | nLDS_IOBout.BUFOE | 0 | 10 | BUF_OUT MACROCELL_INSTANCE | Inv+PrldLow+PinTrst+OptxMapped+Ce | nUDS_IOBout | WarpSE_COPY_0_COPY_0 | 2424325376 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 8025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr.EXP | 8754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.EXP | iobm/C8Mr | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 10047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nUDS_IOBout$Q | 8024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nUDS_IOBout | 8025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | nUDS_IOBout$OE | 8026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE SIGNAL_INSTANCE | nUDS_IOBout.SI | nUDS_IOBout | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_IOBout | 8025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM +NODE | nUDS_IOBout | 9262 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr.EXP | 8754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.EXP | iobm/C8Mr | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 10047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nUDS_IOBout.D1 | 8246 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nUDS_IOBout.D1 | 9505 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nUDS_IOBout.D2 | 8247 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobm/C8Mr.EXP +SIGNAL | NODE | nUDS_IOBout.D2 | 9506 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | IODONE.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd4 | IV_TRUE | IOU0 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd5 | IV_TRUE | IOU0 SPPTERM | 3 | IV_FALSE | nUDS_IOBout | IV_TRUE | iobm/IOS_FSM_FFd6 | IV_TRUE | IOU0 OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | nUDS_IOBout.TRST | 8249 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 9508 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE SRFF_INSTANCE | nUDS_IOBout.REG | nUDS_IOBout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nUDS_IOBout.D | 8245 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F +NODE | nUDS_IOBout.D | 9504 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nUDS_IOBout.Q | 8250 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q +NODE | nUDS_IOBout.Q | 9509 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | nUDS_IOBout.BUFOE | nUDS_IOBout | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | nUDS_IOBout.TRST | 8249 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | nUDS_IOBout.TRST | 9508 | ? | 0 | 4096 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | AoutOE OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | nUDS_IOBout.BUFOE.OUT | 8248 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT +NODE | nUDS_IOBout.BUFOE.OUT | 9507 | ? | 0 | 0 | nUDS_IOBout | NULL | NULL | nUDS_IOBout.BUFOE | 0 | 10 | BUF_OUT + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<17> | 9459 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<16> | 9460 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | FCLK_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 2 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | FCLK | 8208 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | FCLK | 9461 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 3 | 5 | II_FCLK -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 7 | 5 | II_FCLKINV -NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | RefUrg | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefUrg.SI | RefUrg | 0 | 7 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefUrg.D1 | 8252 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefUrg.D1 | 9511 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefUrg.D2 | 8253 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefUrg.D2 | 9512 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/TimerTC SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_TRUE | cnt/Er<0> SPPTERM | 4 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> | IV_FALSE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefUrg.CE | 8254 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 9513 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefUrg.REG | RefUrg | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefUrg.D | 8251 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F +NODE | RefUrg.D | 9510 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefUrg.CE | 8254 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefUrg.CE | 9513 | ? | 0 | 4096 | RefUrg | NULL | NULL | RefUrg.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefUrg.Q | 8255 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q +NODE | RefUrg.Q | 9514 | ? | 0 | 0 | RefUrg | NULL | NULL | RefUrg.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nAS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nAS_FSB | 8214 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nAS_FSB | 9467 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nWE_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nWE_FSB | 8211 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nWE_FSB | 9464 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<14> | 9471 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<13> | 9472 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/Sent | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 8743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Sent.EXP | 8750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.EXP | iobs/Sent | 4 | 0 | MC_EXPORT +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 3 +SIGNAL_INSTANCE | iobs/Sent.SI | iobs/Sent | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr.EXP | 8743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Sent.D1 | 8257 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Sent.D1 | 9516 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Sent.D2 | 8258 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/BACTr.EXP -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | ALE1 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Sent.EXP | 8742 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Sent.D2 | 9517 | ? | 0 | 4096 | iobs/Sent | NULL | NULL | iobs/Sent.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7.EXP +SPPTERM | 1 | IV_TRUE | EXP11_.EXP +SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Sent.REG | iobs/Sent | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Sent.D | 8256 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F +NODE | iobs/Sent.D | 9515 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Sent.Q | 8259 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q +NODE | iobs/Sent.Q | 9518 | ? | 0 | 0 | iobs/Sent | NULL | NULL | iobs/Sent.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr.EXP | 10038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 11 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nROMCS_OBUF.EXP | 10037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr.EXP | 10038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RAMEN.D1 | 9520 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RAMEN.D2 | 9521 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 1 | IV_TRUE | nROMCS_OBUF.EXP +SPPTERM | 1 | IV_TRUE | ram/RASrr.EXP +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_TRUE | fsb/ASrf +SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 + +SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RAMEN.D | 9519 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RAMEN.Q | 9522 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nBERR_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nBERR_IOB | 8209 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nBERR_IOB | 9462 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nBERR_IOB_IBUF | 8031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IOBERR | WarpSE_COPY_0_COPY_0 | 2424308736 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 8031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOBERR.SI | IOBERR | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_IOB_IBUF | 8031 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nBERR_IOB_IBUF | 9271 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOBERR.D1 | 8261 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOBERR.D1 | 9524 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOBERR.D2 | 8262 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOBERR.D2 | 9525 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nBERR_IOB_IBUF OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IOBERR.RSTF | 8263 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 9526 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout SRFF_INSTANCE | IOBERR.REG | IOBERR | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOBERR.D | 8260 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F +NODE | IOBERR.D | 9523 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IOBERR.RSTF | 8263 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IOBERR.RSTF | 9526 | ? | 0 | 4096 | IOBERR | NULL | NULL | IOBERR.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOBERR.Q | 8264 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q +NODE | IOBERR.Q | 9527 | ? | 0 | 0 | IOBERR | NULL | NULL | IOBERR.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | N0 | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nRES | 8177 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT +NODE | nRES | 9440 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX INPUT_INSTANCE | 0 | 0 | NULL | nDTACK_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nDTACK_IOB | 8210 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nDTACK_IOB | 9463 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nDTACK_IOB_IBUF | 8034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | IODONE | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 8034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1>.EXP | 8728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.EXP | iobm/ES<1> | 4 | 0 | MC_EXPORT +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IODONE.EXP | 8729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | IODONE.EXP | 10047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 10 | 4 +SIGNAL_INSTANCE | IODONE.SI | IODONE | 0 | 12 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nDTACK_IOB_IBUF | 8034 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nDTACK_IOB_IBUF | 9274 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | nVMA_IOBout | 9251 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_IOBout | 8019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM +NODE | nAS_IOBout | 9256 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1>.EXP | 8728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.EXP | iobm/ES<1> | 4 | 0 | MC_EXPORT +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IODONE.D1 | 8266 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IODONE.D1 | 9529 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IODONE.D2 | 8267 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IODONE.D2 | 9530 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | N0 SPPTERM | 1 | IV_FALSE | nDTACK_IOB_IBUF -SPPTERM | 1 | IV_TRUE | iobm/ES<1>.EXP +SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> OUTPUT_NODE_TYPE | 6 | 9 | MC_SI_RSTF -SIGNAL | NODE | IODONE.RSTF | 8268 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 9531 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IODONE.EXP | 8725 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | IODONE.EXP | 10044 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | IODONE.REG | IODONE | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IODONE.D | 8265 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F +NODE | IODONE.D | 9528 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV INPUT_NODE_TYPE | 3 | 8 | SRFF_R -SIGNAL | NODE | IODONE.RSTF | 8268 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF +SIGNAL | NODE | IODONE.RSTF | 9531 | ? | 0 | 4096 | IODONE | NULL | NULL | IODONE.SI | 6 | 9 | MC_SI_RSTF SPPTERM | 1 | IV_TRUE | nAS_IOBout OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IODONE.Q | 8269 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q +NODE | IODONE.Q | 9532 | ? | 0 | 0 | IODONE | NULL | NULL | IODONE.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<0> | WarpSE_COPY_0_COPY_0 | 2155877376 | 4 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<0>.SI | cnt/LTimer<0> | 0 | 3 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<0>.D1 | 8271 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<0>.D1 | 9534 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<0>.D2 | 8272 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<0>.D2 | 9535 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 8273 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9536 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<0>.REG | cnt/LTimer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<0>.D | 8270 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<0>.D | 9533 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<0>.CE | 8273 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<0>.CE | 9536 | ? | 0 | 4096 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<0>.Q | 8274 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<0>.Q | 9537 | ? | 0 | 0 | cnt/LTimer<0> | NULL | NULL | cnt/LTimer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | cnt/Timer<0> | WarpSE_COPY_0_COPY_0 | 2155877632 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<0>.SI | cnt/Timer<0> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<0>.D1 | 8276 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<0>.D1 | 9539 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<0>.D2 | 8277 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<0>.D2 | 9540 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | cnt/Timer<0> | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 8278 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 9541 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<0>.REG | cnt/Timer<0> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<0>.D | 8275 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<0>.D | 9538 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<0>.CE | 8278 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<0>.CE | 9541 | ? | 0 | 4096 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<0>.Q | 8279 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<0>.Q | 9542 | ? | 0 | 0 | cnt/Timer<0> | NULL | NULL | cnt/Timer<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<1> | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<1>.SI | cnt/Timer<1> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<1>.D1 | 8281 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<1>.D1 | 9544 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<1>.D2 | 8282 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<1>.D2 | 9545 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 8283 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 9546 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<1>.REG | cnt/Timer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<1>.D | 8280 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<1>.D | 9543 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<1>.CE | 8283 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<1>.CE | 9546 | ? | 0 | 4096 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<1>.Q | 8284 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<1>.Q | 9547 | ? | 0 | 0 | cnt/Timer<1> | NULL | NULL | cnt/Timer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | cnt/Timer<2> | WarpSE_COPY_0_COPY_0 | 2155873536 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Timer<2>.SI | cnt/Timer<2> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Timer<2>.D1 | 8286 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Timer<2>.D1 | 9549 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Timer<2>.D2 | 8287 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Timer<2>.D2 | 9550 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | cnt/Timer<0> | IV_FALSE | cnt/Timer<2> SPPTERM | 2 | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/Timer<0> | IV_TRUE | cnt/Timer<1> | IV_TRUE | cnt/Timer<2> SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 8288 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 9551 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/Timer<2>.REG | cnt/Timer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Timer<2>.D | 8285 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/Timer<2>.D | 9548 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/Timer<2>.CE | 8288 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/Timer<2>.CE | 9551 | ? | 0 | 4096 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Timer<2>.Q | 8289 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Timer<2>.Q | 9552 | ? | 0 | 0 | cnt/Timer<2> | NULL | NULL | cnt/Timer<2>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 14 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RefDone.EXP | 10042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RefDone.D1 | 9554 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RefDone.D2 | 9555 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq +SPPTERM | 3 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RefDone.EXP | 10035 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr + +SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RefDone.D | 9553 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RefDone.Q | 9556 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RefReq | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM SIGNAL_INSTANCE | RefReq.SI | RefReq | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | RefReq.D1 | 8291 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RefReq.D1 | 9558 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | RefReq.D2 | 8292 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RefReq.D2 | 9559 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_FALSE | RefUrg | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | RefReq.CE | 8293 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 9560 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | RefReq.REG | RefReq | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | RefReq.D | 8290 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F +NODE | RefReq.D | 9557 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | RefReq.CE | 8293 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | RefReq.CE | 9560 | ? | 0 | 4096 | RefReq | NULL | NULL | RefReq.SI | 10 | 9 | MC_SI_CE SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | RefReq.Q | 8294 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q +NODE | RefReq.Q | 9561 | ? | 0 | 0 | RefReq | NULL | NULL | RefReq.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<10> | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<10>.SI | cnt/LTimer<10> | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<10>.D1 | 8296 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<10>.D1 | 9563 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<10>.D2 | 8297 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<10>.D2 | 9564 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 10 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 8298 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9565 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<10>.REG | cnt/LTimer<10> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<10>.D | 8295 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<10>.D | 9562 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<10>.CE | 8298 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<10>.CE | 9565 | ? | 0 | 4096 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<10>.Q | 8299 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<10>.Q | 9566 | ? | 0 | 0 | cnt/LTimer<10> | NULL | NULL | cnt/LTimer<10>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<11> | WarpSE_COPY_0_COPY_0 | 2155877376 | 15 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<11>.SI | cnt/LTimer<11> | 0 | 14 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<11>.D1 | 8301 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<11>.D1 | 9568 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<11>.D2 | 8302 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<11>.D2 | 9569 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 11 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 8303 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9570 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<11>.REG | cnt/LTimer<11> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<11>.D | 8300 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<11>.D | 9567 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<11>.CE | 8303 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<11>.CE | 9570 | ? | 0 | 4096 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<11>.Q | 8304 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<11>.Q | 9571 | ? | 0 | 0 | cnt/LTimer<11> | NULL | NULL | cnt/LTimer<11>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<1> | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<1>.SI | cnt/LTimer<1> | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<1>.D1 | 8306 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<1>.D1 | 9573 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<1>.D2 | 8307 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<1>.D2 | 9574 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/LTimer<0> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 8308 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9575 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<1>.REG | cnt/LTimer<1> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<1>.D | 8305 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<1>.D | 9572 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<1>.CE | 8308 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<1>.CE | 9575 | ? | 0 | 4096 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<1>.Q | 8309 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<1>.Q | 9576 | ? | 0 | 0 | cnt/LTimer<1> | NULL | NULL | cnt/LTimer<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<2> | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<2>.SI | cnt/LTimer<2> | 0 | 5 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<2>.D1 | 8311 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<2>.D1 | 9578 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<2>.D2 | 8312 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<2>.D2 | 9579 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 8313 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9580 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<2>.REG | cnt/LTimer<2> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<2>.D | 8310 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<2>.D | 9577 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<2>.CE | 8313 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<2>.CE | 9580 | ? | 0 | 4096 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<2>.Q | 8314 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<2>.Q | 9581 | ? | 0 | 0 | cnt/LTimer<2> | NULL | NULL | cnt/LTimer<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<3> | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<3>.SI | cnt/LTimer<3> | 0 | 6 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<3>.D1 | 8316 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<3>.D1 | 9583 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<3>.D2 | 8317 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<3>.D2 | 9584 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 8318 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9585 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<3>.REG | cnt/LTimer<3> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<3>.D | 8315 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<3>.D | 9582 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<3>.CE | 8318 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<3>.CE | 9585 | ? | 0 | 4096 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<3>.Q | 8319 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<3>.Q | 9586 | ? | 0 | 0 | cnt/LTimer<3> | NULL | NULL | cnt/LTimer<3>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<4> | WarpSE_COPY_0_COPY_0 | 2155877376 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<4>.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.EXP | cnt/LTimer<4> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 7 | 3 +SIGNAL_INSTANCE | cnt/LTimer<4>.SI | cnt/LTimer<4> | 0 | 18 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<4>.D1 | 8321 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<4>.D1 | 9588 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<4>.D2 | 8322 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<4>.D2 | 9589 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<4>.EXP | 10006 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 11 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady | IV_TRUE | A_FSB_13_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 8323 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9590 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<4>.REG | cnt/LTimer<4> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<4>.D | 8320 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<4>.D | 9587 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<4>.CE | 8323 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<4>.CE | 9590 | ? | 0 | 4096 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<4>.Q | 8324 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<4>.Q | 9591 | ? | 0 | 0 | cnt/LTimer<4> | NULL | NULL | cnt/LTimer<4>.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<5> | WarpSE_COPY_0_COPY_0 | 2155877376 | 22 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<5>.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.EXP | cnt/LTimer<5> | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 8 | 3 +SIGNAL_INSTANCE | cnt/LTimer<5>.SI | cnt/LTimer<5> | 0 | 21 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<5>.D1 | 8326 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<5>.D1 | 9593 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<5>.D2 | 8327 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<5>.D2 | 9594 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | cnt/LTimer<5>.EXP | 10005 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF +SPPTERM | 11 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady | IV_TRUE | A_FSB_14_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 8328 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9595 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<5>.REG | cnt/LTimer<5> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<5>.D | 8325 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<5>.D | 9592 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<5>.CE | 8328 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<5>.CE | 9595 | ? | 0 | 4096 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<5>.Q | 8329 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<5>.Q | 9596 | ? | 0 | 0 | cnt/LTimer<5> | NULL | NULL | cnt/LTimer<5>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<6> | WarpSE_COPY_0_COPY_0 | 2155877376 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<6>.SI | cnt/LTimer<6> | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<6>.D1 | 8331 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<6>.D1 | 9598 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<6>.D2 | 8332 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<6>.D2 | 9599 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 8333 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9600 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<6>.REG | cnt/LTimer<6> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<6>.D | 8330 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<6>.D | 9597 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<6>.CE | 8333 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<6>.CE | 9600 | ? | 0 | 4096 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<6>.Q | 8334 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<6>.Q | 9601 | ? | 0 | 0 | cnt/LTimer<6> | NULL | NULL | cnt/LTimer<6>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<7> | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<7>.SI | cnt/LTimer<7> | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<7>.D1 | 8336 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<7>.D1 | 9603 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<7>.D2 | 8337 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<7>.D2 | 9604 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 8338 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9605 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<7>.REG | cnt/LTimer<7> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<7>.D | 8335 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<7>.D | 9602 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<7>.CE | 8338 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<7>.CE | 9605 | ? | 0 | 4096 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<7>.Q | 8339 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<7>.Q | 9606 | ? | 0 | 0 | cnt/LTimer<7> | NULL | NULL | cnt/LTimer<7>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<8> | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<8>.SI | cnt/LTimer<8> | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<8>.D1 | 8341 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<8>.D1 | 9608 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<8>.D2 | 8342 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<8>.D2 | 9609 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 8 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 8343 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9610 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<8>.REG | cnt/LTimer<8> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<8>.D | 8340 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<8>.D | 9607 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<8>.CE | 8343 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<8>.CE | 9610 | ? | 0 | 4096 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<8>.Q | 8344 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<8>.Q | 9611 | ? | 0 | 0 | cnt/LTimer<8> | NULL | NULL | cnt/LTimer<8>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<9> | WarpSE_COPY_0_COPY_0 | 2155877376 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimer<9>.SI | cnt/LTimer<9> | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<9>.D1 | 8346 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<9>.D1 | 9613 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<9>.D2 | 8347 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimer<9>.D2 | 9614 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 9 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 8348 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9615 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimer<9>.REG | cnt/LTimer<9> | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<9>.D | 8345 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimer<9>.D | 9612 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<9>.CE | 8348 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<9>.CE | 9615 | ? | 0 | 4096 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<9>.Q | 8349 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RAMEN | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 8113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 8758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RAMEN.SI | ram/RAMEN | 0 | 11 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/Once | 8113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3.EXP | 8758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RAMEN.D1 | 8351 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RAMEN.D2 | 8352 | ? | 0 | 4096 | ram/RAMEN | NULL | NULL | ram/RAMEN.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3.EXP -SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/Once -SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 -SPPTERM | 3 | IV_FALSE | ram/RAMEN | IV_TRUE | ram/Once | IV_TRUE | fsb/ASrf -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr - -SRFF_INSTANCE | ram/RAMEN.REG | ram/RAMEN | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RAMEN.D | 8350 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RAMEN.Q | 8353 | ? | 0 | 0 | ram/RAMEN | NULL | NULL | ram/RAMEN.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimer<12> | 8053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimer<12>.D1 | 8355 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimer<12>.D2 | 8356 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 8357 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimer<12>.D | 8354 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimer<12>.CE | 8357 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE -SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimer<12>.Q | 8358 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/TimerTC.EXP | 8734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.EXP | cnt/TimerTC | 4 | 0 | MC_EXPORT - -SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 12 | 4 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<0> | 8037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<1> | 8038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Timer<2> | 8039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/TimerTC.D1 | 8360 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/TimerTC.D2 | 8361 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/TimerTC.EXP | 8732 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/TimerTC.CE | 8362 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> - -SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/TimerTC.D | 8359 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/TimerTC.CE | 8362 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/TimerTC.Q | 8363 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<9>.Q | 9616 | ? | 0 | 0 | cnt/LTimer<9> | NULL | NULL | cnt/LTimer<9>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cs/nOverlay | WarpSE_COPY_0_COPY_0 | 2155877376 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 8116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | cs/ODCSr | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cs/nOverlay.SI | cs/nOverlay | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | N0 | 8033 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX +NODE | N0 | 9273 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | N0 | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/ODCSr | 8116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | cs/ODCSr | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/nOverlay.D1 | 8365 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/nOverlay.D1 | 9618 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/nOverlay.D2 | 8366 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/nOverlay.D2 | 9619 | ? | 0 | 4096 | cs/nOverlay | NULL | NULL | cs/nOverlay.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | N0 | IV_TRUE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 5 | IV_TRUE | N0 | IV_FALSE | cs/nOverlay | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | cs/ODCSr | IV_FALSE | fsb/ASrf SRFF_INSTANCE | cs/nOverlay.REG | cs/nOverlay | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/nOverlay.D | 8364 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F +NODE | cs/nOverlay.D | 9617 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/nOverlay.Q | 8367 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q +NODE | cs/nOverlay.Q | 9620 | ? | 0 | 0 | cs/nOverlay | NULL | NULL | cs/nOverlay.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/LTimer<12> | WarpSE_COPY_0_COPY_0 | 2155877376 | 16 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/IORW1.EXP | 8747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<12> | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 10 | 3 +SIGNAL_INSTANCE | cnt/LTimer<12>.SI | cnt/LTimer<12> | 0 | 15 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IORW1.D1 | 8369 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimer<12>.D1 | 9622 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IORW1.D2 | 8370 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 8 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SIGNAL | NODE | cnt/LTimer<12>.D2 | 9623 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 12 | IV_TRUE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9624 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/LTimer<12>.REG | cnt/LTimer<12> | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/LTimer<12>.D | 9621 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/LTimer<12>.CE | 9624 | ? | 0 | 4096 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.SI | 10 | 9 | MC_SI_CE +SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/LTimer<12>.Q | 9625 | ? | 0 | 0 | cnt/LTimer<12> | NULL | NULL | cnt/LTimer<12>.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/TimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/TimerTC.SI | cnt/TimerTC | 0 | 6 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<0> | 9277 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<0>.Q | cnt/Timer<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<1> | 9278 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<1>.Q | cnt/Timer<1> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Timer<2> | 9279 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Timer<2>.Q | cnt/Timer<2> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/TimerTC.D1 | 9627 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/TimerTC.D2 | 9628 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_TRUE | cnt/Timer<0> | IV_FALSE | cnt/Timer<1> | IV_FALSE | cnt/Timer<2> +OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/TimerTC.CE | 9629 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> + +SRFF_INSTANCE | cnt/TimerTC.REG | cnt/TimerTC | 0 | 3 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | cnt/TimerTC.D | 9626 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 4 | 8 | SRFF_CE +SIGNAL | NODE | cnt/TimerTC.CE | 9629 | ? | 0 | 4096 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.SI | 10 | 9 | MC_SI_CE +SPPTERM | 2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | cnt/TimerTC.Q | 9630 | ? | 0 | 0 | cnt/TimerTC | NULL | NULL | cnt/TimerTC.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobs/IORW1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 25 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDoutOE_OBUF.EXP | 10022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/IORW1.EXP | 10021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/IORW1.SI | iobs/IORW1 | 0 | 24 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDoutOE_OBUF.EXP | 10022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IORW1.D1 | 9632 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IORW1.D2 | 9633 | ? | 0 | 4096 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDoutOE_OBUF.EXP +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/IORW1.EXP | 8739 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | iobs/IORW1.EXP | 10011 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr SRFF_INSTANCE | iobs/IORW1.REG | iobs/IORW1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IORW1.D | 8368 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F +NODE | iobs/IORW1.D | 9631 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IORW1.Q | 8371 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IORW1.Q | 9634 | ? | 0 | 0 | iobs/IORW1 | NULL | NULL | iobs/IORW1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 15 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IONPReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 20 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr<0> | 8118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr<0>.Q | iobs/IODONEr<0> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 8747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IONPReady.EXP | 8748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 14 | 3 +SIGNAL_INSTANCE | IONPReady.SI | IONPReady | 0 | 19 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IODONEr<0> | 8118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr<0>.Q | iobs/IODONEr<0> | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1.EXP | 8747 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Clear1.EXP | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IONPReady.D1 | 8373 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IONPReady.D1 | 9636 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IONPReady.D2 | 8374 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady -SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr<0> -SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady +SIGNAL | NODE | IONPReady.D2 | 9637 | ? | 0 | 4096 | IONPReady | NULL | NULL | IONPReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/Clear1.EXP +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_TRUE | A_FSB_14_IBUF OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IONPReady.EXP | 8740 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | IONPReady.EXP | 10062 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | IONPReady.REG | IONPReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IONPReady.D | 8372 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F +NODE | IONPReady.D | 9635 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IONPReady.Q | 8375 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q +NODE | IONPReady.Q | 9638 | ? | 0 | 0 | IONPReady | NULL | NULL | IONPReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOPWReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOPWReady.SI | IOPWReady | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +NODE | IOPWReady | 9299 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOPWReady.D1 | 8377 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOPWReady.D1 | 9640 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOPWReady.D2 | 8378 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOPWReady.D2 | 9641 | ? | 0 | 4096 | IOPWReady | NULL | NULL | IOPWReady.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_FALSE | IOPWReady | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | IOPWReady.REG | IOPWReady | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOPWReady.D | 8376 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.XOR | 0 | 7 | ALU_F +NODE | IOPWReady.D | 9639 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOPWReady.Q | 8379 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.REG | 0 | 8 | SRFF_Q +NODE | IOPWReady.Q | 9642 | ? | 0 | 0 | IOPWReady | NULL | NULL | IOPWReady.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/LTimerTC | WarpSE_COPY_0_COPY_0 | 2155873280 | 17 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 8053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/LTimerTC.SI | cnt/LTimerTC | 0 | 16 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<0> | 8036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<0> | 9276 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<0>.Q | cnt/LTimer<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<10> | 8041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<10> | 9282 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<10>.Q | cnt/LTimer<10> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<11> | 8042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<11> | 9283 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<11>.Q | cnt/LTimer<11> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<1> | 8043 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<1> | 9284 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<1>.Q | cnt/LTimer<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<2> | 8044 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<2> | 9285 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<2>.Q | cnt/LTimer<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<3> | 8045 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<3> | 9286 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<3>.Q | cnt/LTimer<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<4> | 8046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<4> | 9287 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.Q | cnt/LTimer<4> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<5> | 8047 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<5> | 9288 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.Q | cnt/LTimer<5> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<6> | 8048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<6> | 9289 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<6>.Q | cnt/LTimer<6> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<7> | 8049 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<7> | 9290 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<7>.Q | cnt/LTimer<7> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<8> | 8050 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<8> | 9291 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<8>.Q | cnt/LTimer<8> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<9> | 8051 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<9> | 9292 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<9>.Q | cnt/LTimer<9> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimer<12> | 8053 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM +NODE | cnt/LTimer<12> | 9294 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<12>.Q | cnt/LTimer<12> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/LTimerTC.D1 | 8381 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/LTimerTC.D1 | 9644 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/LTimerTC.D2 | 8382 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/LTimerTC.D2 | 9645 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 2 | 9 | MC_SI_D2 SPPTERM | 13 | IV_FALSE | cnt/LTimer<0> | IV_TRUE | cnt/LTimer<10> | IV_TRUE | cnt/LTimer<11> | IV_TRUE | cnt/LTimer<1> | IV_TRUE | cnt/LTimer<2> | IV_TRUE | cnt/LTimer<3> | IV_TRUE | cnt/LTimer<4> | IV_TRUE | cnt/LTimer<5> | IV_TRUE | cnt/LTimer<6> | IV_TRUE | cnt/LTimer<7> | IV_TRUE | cnt/LTimer<8> | IV_TRUE | cnt/LTimer<9> | IV_TRUE | cnt/LTimer<12> OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 8383 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 9646 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/LTimerTC.REG | cnt/LTimerTC | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/LTimerTC.D | 8380 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F +NODE | cnt/LTimerTC.D | 9643 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | cnt/LTimerTC.CE | 8383 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | cnt/LTimerTC.CE | 9646 | ? | 0 | 4096 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.SI | 10 | 9 | MC_SI_CE SPPTERM | 3 | IV_TRUE | cnt/TimerTC | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/LTimerTC.Q | 8384 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q +NODE | cnt/LTimerTC.Q | 9647 | ? | 0 | 0 | cnt/LTimerTC | NULL | NULL | cnt/LTimerTC.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nLDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nLDS_FSB | 8212 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nLDS_FSB | 9465 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOL1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOL1 | 8062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM +NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOL1.SI | iobs/IOL1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOL1.D1 | 8386 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOL1.D1 | 9649 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOL1.D2 | 8387 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOL1.D2 | 9650 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nLDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOL1.CE | 8388 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOL1.REG | iobs/IOL1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOL1.D | 8385 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOL1.D | 9648 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOL1.CE | 8388 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOL1.CE | 9651 | ? | 0 | 4096 | iobs/IOL1 | NULL | NULL | iobs/IOL1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOL1.Q | 8389 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOL1.Q | 9652 | ? | 0 | 0 | iobs/IOL1 | NULL | NULL | iobs/IOL1.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nUDS_FSB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nUDS_FSB | 8213 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nUDS_FSB | 9466 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOU1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOU1.SI | iobs/IOU1 | 0 | 2 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOU1.D1 | 8391 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOU1.D1 | 9654 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOU1.D2 | 8392 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOU1.D2 | 9655 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nUDS_FSB_IBUF OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | iobs/IOU1.CE | 8393 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9656 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 SRFF_INSTANCE | iobs/IOU1.REG | iobs/IOU1 | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOU1.D | 8390 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F +NODE | iobs/IOU1.D | 9653 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | iobs/IOU1.CE | 8393 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE +SIGNAL | NODE | iobs/IOU1.CE | 9656 | ? | 0 | 4096 | iobs/IOU1 | NULL | NULL | iobs/IOU1.SI | 10 | 9 | MC_SI_CE SPPTERM | 1 | IV_TRUE | iobs/Load1 OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOU1.Q | 8394 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOU1.Q | 9657 | ? | 0 | 0 | iobs/IOU1 | NULL | NULL | iobs/IOU1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RefDone | WarpSE_COPY_0_COPY_0 | 2155873536 | 6 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155877376 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/Once.EXP | 10041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RefDone.SI | ram/RefDone | 0 | 5 | 2 +SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/Once | 9305 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RefDone.D1 | 8396 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/Once.D1 | 9659 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RefDone.D2 | 8397 | ? | 0 | 4096 | ram/RefDone | NULL | NULL | ram/RefDone.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | RefUrg | IV_FALSE | RefReq -SPPTERM | 3 | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd2 +SIGNAL | NODE | ram/Once.D2 | 9660 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 3 | IV_TRUE | ram/Once | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/Once | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/Once.EXP | 10034 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr -SRFF_INSTANCE | ram/RefDone.REG | ram/RefDone | 0 | 2 | 1 +SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RefDone.D | 8395 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.XOR | 0 | 7 | ALU_F +NODE | ram/Once.D | 9658 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RefDone.Q | 8398 | ? | 0 | 0 | ram/RefDone | NULL | NULL | ram/RefDone.REG | 0 | 8 | SRFF_Q +NODE | ram/Once.Q | 9661 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nBERR_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 8067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBERR_FSB_OBUF | 8066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBERR_FSB_OBUF.UIM | 8067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | nBERR_FSB_OBUF.UIM | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 5 | 2 +SIGNAL_INSTANCE | nBERR_FSB_OBUF.SI | nBERR_FSB_OBUF | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBERR_FSB_OBUF.UIM | 8067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP17_.EXP | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 8400 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBERR_FSB_OBUF.D1 | 9663 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 8401 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM -SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM +SIGNAL | NODE | nBERR_FSB_OBUF.D2 | 9664 | ? | 0 | 4096 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP17_.EXP SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBERR_FSB_OBUF.EXP | 10058 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IOU1 | IV_FALSE | IOU0 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBERR_FSB_OBUF.REG | nBERR_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBERR_FSB_OBUF.D | 8399 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBERR_FSB_OBUF.D | 9662 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBERR_FSB_OBUF.Q | 8402 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBERR_FSB_OBUF.Q | 9665 | ? | 0 | 0 | nBERR_FSB_OBUF | NULL | NULL | nBERR_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | nVPA_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nVPA_FSB_OBUF | 8069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nVPA_FSB_OBUF.EXP | 8745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | nVPA_FSB_OBUF | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 12 | 4 +SIGNAL_INSTANCE | nVPA_FSB_OBUF.SI | nVPA_FSB_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 8404 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nVPA_FSB_OBUF.D1 | 9667 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 8405 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nVPA_FSB_OBUF.D2 | 9668 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | IONPReady | IV_FALSE | nAS_FSB_IBUF SPPTERM | 8 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | IONPReady | IV_TRUE | fsb/ASrf OUTPUT_NODE_TYPE | 5 | 9 | MC_SI_SETF -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 8406 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 9669 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nVPA_FSB_OBUF.EXP | 8737 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | nVPA_FSB_OBUF.REG | nVPA_FSB_OBUF | 0 | 3 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nVPA_FSB_OBUF.D | 8403 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nVPA_FSB_OBUF.D | 9666 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 2 | 8 | SRFF_S -SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 8406 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF +SIGNAL | NODE | nVPA_FSB_OBUF.SETF | 9669 | ? | 0 | 4096 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.SI | 5 | 9 | MC_SI_SETF SPPTERM | 1 | IV_TRUE | nAS_FSB_IBUF OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nVPA_FSB_OBUF.Q | 8407 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nVPA_FSB_OBUF.Q | 9670 | ? | 0 | 0 | nVPA_FSB_OBUF | NULL | NULL | nVPA_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 5 | 2 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | ram/RASrf | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nBR_IOB_OBUF$Q | 8070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | nRAS_OBUF | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAS_OBUF.EXP | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 4 | 2 +SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | ram/RASrf | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMLWE_OBUF.EXP | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nBR_IOB_OBUF.D1 | 8409 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAS_OBUF.D1 | 9672 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nBR_IOB_OBUF.D2 | 8410 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAS_OBUF.D2 | 9673 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RASrf +SPPTERM | 1 | IV_TRUE | nRAMLWE_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAS_OBUF.EXP | 10052 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | nRAS_OBUF.D | 9671 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | nRAS_OBUF.Q | 9674 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | nBR_IOB_OBUF | WarpSE_COPY_0_COPY_0 | 2155877376 | 14 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nBR_IOB_OBUF$Q | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nBR_IOB_OBUF.EXP | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nBR_IOB_OBUF.SI | nBR_IOB_OBUF | 0 | 13 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nBR_IOB_OBUF.D1 | 9676 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nBR_IOB_OBUF.D2 | 9677 | ? | 0 | 4096 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 SPPTERM | 4 | IV_FALSE | nBR_IOB_OBUF | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/nIPL2r +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nBR_IOB_OBUF.EXP | 10060 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | nBR_IOB_OBUF.REG | nBR_IOB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nBR_IOB_OBUF.D | 8408 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nBR_IOB_OBUF.D | 9675 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nBR_IOB_OBUF.Q | 8411 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nBR_IOB_OBUF.Q | 9678 | ? | 0 | 0 | nBR_IOB_OBUF | NULL | NULL | nBR_IOB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 4 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_11_OBUF$Q | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 3 | 2 +SIGNAL_INSTANCE | RA_11_OBUF.SI | RA_11_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 8413 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF.D1 | 9680 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 8414 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_11_OBUF.D2 | 9681 | ? | 0 | 4096 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_11_OBUF.REG | RA_11_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_11_OBUF.D | 9679 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF.Q | 9682 | ? | 0 | 0 | RA_11_OBUF | NULL | NULL | RA_11_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASEL | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASEL.SI | ram/RASEL | 0 | 8 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASEL.D1 | 9684 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASEL.D2 | 9685 | ? | 0 | 4096 | ram/RASEL | NULL | NULL | ram/RASEL.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RASEL.REG | ram/RASEL | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASEL.D | 9683 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASEL.Q | 9686 | ? | 0 | 0 | ram/RASEL | NULL | NULL | ram/RASEL.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/TS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd1.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.EXP | iobs/TS_FSM_FFd1 | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | iobs/TS_FSM_FFd1.SI | iobs/TS_FSM_FFd1 | 0 | 18 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D1 | 9688 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/TS_FSM_FFd1.D2 | 9689 | ? | 0 | 4096 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/TS_FSM_FFd1.EXP | 10015 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/TS_FSM_FFd1.REG | iobs/TS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd1.D | 8412 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd1.D | 9687 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd1.Q | 8415 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd1.Q | 9690 | ? | 0 | 0 | iobs/TS_FSM_FFd1 | NULL | NULL | iobs/TS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | iobs/TS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 8748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/TS_FSM_FFd2.EXP | 8749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 9 | 3 +SIGNAL_INSTANCE | iobs/TS_FSM_FFd2.SI | iobs/TS_FSM_FFd2 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IORW1 | 8057 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady.EXP | 8748 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP14_.EXP | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAS_OBUF.EXP | 10066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.EXP | nRAS_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 8417 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/TS_FSM_FFd2.D1 | 9692 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 8418 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | IONPReady.EXP +SIGNAL | NODE | iobs/TS_FSM_FFd2.D2 | 9693 | ? | 0 | 4096 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP14_.EXP +SPPTERM | 1 | IV_TRUE | nRAS_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/TS_FSM_FFd2.EXP | 8741 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/TS_FSM_FFd2.REG | iobs/TS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/TS_FSM_FFd2.D | 8416 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobs/TS_FSM_FFd2.D | 9691 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/TS_FSM_FFd2.Q | 8419 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobs/TS_FSM_FFd2.Q | 9694 | ? | 0 | 0 | iobs/TS_FSM_FFd2 | NULL | NULL | iobs/TS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | iobm/IOS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd7.SI | iobm/IOS_FSM_FFd7 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 8421 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D1 | 9696 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 8422 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd7.D2 | 9697 | ? | 0 | 4096 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd1 SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd7.REG | iobm/IOS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd7.D | 8420 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd7.D | 9695 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd7.Q | 8423 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd7.Q | 9698 | ? | 0 | 0 | iobm/IOS_FSM_FFd7 | NULL | NULL | iobm/IOS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd3.SI | iobm/IOS_FSM_FFd3 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 8425 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D1 | 9700 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 8426 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd3.D2 | 9701 | ? | 0 | 4096 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 SRFF_INSTANCE | iobm/IOS_FSM_FFd3.REG | iobm/IOS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd3.D | 8424 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd3.D | 9699 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd3.Q | 8427 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd3.Q | 9702 | ? | 0 | 0 | iobm/IOS_FSM_FFd3 | NULL | NULL | iobm/IOS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/C8Mr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 8010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX +NODE | C8M_IBUF | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/C8Mr.EXP | 8754 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.EXP | iobm/C8Mr | 4 | 0 | MC_EXPORT +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 5 | 3 +SIGNAL_INSTANCE | iobm/C8Mr.SI | iobm/C8Mr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF | 8010 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | C8M_IBUF | 9247 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/C8Mr.D1 | 8429 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/C8Mr.D1 | 9704 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/C8Mr.D2 | 8430 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/C8Mr.D2 | 9705 | ? | 0 | 4096 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | C8M_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/C8Mr.EXP | 8752 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOU0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/C8Mr.REG | iobm/C8Mr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/C8Mr.D | 8428 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F +NODE | iobm/C8Mr.D | 9703 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/C8Mr.Q | 8431 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q +NODE | iobm/C8Mr.Q | 9706 | ? | 0 | 0 | iobm/C8Mr | NULL | NULL | iobm/C8Mr.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 13 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 10021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAoutOE_OBUF.EXP | 10020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1.EXP | 10021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.EXP | iobs/IORW1 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 9708 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 9709 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | iobs/IORW1.EXP +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf + +SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd8.D | 9707 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd8.Q | 9710 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | E_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | E | 8215 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | E | 9468 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped+Ce | iobm/ES<0> | WarpSE_COPY_0_COPY_0 | 2424313088 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<0>.SI | iobm/ES<0> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<0>.D1 | 8433 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<0>.D1 | 9712 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<0>.D2 | 8434 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<0>.D2 | 9713 | ? | 0 | 4096 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_TRUE | E_IBUF SPPTERM | 5 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_FALSE | iobm/ES<3> | IV_FALSE | iobm/Er SRFF_INSTANCE | iobm/ES<0>.REG | iobm/ES<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<0>.D | 8432 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<0>.D | 9711 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<0>.Q | 8435 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<0>.Q | 9714 | ? | 0 | 0 | iobm/ES<0> | NULL | NULL | iobm/ES<0>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd4.SI | iobm/IOS_FSM_FFd4 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 8437 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D1 | 9716 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 8438 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd4.D2 | 9717 | ? | 0 | 4096 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SRFF_INSTANCE | iobm/IOS_FSM_FFd4.REG | iobm/IOS_FSM_FFd4 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd4.D | 8436 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd4.D | 9715 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd4.Q | 8439 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd4.Q | 9718 | ? | 0 | 0 | iobm/IOS_FSM_FFd4 | NULL | NULL | iobm/IOS_FSM_FFd4.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<2> | WarpSE_COPY_0_COPY_0 | 2424312832 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<2>.SI | iobm/ES<2> | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<2>.D1 | 8441 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<2>.D1 | 9720 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<2>.D2 | 8442 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<2>.D2 | 9721 | ? | 0 | 4096 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<2> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SRFF_INSTANCE | iobm/ES<2>.REG | iobm/ES<2> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<2>.D | 8440 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<2>.D | 9719 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<2>.Q | 8443 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<2>.Q | 9722 | ? | 0 | 0 | iobm/ES<2> | NULL | NULL | iobm/ES<2>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd5.SI | iobm/IOS_FSM_FFd5 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 8445 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D1 | 9724 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 8446 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd5.D2 | 9725 | ? | 0 | 4096 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 SRFF_INSTANCE | iobm/IOS_FSM_FFd5.REG | iobm/IOS_FSM_FFd5 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd5.D | 8444 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd5.D | 9723 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd5.Q | 8447 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd5.Q | 9726 | ? | 0 | 0 | iobm/IOS_FSM_FFd5 | NULL | NULL | iobm/IOS_FSM_FFd5.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd6.SI | iobm/IOS_FSM_FFd6 | 0 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 8449 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D1 | 9728 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 8450 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd6.D2 | 9729 | ? | 0 | 4096 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | iobm/IOS_FSM_FFd6.REG | iobm/IOS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd6.D | 8448 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd6.D | 9727 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd6.Q | 8451 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd6.Q | 9730 | ? | 0 | 0 | iobm/IOS_FSM_FFd6 | NULL | NULL | iobm/IOS_FSM_FFd6.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 8 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | iobm/ES<1> | WarpSE_COPY_0_COPY_0 | 2424308992 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/ES<1>.EXP | 8728 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.EXP | iobm/ES<1> | 4 | 0 | MC_EXPORT +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 7 | 3 +SIGNAL_INSTANCE | iobm/ES<1>.SI | iobm/ES<1> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVMA_IOBout | 8014 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<1>.D1 | 8453 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<1>.D1 | 9732 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<1>.D2 | 8454 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<1>.D2 | 9733 | ? | 0 | 4096 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<1> SPPTERM | 2 | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 3 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_TRUE | iobm/ES<3> -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/ES<1>.EXP | 8724 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_FALSE | nVMA_IOBout | IV_FALSE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES<1> | IV_TRUE | iobm/ES<3> SRFF_INSTANCE | iobm/ES<1>.REG | iobm/ES<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<1>.D | 8452 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<1>.D | 9731 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<1>.Q | 8455 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<1>.Q | 9734 | ? | 0 | 0 | iobm/ES<1> | NULL | NULL | iobm/ES<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped+Ce | iobm/ES<3> | WarpSE_COPY_0_COPY_0 | 2424312832 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/ES<3>.SI | iobm/ES<3> | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<3> | 8083 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM +NODE | iobm/ES<3> | 9327 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<3>.Q | iobm/ES<3> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<0> | 8077 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM +NODE | iobm/ES<0> | 9321 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<0>.Q | iobm/ES<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<2> | 8079 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM +NODE | iobm/ES<2> | 9323 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<2>.Q | iobm/ES<2> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/ES<1> | 8082 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM +NODE | iobm/ES<1> | 9326 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/ES<1>.Q | iobm/ES<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/ES<3>.D1 | 8457 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/ES<3>.D1 | 9736 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/ES<3>.D2 | 8458 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/ES<3>.D2 | 9737 | ? | 0 | 4096 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | iobm/ES<3> | IV_FALSE | E_IBUF | IV_TRUE | iobm/Er SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_TRUE | E_IBUF SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_TRUE | iobm/ES<2> | IV_TRUE | iobm/ES<1> | IV_FALSE | iobm/Er @@ -2983,554 +3619,563 @@ SPPTERM | 4 | IV_TRUE | iobm/ES<0> | IV_FALSE | iobm/ES<2> | IV_FALSE | iobm/ES< SRFF_INSTANCE | iobm/ES<3>.REG | iobm/ES<3> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/ES<3>.D | 8456 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F +NODE | iobm/ES<3>.D | 9735 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/ES<3>.Q | 8459 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q +NODE | iobm/ES<3>.Q | 9738 | ? | 0 | 0 | iobm/ES<3> | NULL | NULL | iobm/ES<3>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155877376 | 8 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/INITS_FSM_FFd1.SI | cnt/INITS_FSM_FFd1 | 0 | 7 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 8461 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D1 | 9740 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 8462 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd1.D2 | 9741 | ? | 0 | 4096 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 7 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/nIPL2r | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/INITS_FSM_FFd1.REG | cnt/INITS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd1.D | 8460 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | cnt/INITS_FSM_FFd1.D | 9739 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd1.Q | 8463 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd1.Q | 9742 | ? | 0 | 0 | cnt/INITS_FSM_FFd1 | NULL | NULL | cnt/INITS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | cnt/INITS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155877376 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/INITS_FSM_FFd2.SI | cnt/INITS_FSM_FFd2 | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC | 8054 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM +NODE | cnt/TimerTC | 9295 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.Q | cnt/TimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/LTimerTC | 8060 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM +NODE | cnt/LTimerTC | 9300 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimerTC.Q | cnt/LTimerTC | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 8465 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D1 | 9744 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 8466 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/INITS_FSM_FFd2.D2 | 9745 | ? | 0 | 4096 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SPPTERM | 6 | IV_TRUE | cnt/TimerTC | IV_TRUE | cnt/LTimerTC | IV_FALSE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | cnt/Er<0> | IV_TRUE | cnt/Er<1> SRFF_INSTANCE | cnt/INITS_FSM_FFd2.REG | cnt/INITS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/INITS_FSM_FFd2.D | 8464 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | cnt/INITS_FSM_FFd2.D | 9743 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/INITS_FSM_FFd2.Q | 8467 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | cnt/INITS_FSM_FFd2.Q | 9746 | ? | 0 | 0 | cnt/INITS_FSM_FFd2 | NULL | NULL | cnt/INITS_FSM_FFd2.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | ram/RS_FSM_FFd8 | WarpSE_COPY_0_COPY_0 | 2155873024 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd7 | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd7.EXP | 10027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.EXP | ram/RS_FSM_FFd7 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | ram/RS_FSM_FFd8.SI | ram/RS_FSM_FFd8 | 0 | 9 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd7.SI | ram/RS_FSM_FFd7 | 0 | 13 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd8.D1 | 8469 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd7.D1 | 9748 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd8.D2 | 8470 | ? | 0 | 4096 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 -SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SIGNAL | NODE | ram/RS_FSM_FFd7.D2 | 9749 | ? | 0 | 4096 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RS_FSM_FFd7.EXP | 10017 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SRFF_INSTANCE | ram/RS_FSM_FFd8.REG | ram/RS_FSM_FFd8 | 0 | 2 | 1 +SRFF_INSTANCE | ram/RS_FSM_FFd7.REG | ram/RS_FSM_FFd7 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd8.D | 8468 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd7.D | 9747 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd8.Q | 8471 | ? | 0 | 0 | ram/RS_FSM_FFd8 | NULL | NULL | ram/RS_FSM_FFd8.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd7.Q | 9750 | ? | 0 | 0 | ram/RS_FSM_FFd7 | NULL | NULL | ram/RS_FSM_FFd7.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | IOACT | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr.EXP | 8756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.EXP | iobm/IOWRREQr | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOACT.SI | IOACT | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr.EXP | 8756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.EXP | iobm/IOWRREQr | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOACT.D1 | 8473 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOACT.D1 | 9752 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOACT.D2 | 8474 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOACT.D2 | 9753 | ? | 0 | 4096 | IOACT | NULL | NULL | IOACT.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd4 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd5 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd6 -SPPTERM | 1 | IV_TRUE | iobm/IOWRREQr.EXP +SPPTERM | 1 | IV_TRUE | nDinLE_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IORDREQr | IV_FALSE | AoutOE SRFF_INSTANCE | IOACT.REG | IOACT | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOACT.D | 8472 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F +NODE | IOACT.D | 9751 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOACT.Q | 8475 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q +NODE | IOACT.Q | 9754 | ? | 0 | 0 | IOACT | NULL | NULL | IOACT.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 6 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/IOS_FSM_FFd1.EXP | 8753 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.EXP | iobm/IOS_FSM_FFd1 | 4 | 0 | MC_EXPORT +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 5 | 3 +SIGNAL_INSTANCE | iobm/IOS_FSM_FFd1.SI | iobm/IOS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 8477 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D1 | 9756 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 8478 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd1.D2 | 9757 | ? | 0 | 4096 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd2 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/IOS_FSM_FFd1.EXP | 8751 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/IOS_FSM_FFd1.REG | iobm/IOS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd1.D | 8476 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd1.D | 9755 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd1.Q | 8479 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd1.Q | 9758 | ? | 0 | 0 | iobm/IOS_FSM_FFd1 | NULL | NULL | iobm/IOS_FSM_FFd1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS_FSM_FFd2.SI | iobm/IOS_FSM_FFd2 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 8481 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D1 | 9760 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 8482 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS_FSM_FFd2.D2 | 9761 | ? | 0 | 4096 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | IOBERR | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SPPTERM | 3 | IV_TRUE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/C8Mr SRFF_INSTANCE | iobm/IOS_FSM_FFd2.REG | iobm/IOS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS_FSM_FFd2.D | 8480 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS_FSM_FFd2.D | 9759 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS_FSM_FFd2.Q | 8483 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS_FSM_FFd2.Q | 9762 | ? | 0 | 0 | iobm/IOS_FSM_FFd2 | NULL | NULL | iobm/IOS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IOACTr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobs/IOACTr.SI | iobs/IOACTr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IOACTr.D1 | 8485 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IOACTr.D1 | 9764 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IOACTr.D2 | 8486 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IOACTr.D2 | 9765 | ? | 0 | 4096 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOACT SRFF_INSTANCE | iobs/IOACTr.REG | iobs/IOACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IOACTr.D | 8484 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F +NODE | iobs/IOACTr.D | 9763 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IOACTr.Q | 8487 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q +NODE | iobs/IOACTr.Q | 9766 | ? | 0 | 0 | iobs/IOACTr | NULL | NULL | iobs/IOACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Load1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 20 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.EXP | iobs/TS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobs/Load1.EXP | 8746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 12 | 3 +SIGNAL_INSTANCE | iobs/Load1.SI | iobs/Load1 | 0 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1.EXP | 10025 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.EXP | iobs/TS_FSM_FFd1 | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Load1.D1 | 8489 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Load1.D1 | 9768 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Load1.D2 | 8490 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobs/Load1.EXP | 8738 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | iobs/Load1.D2 | 9769 | ? | 0 | 4096 | iobs/Load1 | NULL | NULL | iobs/Load1.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1.EXP +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 15 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | iobs/Load1.REG | iobs/Load1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Load1.D | 8488 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F +NODE | iobs/Load1.D | 9767 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Load1.Q | 8491 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Load1.Q | 9770 | ? | 0 | 0 | iobs/Load1 | NULL | NULL | iobs/Load1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 13 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOL0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | EXP13_.EXP | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | IONPReady.EXP | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er.EXP | 8727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.EXP | iobm/Er | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | IOL0.EXP | 8730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 12 | 3 +SIGNAL_INSTANCE | IOL0.SI | IOL0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | iobs/IOL1 | 9302 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | EXP13_.EXP | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/Er.EXP | 8727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.EXP | iobm/Er | 4 | 0 | MC_EXPORT +NODE | IONPReady.EXP | 10076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.EXP | IONPReady | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOL0.D1 | 8493 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOL0.D1 | 9772 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOL0.D2 | 8494 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOL0.D2 | 9773 | ? | 0 | 4096 | IOL0 | NULL | NULL | IOL0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | iobm/Er.EXP +SPPTERM | 1 | IV_TRUE | EXP13_.EXP +SPPTERM | 1 | IV_TRUE | IONPReady.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nLDS_FSB_IBUF | IV_FALSE | IOL0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nLDS_FSB_IBUF | IV_TRUE | IOL0 | IV_TRUE | ALE1 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | IOL0.EXP | 8726 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOL0.REG | IOL0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOL0.D | 8492 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F +NODE | IOL0.D | 9771 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOL0.Q | 8495 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q +NODE | IOL0.Q | 9774 | ? | 0 | 0 | IOL0 | NULL | NULL | IOL0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+Tff+OptxMapped | IOU0 | WarpSE_COPY_0_COPY_0 | 2155877632 | 10 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 8729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0.EXP | 8730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | IOU0.SI | IOU0 | 0 | 9 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOU0 | 8093 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM +NODE | IOU0 | 9337 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOU0.Q | IOU0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOU1 | 8064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM +NODE | iobs/IOU1 | 9304 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOU1.Q | iobs/IOU1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE.EXP | 8729 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.EXP | IODONE | 4 | 0 | MC_EXPORT +NODE | nBERR_FSB_OBUF.EXP | 10072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.EXP | nBERR_FSB_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0.EXP | 8730 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.EXP | IOL0 | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOU0.D1 | 8497 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOU0.D1 | 9776 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOU0.D2 | 8498 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | IOU0.D2 | 9777 | ? | 0 | 4096 | IOU0 | NULL | NULL | IOU0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 1 | IV_TRUE | IODONE.EXP -SPPTERM | 1 | IV_TRUE | IOL0.EXP +SPPTERM | 1 | IV_TRUE | nBERR_FSB_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP18_.EXP SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SPPTERM | 3 | IV_TRUE | nUDS_FSB_IBUF | IV_FALSE | IOU0 | IV_TRUE | ALE1 SPPTERM | 3 | IV_FALSE | nUDS_FSB_IBUF | IV_TRUE | IOU0 | IV_TRUE | ALE1 @@ -3538,211 +4183,265 @@ SPPTERM | 3 | IV_TRUE | iobs/IOU1 | IV_TRUE | IOU0 | IV_FALSE | ALE1 SRFF_INSTANCE | IOU0.REG | IOU0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOU0.D | 8496 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F +NODE | IOU0.D | 9775 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOU0.Q | 8499 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q +NODE | IOU0.Q | 9778 | ? | 0 | 0 | IOU0 | NULL | NULL | IOU0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IORDREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IORDREQr.SI | iobm/IORDREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IORDREQr.D1 | 8501 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IORDREQr.D1 | 9780 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IORDREQr.D2 | 8502 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IORDREQr.D2 | 9781 | ? | 0 | 4096 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IORDREQ SRFF_INSTANCE | iobm/IORDREQr.REG | iobm/IORDREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IORDREQr.D | 8500 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IORDREQr.D | 9779 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IORDREQr.Q | 8503 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IORDREQr.Q | 9782 | ? | 0 | 0 | iobm/IORDREQr | NULL | NULL | iobm/IORDREQr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd3 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/RS_FSM_FFd3.EXP | 8758 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.EXP | ram/RS_FSM_FFd3 | 4 | 0 | MC_EXPORT +NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 8 | 3 +SIGNAL_INSTANCE | ram/RS_FSM_FFd3.SI | ram/RS_FSM_FFd3 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 8505 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd3.D1 | 9784 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 8506 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd3.D2 | 9785 | ? | 0 | 4096 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/RS_FSM_FFd3.EXP | 8757 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr SRFF_INSTANCE | ram/RS_FSM_FFd3.REG | ram/RS_FSM_FFd3 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd3.D | 8504 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd3.D | 9783 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd3.Q | 8507 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd3.Q | 9786 | ? | 0 | 0 | ram/RS_FSM_FFd3 | NULL | NULL | ram/RS_FSM_FFd3.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 9 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873536 | 5 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 8 | 2 +SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 8509 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 9788 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 8510 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 9789 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd5 | IV_FALSE | ram/RS_FSM_FFd1 +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd1 + +SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd4.D | 9787 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd4.Q | 9790 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd5 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd5.SI | ram/RS_FSM_FFd5 | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd5.D1 | 9792 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd5.D2 | 9793 | ? | 0 | 4096 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 + +SRFF_INSTANCE | ram/RS_FSM_FFd5.REG | ram/RS_FSM_FFd5 | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RS_FSM_FFd5.D | 9791 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd5.Q | 9794 | ? | 0 | 0 | ram/RS_FSM_FFd5 | NULL | NULL | ram/RS_FSM_FFd5.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd6 | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RS_FSM_FFd6.SI | ram/RS_FSM_FFd6 | 0 | 13 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nOE_OBUF.EXP | 10040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/Once.EXP | 10041 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.EXP | ram/Once | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd6.D1 | 9796 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd6.D2 | 9797 | ? | 0 | 4096 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nOE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | ram/Once.EXP +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd5 SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr SRFF_INSTANCE | ram/RS_FSM_FFd6.REG | ram/RS_FSM_FFd6 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd6.D | 8508 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd6.D | 9795 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd6.Q | 8511 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd6.Q | 9798 | ? | 0 | 0 | ram/RS_FSM_FFd6 | NULL | NULL | ram/RS_FSM_FFd6.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ALE0M | WarpSE_COPY_0_COPY_0 | 2155873536 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0M.SI | ALE0M | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0M.D1 | 8513 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0M.D1 | 9800 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0M.D2 | 8514 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0M.D2 | 9801 | ? | 0 | 4096 | ALE0M | NULL | NULL | ALE0M.SI | 2 | 9 | MC_SI_D2 SPPTERM | 6 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | AoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/IOS_FSM_FFd2 @@ -3751,62 +4450,57 @@ SPPTERM | 7 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FA SRFF_INSTANCE | ALE0M.REG | ALE0M | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0M.D | 8512 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F +NODE | ALE0M.D | 9799 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0M.Q | 8515 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q +NODE | ALE0M.Q | 9802 | ? | 0 | 0 | ALE0M | NULL | NULL | ALE0M.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IORDREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 9 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 8749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT +NODE | C20MEN_OBUF.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.EXP | C20MEN_OBUF | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent.EXP | 8750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.EXP | iobs/Sent | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 9 | 2 +SIGNAL_INSTANCE | IORDREQ.SI | IORDREQ | 0 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IORDREQ | 8098 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM +NODE | IORDREQ | 9344 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IORDREQ.Q | IORDREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2.EXP | 8749 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.EXP | iobs/TS_FSM_FFd2 | 4 | 0 | MC_EXPORT -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent.EXP | 8750 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.EXP | iobs/Sent | 4 | 0 | MC_EXPORT +NODE | C20MEN_OBUF.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.EXP | C20MEN_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IORDREQ.D1 | 8517 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IORDREQ.D1 | 9804 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IORDREQ.D2 | 8518 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2.EXP -SPPTERM | 1 | IV_TRUE | iobs/Sent.EXP +SIGNAL | NODE | IORDREQ.D2 | 9805 | ? | 0 | 4096 | IORDREQ | NULL | NULL | IORDREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | C20MEN_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IORDREQ @@ -3815,346 +4509,381 @@ SPPTERM | 3 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | SRFF_INSTANCE | IORDREQ.REG | IORDREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IORDREQ.D | 8516 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F +NODE | IORDREQ.D | 9803 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IORDREQ.Q | 8519 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q +NODE | IORDREQ.Q | 9806 | ? | 0 | 0 | IORDREQ | NULL | NULL | IORDREQ.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873536 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | IOWRREQ | WarpSE_COPY_0_COPY_0 | 2155873280 | 14 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_FSB_OBUF.EXP | 8745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1.EXP | 8746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 9 | 2 +SIGNAL_INSTANCE | IOWRREQ.SI | IOWRREQ | 0 | 13 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOACTr | 8090 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM +NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | iobs/IOACTr | 9334 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOACTr.Q | iobs/IOACTr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_FSB_OBUF.EXP | 8745 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.EXP | nVPA_FSB_OBUF | 4 | 0 | MC_EXPORT +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1.EXP | 8746 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.EXP | iobs/Load1 | 4 | 0 | MC_EXPORT +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRAMUWE_OBUF.EXP | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP16_.EXP | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | IOWRREQ.D1 | 8521 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | IOWRREQ.D1 | 9808 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | IOWRREQ.D2 | 8522 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nVPA_FSB_OBUF.EXP -SPPTERM | 1 | IV_TRUE | iobs/Load1.EXP -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/IOACTr -SPPTERM | 2 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | IOWRREQ -SPPTERM | 3 | IV_TRUE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SPPTERM | 3 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SIGNAL | NODE | IOWRREQ.D2 | 9809 | ? | 0 | 4096 | IOWRREQ | NULL | NULL | IOWRREQ.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRAMUWE_OBUF.EXP +SPPTERM | 1 | IV_TRUE | EXP16_.EXP +SPPTERM | 3 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | IOWRREQ +SPPTERM | 3 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_FALSE | iobs/IOACTr | IV_TRUE | IOWRREQ +SPPTERM | 4 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | IOWRREQ.REG | IOWRREQ | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | IOWRREQ.D | 8520 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F +NODE | IOWRREQ.D | 9807 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | IOWRREQ.Q | 8523 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q +NODE | IOWRREQ.Q | 9810 | ? | 0 | 0 | IOWRREQ | NULL | NULL | IOWRREQ.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | RAMReady | WarpSE_COPY_0_COPY_0 | 2155873536 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | cnt/Er<0>.EXP | 8733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.EXP | cnt/Er<0> | 4 | 0 | MC_EXPORT +NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 4 | 3 +SIGNAL_INSTANCE | RAMReady.SI | RAMReady | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOPWReady | 8059 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOPWReady.Q | IOPWReady | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP10_.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<0>.D1 | 8525 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RAMReady.D1 | 9812 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<0>.D2 | 8526 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RAMReady.D2 | 9813 | ? | 0 | 4096 | RAMReady | NULL | NULL | RAMReady.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP10_.EXP +SPPTERM | 3 | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd8 | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | fsb/ASrf +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_FALSE | ram/BACTr + +SRFF_INSTANCE | RAMReady.REG | RAMReady | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RAMReady.D | 9811 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RAMReady.Q | 9814 | ? | 0 | 0 | RAMReady | NULL | NULL | RAMReady.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | cnt/Er<0>.SI | cnt/Er<0> | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<0>.D1 | 9816 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<0>.D2 | 9817 | ? | 0 | 4096 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | cnt/Er<0>.EXP | 8731 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | IOPWReady SRFF_INSTANCE | cnt/Er<0>.REG | cnt/Er<0> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<0>.D | 8524 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<0>.D | 9815 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<0>.Q | 8527 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<0>.Q | 9818 | ? | 0 | 0 | cnt/Er<0> | NULL | NULL | cnt/Er<0>.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nIPL2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nIPL2 | 8216 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nIPL2 | 9469 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nIPL2_IBUF | 8102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/nIPL2r | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 8102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/nIPL2r | 8103 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM +NODE | cnt/nIPL2r | 9350 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/nIPL2r.Q | cnt/nIPL2r | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/nIPL2r.SI | cnt/nIPL2r | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nIPL2_IBUF | 8102 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX +NODE | nIPL2_IBUF | 9349 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nIPL2_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/nIPL2r.D1 | 8529 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/nIPL2r.D1 | 9820 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/nIPL2r.D2 | 8530 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/nIPL2r.D2 | 9821 | ? | 0 | 4096 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | nIPL2_IBUF SRFF_INSTANCE | cnt/nIPL2r.REG | cnt/nIPL2r | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/nIPL2r.D | 8528 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F +NODE | cnt/nIPL2r.D | 9819 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/nIPL2r.Q | 8531 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q +NODE | cnt/nIPL2r.Q | 9822 | ? | 0 | 0 | cnt/nIPL2r | NULL | NULL | cnt/nIPL2r.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 9 | 1 +MACROCELL_INSTANCE | PrldLow+Tff+OptxMapped | iobm/DoutOE | WarpSE_COPY_0_COPY_0 | 2155877376 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobm/DoutOE.EXP | 10046 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.EXP | iobm/DoutOE | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 8 | 2 +SIGNAL_INSTANCE | iobm/DoutOE.SI | iobm/DoutOE | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOL0 | 9336 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/DoutOE.D1 | 8533 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/DoutOE.D1 | 9824 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/DoutOE.D2 | 8534 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/DoutOE.D2 | 9825 | ? | 0 | 4096 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_FALSE | iobm/DoutOE | IV_TRUE | iobm/IOWRREQr SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | iobm/C8Mr | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE SPPTERM | 6 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 | IV_FALSE | iobm/IOS_FSM_FFd5 | IV_FALSE | iobm/IOS_FSM_FFd6 | IV_TRUE | iobm/DoutOE | IV_FALSE | iobm/IOWRREQr +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobm/DoutOE.EXP | 10043 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/C8Mr | IV_TRUE | IOL0 | IV_TRUE | iobm/IORDREQr SRFF_INSTANCE | iobm/DoutOE.REG | iobm/DoutOE | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/DoutOE.D | 8532 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F +NODE | iobm/DoutOE.D | 9823 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/DoutOE.Q | 8535 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q +NODE | iobm/DoutOE.Q | 9826 | ? | 0 | 0 | iobm/DoutOE | NULL | NULL | iobm/DoutOE.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 13 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/Er | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 8062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/Er | 8105 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/Er.EXP | 8727 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.EXP | iobm/Er | 4 | 0 | MC_EXPORT +NODE | iobm/Er | 9352 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/Er.Q | iobm/Er | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 12 | 3 +SIGNAL_INSTANCE | iobm/Er.SI | iobm/Er | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | E_IBUF | 8100 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/IOL1 | 8062 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IOL1.Q | iobs/IOL1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOL0 | 8092 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOL0.Q | IOL0 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | E_IBUF | 9347 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | E_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/Er.D1 | 8537 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/Er.D1 | 9828 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/Er.D2 | 8538 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/Er.D2 | 9829 | ? | 0 | 4096 | iobm/Er | NULL | NULL | iobm/Er.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | E_IBUF -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/Er.EXP | 8723 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | iobs/IOL1 | IV_TRUE | IOL0 | IV_FALSE | ALE1 -SPPTERM | 3 | IV_FALSE | iobs/IOL1 | IV_FALSE | IOL0 | IV_FALSE | ALE1 -SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 -SPPTERM | 7 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | iobm/Er.REG | iobm/Er | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/Er.D | 8536 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F +NODE | iobm/Er.D | 9827 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/Er.Q | 8539 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q +NODE | iobm/Er.Q | 9830 | ? | 0 | 0 | iobm/Er | NULL | NULL | iobm/Er.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOS0 | WarpSE_COPY_0_COPY_0 | 2155873280 | 13 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/IOS0.SI | iobm/IOS0 | 0 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/C8Mr | 8076 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM +NODE | iobm/C8Mr | 9319 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/C8Mr.Q | iobm/C8Mr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd5 | 8080 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd5 | 9324 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd5.Q | iobm/IOS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd6 | 8081 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd6 | 9325 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd6.Q | iobm/IOS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOS0.D1 | 8541 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOS0.D1 | 9832 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOS0.D2 | 8542 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOS0.D2 | 9833 | ? | 0 | 4096 | iobm/IOS0 | NULL | NULL | iobm/IOS0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobm/IOS_FSM_FFd1 SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/C8Mr SPPTERM | 2 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | AoutOE @@ -4163,1842 +4892,2856 @@ SPPTERM | 7 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_F SRFF_INSTANCE | iobm/IOS0.REG | iobm/IOS0 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOS0.D | 8540 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F +NODE | iobm/IOS0.D | 9831 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOS0.Q | 8543 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOS0.Q | 9834 | ? | 0 | 0 | iobm/IOS0 | NULL | NULL | iobm/IOS0.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 2 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobm/IOWRREQr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM +NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | iobm/IOWRREQr.EXP | 8756 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.EXP | iobm/IOWRREQr | 4 | 0 | MC_EXPORT +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 10 | 3 +SIGNAL_INSTANCE | iobm/IOWRREQr.SI | iobm/IOWRREQr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOWRREQ | 8099 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOBERR | 8032 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd7 | 8074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IOACT | 8087 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd1 | 8088 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd2 | 8089 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | IOWRREQ | 9345 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOWRREQ.Q | IOWRREQ | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/IOWRREQr.D1 | 8545 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/IOWRREQr.D1 | 9836 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/IOWRREQr.D2 | 8546 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/IOWRREQr.D2 | 9837 | ? | 0 | 4096 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IOWRREQ -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | iobm/IOWRREQr.EXP | 8755 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 -SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE -SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | iobm/IOWRREQr.REG | iobm/IOWRREQr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/IOWRREQr.D | 8544 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F +NODE | iobm/IOWRREQr.D | 9835 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK | 8016 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK +NODE | C16M_IBUF/FCLK | 9253 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/IOWRREQr.Q | 8547 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q +NODE | iobm/IOWRREQr.Q | 9838 | ? | 0 | 0 | iobm/IOWRREQr | NULL | NULL | iobm/IOWRREQr.REG | 0 | 8 | SRFF_Q INPUT_INSTANCE | 0 | 0 | NULL | nVPA_IOB_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | nVPA_IOB | 8217 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +NODE | nVPA_IOB | 9470 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | nVPA_IOB_IBUF | 8108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | iobm/VPAr | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 8108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobm/VPAr | 8109 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM +NODE | iobm/VPAr | 9356 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/VPAr.Q | iobm/VPAr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | iobm/VPAr.SI | iobm/VPAr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nVPA_IOB_IBUF | 8108 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX +NODE | nVPA_IOB_IBUF | 9355 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_IOB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobm/VPAr.D1 | 8549 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobm/VPAr.D1 | 9840 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobm/VPAr.D2 | 8550 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobm/VPAr.D2 | 9841 | ? | 0 | 4096 | iobm/VPAr | NULL | NULL | iobm/VPAr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nVPA_IOB_IBUF SRFF_INSTANCE | iobm/VPAr.REG | iobm/VPAr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobm/VPAr.D | 8548 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F +NODE | iobm/VPAr.D | 9839 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C8M_IBUF/FCLK- | 8012 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV +NODE | C8M_IBUF/FCLK- | 9249 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C8M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobm/VPAr.Q | 8551 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q +NODE | iobm/VPAr.Q | 9842 | ? | 0 | 0 | iobm/VPAr | NULL | NULL | iobm/VPAr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/Clear1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 19 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | iobs/Clear1.EXP | 10075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.EXP | iobs/Clear1 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 2 | 2 +SIGNAL_INSTANCE | iobs/Clear1.SI | iobs/Clear1 | 0 | 18 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IODONEr | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/Clear1.D1 | 8553 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/Clear1.D1 | 9844 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/Clear1.D2 | 8554 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/Clear1.D2 | 9845 | ? | 0 | 4096 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobs/TS_FSM_FFd1 | IV_TRUE | iobs/TS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | iobs/Clear1.EXP | 10061 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_FALSE | IONPReady +SPPTERM | 2 | IV_FALSE | IONPReady | IV_FALSE | iobs/IODONEr +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SPPTERM | 12 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | IONPReady | IV_TRUE | A_FSB_13_IBUF SRFF_INSTANCE | iobs/Clear1.REG | iobs/Clear1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/Clear1.D | 8552 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F +NODE | iobs/Clear1.D | 9843 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/Clear1.Q | 8555 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q +NODE | iobs/Clear1.Q | 9846 | ? | 0 | 0 | iobs/Clear1 | NULL | NULL | iobs/Clear1.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd2 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd2.SI | ram/RS_FSM_FFd2 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 8557 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd2.D1 | 9848 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 8558 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd2.D2 | 9849 | ? | 0 | 4096 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 SRFF_INSTANCE | ram/RS_FSM_FFd2.REG | ram/RS_FSM_FFd2 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd2.D | 8556 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd2.D | 9847 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd2.Q | 8559 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd4 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 8122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd4 | 8112 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/RS_FSM_FFd4.SI | ram/RS_FSM_FFd4 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd1 | 8122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd4.D1 | 8561 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd4.D2 | 8562 | ? | 0 | 4096 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd1 - -SRFF_INSTANCE | ram/RS_FSM_FFd4.REG | ram/RS_FSM_FFd4 | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd4.D | 8560 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd4.Q | 8563 | ? | 0 | 0 | ram/RS_FSM_FFd4 | NULL | NULL | ram/RS_FSM_FFd4.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/Once | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/Once | 8113 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/Once.Q | ram/Once | 1 | 0 | MC_UIM - -SIGNAL_INSTANCE | ram/Once.SI | ram/Once | 0 | 2 | 3 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/Once.D1 | 8565 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/Once.D2 | 8566 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 10 | 9 | MC_SI_CE -SIGNAL | NODE | ram/Once.CE | 8567 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf - -SRFF_INSTANCE | ram/Once.REG | ram/Once | 0 | 3 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/Once.D | 8564 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 4 | 8 | SRFF_CE -SIGNAL | NODE | ram/Once.CE | 8567 | ? | 0 | 4096 | ram/Once | NULL | NULL | ram/Once.SI | 10 | 9 | MC_SI_CE -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/Once.Q | 8568 | ? | 0 | 0 | ram/Once | NULL | NULL | ram/Once.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd2.Q | 9850 | ? | 0 | 0 | ram/RS_FSM_FFd2 | NULL | NULL | ram/RS_FSM_FFd2.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | ALE0S | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE0S | 8114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ALE0S.SI | ALE0S | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ALE0S.D1 | 8570 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ALE0S.D1 | 9852 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ALE0S.D2 | 8571 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ALE0S.D2 | 9853 | ? | 0 | 4096 | ALE0S | NULL | NULL | ALE0S.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/TS_FSM_FFd2 SRFF_INSTANCE | ALE0S.REG | ALE0S | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ALE0S.D | 8569 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F +NODE | ALE0S.D | 9851 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ALE0S.Q | 8572 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q +NODE | ALE0S.Q | 9854 | ? | 0 | 0 | ALE0S | NULL | NULL | ALE0S.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cnt/Er<1> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cnt/Er<1> | 8115 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM +NODE | cnt/Er<1> | 9362 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<1>.Q | cnt/Er<1> | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cnt/Er<1>.SI | cnt/Er<1> | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0> | 8101 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM +NODE | cnt/Er<0> | 9348 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.Q | cnt/Er<0> | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cnt/Er<1>.D1 | 8574 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cnt/Er<1>.D1 | 9856 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cnt/Er<1>.D2 | 8575 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cnt/Er<1>.D2 | 9857 | ? | 0 | 4096 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | cnt/Er<0> SRFF_INSTANCE | cnt/Er<1>.REG | cnt/Er<1> | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cnt/Er<1>.D | 8573 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F +NODE | cnt/Er<1>.D | 9855 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cnt/Er<1>.Q | 8576 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q +NODE | cnt/Er<1>.Q | 9858 | ? | 0 | 0 | cnt/Er<1> | NULL | NULL | cnt/Er<1>.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | cs/ODCSr | WarpSE_COPY_0_COPY_0 | 2155873280 | 7 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | cs/ODCSr | 8116 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM +NODE | cs/ODCSr | 9363 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/ODCSr.Q | cs/ODCSr | 1 | 0 | MC_UIM SIGNAL_INSTANCE | cs/ODCSr.SI | cs/ODCSr | 0 | 6 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | cs/ODCSr.D1 | 8578 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | cs/ODCSr.D1 | 9860 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | cs/ODCSr.D2 | 8579 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | cs/ODCSr.D2 | 9861 | ? | 0 | 4096 | cs/ODCSr | NULL | NULL | cs/ODCSr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | nAS_FSB_IBUF SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | fsb/ASrf SRFF_INSTANCE | cs/ODCSr.REG | cs/ODCSr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | cs/ODCSr.D | 8577 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F +NODE | cs/ODCSr.D | 9859 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | cs/ODCSr.Q | 8580 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q +NODE | cs/ODCSr.Q | 9862 | ? | 0 | 0 | cs/ODCSr | NULL | NULL | cs/ODCSr.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | fsb/ASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM SIGNAL_INSTANCE | fsb/ASrf.SI | fsb/ASrf | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | fsb/ASrf.D1 | 8582 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | fsb/ASrf.D1 | 9864 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | fsb/ASrf.D2 | 8583 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | fsb/ASrf.D2 | 9865 | ? | 0 | 4096 | fsb/ASrf | NULL | NULL | fsb/ASrf.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | fsb/ASrf.REG | fsb/ASrf | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | fsb/ASrf.D | 8581 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F +NODE | fsb/ASrf.D | 9863 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | fsb/ASrf.Q | 8584 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q +NODE | fsb/ASrf.Q | 9866 | ? | 0 | 0 | fsb/ASrf | NULL | NULL | fsb/ASrf.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr<0> | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | iobs/IODONEr | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | iobs/IODONEr<0> | 8118 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr<0>.Q | iobs/IODONEr<0> | 1 | 0 | MC_UIM +NODE | iobs/IODONEr | 9365 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IODONEr.Q | iobs/IODONEr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | iobs/IODONEr<0>.SI | iobs/IODONEr<0> | 0 | 1 | 2 +SIGNAL_INSTANCE | iobs/IODONEr.SI | iobs/IODONEr | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IODONE | 8035 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | iobs/IODONEr<0>.D1 | 8586 | ? | 0 | 4096 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | iobs/IODONEr.D1 | 9868 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | iobs/IODONEr<0>.D2 | 8587 | ? | 0 | 4096 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | iobs/IODONEr.D2 | 9869 | ? | 0 | 4096 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | IODONE -SRFF_INSTANCE | iobs/IODONEr<0>.REG | iobs/IODONEr<0> | 0 | 2 | 1 +SRFF_INSTANCE | iobs/IODONEr.REG | iobs/IODONEr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | iobs/IODONEr<0>.D | 8585 | ? | 0 | 0 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.XOR | 0 | 7 | ALU_F +NODE | iobs/IODONEr.D | 9867 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | iobs/IODONEr<0>.Q | 8588 | ? | 0 | 0 | iobs/IODONEr<0> | NULL | NULL | iobs/IODONEr<0>.REG | 0 | 8 | SRFF_Q +NODE | iobs/IODONEr.Q | 9870 | ? | 0 | 0 | iobs/IODONEr | NULL | NULL | iobs/IODONEr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 3 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | nRESout | WarpSE_COPY_0_COPY_0 | 2155873280 | 5 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | nRESout | 8119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRESout.EXP | 10019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 2 | 2 +SIGNAL_INSTANCE | nRESout.SI | nRESout | 0 | 4 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd1 | 9328 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | cnt/INITS_FSM_FFd2 | 9329 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF | 9312 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRESout.D1 | 8590 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRESout.D1 | 9872 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRESout.D2 | 8591 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRESout.D2 | 9873 | ? | 0 | 4096 | nRESout | NULL | NULL | nRESout.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRESout.EXP | 10009 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 +SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE SRFF_INSTANCE | nRESout.REG | nRESout | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRESout.D | 8589 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F +NODE | nRESout.D | 9871 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRESout.Q | 8592 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q +NODE | nRESout.Q | 9874 | ? | 0 | 0 | nRESout | NULL | NULL | nRESout.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 11 | 2 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped | ram/BACTr | WarpSE_COPY_0_COPY_0 | 2155873536 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 8744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | ram/BACTr.EXP | 8743 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.EXP | ram/BACTr | 4 | 0 | MC_EXPORT +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 10 | 3 +SIGNAL_INSTANCE | ram/BACTr.SI | ram/BACTr | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Sent | 8030 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd2 | 8073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/TS_FSM_FFd1 | 8072 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAoutOE_OBUF.EXP | 8744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/BACTr.D1 | 8594 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/BACTr.D1 | 9876 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/BACTr.D2 | 8595 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | nAoutOE_OBUF.EXP -OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | ram/BACTr.EXP | 8735 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | iobs/TS_FSM_FFd2 -SPPTERM | 3 | IV_FALSE | iobs/Sent | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd1 -SPPTERM | 3 | IV_FALSE | iobs/Sent | IV_TRUE | nWE_FSB_IBUF | IV_TRUE | iobs/TS_FSM_FFd2 -SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay +SIGNAL | NODE | ram/BACTr.D2 | 9877 | ? | 0 | 4096 | ram/BACTr | NULL | NULL | ram/BACTr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SRFF_INSTANCE | ram/BACTr.REG | ram/BACTr | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/BACTr.D | 8593 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F +NODE | ram/BACTr.D | 9875 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/BACTr.Q | 8596 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q +NODE | ram/BACTr.Q | 9878 | ? | 0 | 0 | ram/BACTr | NULL | NULL | ram/BACTr.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/CAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 10 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/CAS | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | EXP12_.EXP | 10036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone.EXP | 10042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/CAS | 8121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM -SIGNAL_INSTANCE | ram/CAS.SI | ram/CAS | 0 | 9 | 2 +SIGNAL_INSTANCE | ram/CAS.SI | ram/CAS | 0 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefUrg | 8029 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RefDone | 8065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd8 | 8086 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd5 | 9341 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd5.Q | ram/RS_FSM_FFd5 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | RefReq | 8040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/BACTr | 8120 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP12_.EXP | 10036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone.EXP | 10042 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.EXP | ram/RefDone | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/CAS.D1 | 8598 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/CAS.D1 | 9880 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/CAS.D2 | 8599 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/CAS.D2 | 9881 | ? | 0 | 4096 | ram/CAS | NULL | NULL | ram/CAS.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 1 | IV_TRUE | EXP12_.EXP +SPPTERM | 1 | IV_TRUE | ram/RefDone.EXP +SPPTERM | 3 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd5 SPPTERM | 4 | IV_TRUE | RefUrg | IV_FALSE | ram/RAMEN | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr -SPPTERM | 5 | IV_TRUE | RefReq | IV_FALSE | ram/RefDone | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr SRFF_INSTANCE | ram/CAS.REG | ram/CAS | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/CAS.D | 8597 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F +NODE | ram/CAS.D | 9879 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/CAS.Q | 8600 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q +NODE | ram/CAS.Q | 9882 | ? | 0 | 0 | ram/CAS | NULL | NULL | ram/CAS.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | ram/RASrf | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASrf | 9369 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrf.Q | ram/RASrf | 1 | 0 | MC_UIM + +SIGNAL_INSTANCE | ram/RASrf.SI | ram/RASrf | 0 | 1 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd7 | 9330 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd7.Q | ram/RS_FSM_FFd7 | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrf.D1 | 9884 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrf.D2 | 9885 | ? | 0 | 4096 | ram/RASrf | NULL | NULL | ram/RASrf.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd7 + +SRFF_INSTANCE | ram/RASrf.REG | ram/RASrf | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASrf.D | 9883 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASrf.Q | 9886 | ? | 0 | 0 | ram/RASrf | NULL | NULL | ram/RASrf.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RASrr | WarpSE_COPY_0_COPY_0 | 2155873280 | 12 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM +NODE | ram/RASrr | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | ram/RASrr.EXP | 10038 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.EXP | ram/RASrr | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | ram/RASrr.SI | ram/RASrr | 0 | 11 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd3 | 9339 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nCAS_OBUF.EXP | 10039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RASrr.D1 | 9888 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RASrr.D2 | 9889 | ? | 0 | 4096 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd3 +SPPTERM | 1 | IV_TRUE | nCAS_OBUF.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | ram/RASrr.EXP | 10031 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr + +SRFF_INSTANCE | ram/RASrr.REG | ram/RASrr | 0 | 2 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | ram/RASrr.D | 9887 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.XOR | 0 | 7 | ALU_F +INPUT_NODE_TYPE | 1 | 8 | SRFF_C +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | ram/RASrr.Q | 9890 | ? | 0 | 0 | ram/RASrr | NULL | NULL | ram/RASrr.REG | 0 | 8 | SRFF_Q + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_1_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<1> | 9473 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<9> | 9474 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_9_IBUF | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_7_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<7> | 9475 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_2_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<2> | 9476 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_2_IBUF | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<10> | 9477 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_10_IBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_3_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<3> | 9478 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_3_IBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<11> | 9479 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_11_IBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_4_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<4> | 9480 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_4_IBUF | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<12> | 9481 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_12_IBUF | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_5_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<5> | 9482 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_5_IBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_6_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<6> | 9483 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_6_IBUF | 9381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_8_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<8> | 9484 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_8_IBUF | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX + +INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 +INPUT_NODE_TYPE | 0 | 5 | II_IN +NODE | A_FSB<15> | 9485 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE +OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX +NODE | A_FSB_15_IBUF | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX MACROCELL_INSTANCE | PrldLow+OptxMapped | ram/RS_FSM_FFd1 | WarpSE_COPY_0_COPY_0 | 2155873280 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ram/RS_FSM_FFd1 | 8122 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd1 | 9384 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd1.Q | ram/RS_FSM_FFd1 | 1 | 0 | MC_UIM SIGNAL_INSTANCE | ram/RS_FSM_FFd1.SI | ram/RS_FSM_FFd1 | 0 | 1 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd2 | 8111 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | ram/RS_FSM_FFd2 | 9358 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd2.Q | ram/RS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 8602 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | ram/RS_FSM_FFd1.D1 | 9892 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 8603 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | ram/RS_FSM_FFd1.D2 | 9893 | ? | 0 | 4096 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd2 SRFF_INSTANCE | ram/RS_FSM_FFd1.REG | ram/RS_FSM_FFd1 | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | ram/RS_FSM_FFd1.D | 8601 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F +NODE | ram/RS_FSM_FFd1.D | 9891 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | ram/RS_FSM_FFd1.Q | 8604 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q +NODE | ram/RS_FSM_FFd1.Q | 9894 | ? | 0 | 0 | ram/RS_FSM_FFd1 | NULL | NULL | ram/RS_FSM_FFd1.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nOE_OBUF | 8123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +NODE | RA_0_OBUF | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q -SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 2 | 2 +SIGNAL_INSTANCE | RA_0_OBUF.SI | RA_0_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_1_IBUF | 9371 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_1_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_9_IBUF | 9372 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nOE_OBUF.D1 | 8606 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_0_OBUF.D1 | 9896 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nOE_OBUF.D2 | 8607 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_0_OBUF.D2 | 9897 | ? | 0 | 4096 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_1_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_9_IBUF + +SRFF_INSTANCE | RA_0_OBUF.REG | RA_0_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_0_OBUF.D | 9895 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_0_OBUF.Q | 9898 | ? | 0 | 0 | RA_0_OBUF | NULL | NULL | RA_0_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_10_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_10_OBUF | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_10_OBUF.SI | RA_10_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_10_OBUF.D1 | 9900 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_10_OBUF.D2 | 9901 | ? | 0 | 4096 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_17_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF + +SRFF_INSTANCE | RA_10_OBUF.REG | RA_10_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_10_OBUF.D | 9899 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_10_OBUF.Q | 9902 | ? | 0 | 0 | RA_10_OBUF | NULL | NULL | RA_10_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_1_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_1_OBUF | 9387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_1_OBUF.SI | RA_1_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_10_IBUF | 9375 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_2_IBUF | 9374 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_2_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_1_OBUF.D1 | 9904 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_1_OBUF.D2 | 9905 | ? | 0 | 4096 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_2_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_10_IBUF + +SRFF_INSTANCE | RA_1_OBUF.REG | RA_1_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_1_OBUF.D | 9903 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_1_OBUF.Q | 9906 | ? | 0 | 0 | RA_1_OBUF | NULL | NULL | RA_1_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_2_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_2_OBUF | 9388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_2_OBUF.SI | RA_2_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_7_IBUF | 9373 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_7_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_2_OBUF.D1 | 9908 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_2_OBUF.D2 | 9909 | ? | 0 | 4096 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | ram/RASEL +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_7_IBUF + +SRFF_INSTANCE | RA_2_OBUF.REG | RA_2_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_2_OBUF.D | 9907 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_2_OBUF.Q | 9910 | ? | 0 | 0 | RA_2_OBUF | NULL | NULL | RA_2_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_4_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_4_OBUF | 9389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_4_OBUF.SI | RA_4_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_11_IBUF | 9377 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_3_IBUF | 9376 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_3_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_4_OBUF.D1 | 9912 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_4_OBUF.D2 | 9913 | ? | 0 | 4096 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_3_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_11_IBUF + +SRFF_INSTANCE | RA_4_OBUF.REG | RA_4_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_4_OBUF.D | 9911 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_4_OBUF.Q | 9914 | ? | 0 | 0 | RA_4_OBUF | NULL | NULL | RA_4_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_5_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_5_OBUF | 9390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_5_OBUF.SI | RA_5_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_12_IBUF | 9379 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_4_IBUF | 9378 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_4_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_5_OBUF.D1 | 9916 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_5_OBUF.D2 | 9917 | ? | 0 | 4096 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_4_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_12_IBUF + +SRFF_INSTANCE | RA_5_OBUF.REG | RA_5_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_5_OBUF.D | 9915 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_5_OBUF.Q | 9918 | ? | 0 | 0 | RA_5_OBUF | NULL | NULL | RA_5_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_6_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_6_OBUF | 9391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_6_OBUF.SI | RA_6_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_5_IBUF | 9380 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_5_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_6_OBUF.D1 | 9920 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_6_OBUF.D2 | 9921 | ? | 0 | 4096 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_5_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_13_IBUF + +SRFF_INSTANCE | RA_6_OBUF.REG | RA_6_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_6_OBUF.D | 9919 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_6_OBUF.Q | 9922 | ? | 0 | 0 | RA_6_OBUF | NULL | NULL | RA_6_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_7_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_7_OBUF | 9392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_7_OBUF.SI | RA_7_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_6_IBUF | 9381 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_6_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_7_OBUF.D1 | 9924 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_7_OBUF.D2 | 9925 | ? | 0 | 4096 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_6_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_14_IBUF + +SRFF_INSTANCE | RA_7_OBUF.REG | RA_7_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_7_OBUF.D | 9923 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_7_OBUF.Q | 9926 | ? | 0 | 0 | RA_7_OBUF | NULL | NULL | RA_7_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_8_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_8_OBUF | 9393 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_8_OBUF.SI | RA_8_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_8_OBUF.D1 | 9928 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_8_OBUF.D2 | 9929 | ? | 0 | 4096 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_18_IBUF | IV_FALSE | ram/RASEL + +SRFF_INSTANCE | RA_8_OBUF.REG | RA_8_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_8_OBUF.D | 9927 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_8_OBUF.Q | 9930 | ? | 0 | 0 | RA_8_OBUF | NULL | NULL | RA_8_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | OptxMapped | RA_9_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | RA_9_OBUF | 9394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q + +SIGNAL_INSTANCE | RA_9_OBUF.SI | RA_9_OBUF | 0 | 3 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_15_IBUF | 9383 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_8_IBUF | 9382 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_8_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_9_OBUF.D1 | 9932 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | RA_9_OBUF.D2 | 9933 | ? | 0 | 4096 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | ram/RASEL | IV_TRUE | A_FSB_8_IBUF +SPPTERM | 2 | IV_FALSE | ram/RASEL | IV_TRUE | A_FSB_15_IBUF + +SRFF_INSTANCE | RA_9_OBUF.REG | RA_9_OBUF | 0 | 1 | 1 +INPUT_NODE_TYPE | 0 | 8 | SRFF_D +NODE | RA_9_OBUF.D | 9931 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.XOR | 0 | 7 | ALU_F +OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q +NODE | RA_9_OBUF.Q | 9934 | ? | 0 | 0 | RA_9_OBUF | NULL | NULL | RA_9_OBUF.REG | 0 | 8 | SRFF_Q + +MACROCELL_INSTANCE | Inv+OptxMapped | nOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 0 | 0 | MC_Q +NODE | nOE_OBUF | 9395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nOE_OBUF.EXP | 10040 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.EXP | nOE_OBUF | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | nOE_OBUF.SI | nOE_OBUF | 0 | 10 | 3 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nOE_OBUF.D1 | 9936 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SPPTERM | 0 | IV_ZERO +OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nOE_OBUF.D2 | 9937 | ? | 0 | 4096 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nOE_OBUF.EXP | 10033 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr SRFF_INSTANCE | nOE_OBUF.REG | nOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nOE_OBUF.D | 8605 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nOE_OBUF.D | 9935 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nOE_OBUF.Q | 8608 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nOE_OBUF.Q | 9938 | ? | 0 | 0 | nOE_OBUF | NULL | NULL | nOE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+OptxMapped | nROMWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMWE_OBUF | 8124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +NODE | nROMWE_OBUF | 9396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nROMWE_OBUF.SI | nROMWE_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMWE_OBUF.D1 | 8610 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMWE_OBUF.D1 | 9940 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMWE_OBUF.D2 | 8611 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMWE_OBUF.D2 | 9941 | ? | 0 | 4096 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nROMWE_OBUF.REG | nROMWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMWE_OBUF.D | 8609 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMWE_OBUF.D | 9939 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMWE_OBUF.Q | 8612 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMWE_OBUF.Q | 9942 | ? | 0 | 0 | nROMWE_OBUF | NULL | NULL | nROMWE_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | nADoutLE0_OBUF | WarpSE_COPY_0_COPY_0 | 2155872256 | 2 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 8114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE0_OBUF | 8125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE0_OBUF | 9397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nADoutLE0_OBUF.SI | nADoutLE0_OBUF | 0 | 2 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0M | 8097 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM +NODE | ALE0M | 9343 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0M.Q | ALE0M | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE0S | 8114 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM +NODE | ALE0S | 9361 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ALE0S.Q | ALE0S | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE0_OBUF.D1 | 8614 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE0_OBUF.D1 | 9944 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE0_OBUF.D2 | 8615 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE0_OBUF.D2 | 9945 | ? | 0 | 4096 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | ALE0M | IV_FALSE | ALE0S SRFF_INSTANCE | nADoutLE0_OBUF.REG | nADoutLE0_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE0_OBUF.D | 8613 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE0_OBUF.D | 9943 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE0_OBUF.Q | 8616 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE0_OBUF.Q | 9946 | ? | 0 | 0 | nADoutLE0_OBUF | NULL | NULL | nADoutLE0_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 2 | 1 +MACROCELL_INSTANCE | PrldLow+OptxMapped+Ce | nCAS_OBUF | WarpSE_COPY_0_COPY_0 | 2424308736 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 8121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nCAS_OBUF | 8126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +NODE | nCAS_OBUF | 9398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nCAS_OBUF.EXP | 10039 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.EXP | nCAS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 1 | 2 +SIGNAL_INSTANCE | nCAS_OBUF.SI | nCAS_OBUF | 0 | 9 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/CAS | 8121 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +NODE | ram/CAS | 9368 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/CAS.Q | ram/CAS | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd6 | 9342 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nCAS_OBUF.D1 | 8618 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nCAS_OBUF.D1 | 9948 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nCAS_OBUF.D2 | 8619 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nCAS_OBUF.D2 | 9949 | ? | 0 | 4096 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_FALSE | ram/CAS +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nCAS_OBUF.EXP | 10032 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RS_FSM_FFd6 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf SRFF_INSTANCE | nCAS_OBUF.REG | nCAS_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nCAS_OBUF.D | 8617 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nCAS_OBUF.D | 9947 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK- | 8028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV +NODE | FCLK_IBUF/FCLK- | 9267 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nCAS_OBUF.Q | 8620 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nCAS_OBUF.Q | 9950 | ? | 0 | 0 | nCAS_OBUF | NULL | NULL | nCAS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | PrldLow+OptxMapped | nDTACK_FSB_OBUF | WarpSE_COPY_0_COPY_0 | 2155873280 | 11 | 1 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0>.EXP | 8733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.EXP | cnt/Er<0> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<5>.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.EXP | cnt/LTimer<5> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC.EXP | 8734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.EXP | cnt/TimerTC | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<4>.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.EXP | cnt/LTimer<4> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDTACK_FSB_OBUF | 8127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +NODE | nDTACK_FSB_OBUF | 9399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | nDTACK_FSB_OBUF.SI | nDTACK_FSB_OBUF | 0 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | IONPReady | 9298 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | IONPReady | 8058 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IONPReady.Q | IONPReady | 1 | 0 | MC_UIM +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/Er<0>.EXP | 8733 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/Er<0>.EXP | cnt/Er<0> | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<5>.EXP | 10007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<5>.EXP | cnt/LTimer<5> | 4 | 0 | MC_EXPORT INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/TimerTC.EXP | 8734 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/TimerTC.EXP | cnt/TimerTC | 4 | 0 | MC_EXPORT +NODE | cnt/LTimer<4>.EXP | 10008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/LTimer<4>.EXP | cnt/LTimer<4> | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 8622 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDTACK_FSB_OBUF.D1 | 9952 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 8623 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | cnt/Er<0>.EXP -SPPTERM | 1 | IV_TRUE | cnt/TimerTC.EXP +SIGNAL | NODE | nDTACK_FSB_OBUF.D2 | 9953 | ? | 0 | 4096 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | cnt/LTimer<5>.EXP +SPPTERM | 1 | IV_TRUE | cnt/LTimer<4>.EXP SPPTERM | 2 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | IONPReady SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | IONPReady SPPTERM | 3 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | IONPReady -SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | IONPReady +SPPTERM | 3 | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | IONPReady | IV_FALSE | RAMReady SRFF_INSTANCE | nDTACK_FSB_OBUF.REG | nDTACK_FSB_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDTACK_FSB_OBUF.D | 8621 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDTACK_FSB_OBUF.D | 9951 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDTACK_FSB_OBUF.Q | 8624 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDTACK_FSB_OBUF.Q | 9954 | ? | 0 | 0 | nDTACK_FSB_OBUF | NULL | NULL | nDTACK_FSB_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 3 | 1 +MACROCELL_INSTANCE | Inv+PrldLow+OptxMapped+Ce | nDinLE_OBUF | WarpSE_COPY_0_COPY_0 | 2424308992 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinLE_OBUF | 8128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +NODE | nDinLE_OBUF | 9400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinLE_OBUF.EXP | 10048 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.EXP | nDinLE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 2 | 2 +SIGNAL_INSTANCE | nDinLE_OBUF.SI | nDinLE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd3 | 8075 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd3 | 9318 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd3.Q | iobm/IOS_FSM_FFd3 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS_FSM_FFd4 | 8078 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +NODE | iobm/IOS_FSM_FFd4 | 9322 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd4.Q | iobm/IOS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IODONE | 9275 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IODONE.Q | IODONE | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd7 | 9317 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd7.Q | iobm/IOS_FSM_FFd7 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOACT | 9331 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOACT.Q | IOACT | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd1 | 9332 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd1.Q | iobm/IOS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS_FSM_FFd2 | 9333 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS_FSM_FFd2.Q | iobm/IOS_FSM_FFd2 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinLE_OBUF.D1 | 8626 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinLE_OBUF.D1 | 9956 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinLE_OBUF.D2 | 8627 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinLE_OBUF.D2 | 9957 | ? | 0 | 4096 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 2 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_FALSE | iobm/IOS_FSM_FFd4 +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinLE_OBUF.EXP | 10045 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | IOBERR | IV_FALSE | IODONE | IV_TRUE | iobm/IOS_FSM_FFd3 +SPPTERM | 3 | IV_TRUE | iobm/IOS_FSM_FFd7 | IV_TRUE | iobm/IOWRREQr | IV_FALSE | AoutOE +SPPTERM | 5 | IV_FALSE | iobm/IOS_FSM_FFd7 | IV_FALSE | iobm/IOS_FSM_FFd3 | IV_TRUE | IOACT | IV_FALSE | iobm/IOS_FSM_FFd1 | IV_FALSE | iobm/IOS_FSM_FFd2 SRFF_INSTANCE | nDinLE_OBUF.REG | nDinLE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinLE_OBUF.D | 8625 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinLE_OBUF.D | 9955 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | C16M_IBUF/FCLK- | 8017 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV +NODE | C16M_IBUF/FCLK- | 9254 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C16M_IBUF | 7 | 5 | II_FCLKINV OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinLE_OBUF.Q | 8628 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinLE_OBUF.Q | 9958 | ? | 0 | 0 | nDinLE_OBUF | NULL | NULL | nDinLE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | C20MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155923456 | 1 | 2 +MACROCELL_INSTANCE | FbkInv+PinTrst+Merge+OptxMapped | C20MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155923456 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 8119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C20MEN_OBUF$Q | 8129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q +NODE | C20MEN_OBUF$Q | 9401 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | C20MEN_OBUF.EXP | 10024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.EXP | C20MEN_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 2 | 0 | MC_OE -NODE | C20MEN_OBUF$OE | 8130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE +NODE | C20MEN_OBUF$OE | 9402 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE -SIGNAL_INSTANCE | C20MEN_OBUF.SI | C20MEN_OBUF | 0 | 1 | 3 +SIGNAL_INSTANCE | C20MEN_OBUF.SI | C20MEN_OBUF | 0 | 11 | 4 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nRESout | 8119 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +NODE | nRESout | 9366 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.Q | nRESout | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C20MEN_OBUF.D1 | 8630 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C20MEN_OBUF.D1 | 9960 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C20MEN_OBUF.D2 | 8631 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C20MEN_OBUF.D2 | 9961 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 4 | 9 | MC_SI_TRST -SIGNAL | NODE | C20MEN_OBUF.TRST | 8633 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | C20MEN_OBUF.TRST | 9963 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | C20MEN_OBUF.EXP | 10014 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 3 | IV_FALSE | iobs/IORW1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | ALE1 +SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 4 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 SRFF_INSTANCE | C20MEN_OBUF.REG | C20MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C20MEN_OBUF.D | 8629 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C20MEN_OBUF.D | 9959 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C20MEN_OBUF.Q | 8634 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C20MEN_OBUF.Q | 9964 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.REG | 0 | 8 | SRFF_Q BUF_INSTANCE | C20MEN_OBUF.BUFOE | C20MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 10 | CTOR_UNKNOWN -SIGNAL | NODE | C20MEN_OBUF.TRST | 8633 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST +SIGNAL | NODE | C20MEN_OBUF.TRST | 9963 | ? | 0 | 4096 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.SI | 4 | 9 | MC_SI_TRST SPPTERM | 1 | IV_FALSE | nRESout OUTPUT_NODE_TYPE | 0 | 10 | BUF_OUT -NODE | C20MEN_OBUF.BUFOE.OUT | 8632 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.BUFOE | 0 | 10 | BUF_OUT +NODE | C20MEN_OBUF.BUFOE.OUT | 9962 | ? | 0 | 0 | C20MEN_OBUF | NULL | NULL | C20MEN_OBUF.BUFOE | 0 | 10 | BUF_OUT -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_10_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<10> | 8218 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_10_IBUF | 8131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_10_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 +MACROCELL_INSTANCE | OptxMapped | RA_11_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 11 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 8131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_10_IBUF$BUF0 | 8132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_10_IBUF$BUF0.Q | A_FSB_10_IBUF$BUF0 | 0 | 0 | MC_Q +NODE | RA_11_OBUF$BUF0 | 9403 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | RA_11_OBUF$BUF0.EXP | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | A_FSB_10_IBUF$BUF0.SI | A_FSB_10_IBUF$BUF0 | 0 | 1 | 2 +SIGNAL_INSTANCE | RA_11_OBUF$BUF0.SI | RA_11_OBUF$BUF0 | 0 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_10_IBUF | 8131 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_10_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASEL | 9314 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASEL.Q | ram/RASEL | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_10_IBUF$BUF0.D1 | 8636 | ? | 0 | 4096 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | RA_11_OBUF$BUF0.D1 | 9966 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_10_IBUF$BUF0.D2 | 8637 | ? | 0 | 4096 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_10_IBUF +SIGNAL | NODE | RA_11_OBUF$BUF0.D2 | 9967 | ? | 0 | 4096 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 2 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | ram/RASEL +SPPTERM | 2 | IV_TRUE | A_FSB_19_IBUF | IV_FALSE | ram/RASEL +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | RA_11_OBUF$BUF0.EXP | 10050 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_FALSE | A_FSB_14_IBUF | IV_FALSE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 6 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_TRUE | cs/nOverlay | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 -SRFF_INSTANCE | A_FSB_10_IBUF$BUF0.REG | A_FSB_10_IBUF$BUF0 | 0 | 1 | 1 +SRFF_INSTANCE | RA_11_OBUF$BUF0.REG | RA_11_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_10_IBUF$BUF0.D | 8635 | ? | 0 | 0 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | RA_11_OBUF$BUF0.D | 9965 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_10_IBUF$BUF0.Q | 8638 | ? | 0 | 0 | A_FSB_10_IBUF$BUF0 | NULL | NULL | A_FSB_10_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_11_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<11> | 8219 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_11_IBUF | 8133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_11_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 8133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_11_IBUF$BUF0 | 8134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_11_IBUF$BUF0.Q | A_FSB_11_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_11_IBUF$BUF0.SI | A_FSB_11_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_11_IBUF | 8133 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_11_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_11_IBUF$BUF0.D1 | 8640 | ? | 0 | 4096 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_11_IBUF$BUF0.D2 | 8641 | ? | 0 | 4096 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_11_IBUF - -SRFF_INSTANCE | A_FSB_11_IBUF$BUF0.REG | A_FSB_11_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_11_IBUF$BUF0.D | 8639 | ? | 0 | 0 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_11_IBUF$BUF0.Q | 8642 | ? | 0 | 0 | A_FSB_11_IBUF$BUF0 | NULL | NULL | A_FSB_11_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_12_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<12> | 8220 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_12_IBUF | 8135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_12_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 8135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_12_IBUF$BUF0 | 8136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_12_IBUF$BUF0.Q | A_FSB_12_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_12_IBUF$BUF0.SI | A_FSB_12_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_12_IBUF | 8135 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_12_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_12_IBUF$BUF0.D1 | 8644 | ? | 0 | 4096 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_12_IBUF$BUF0.D2 | 8645 | ? | 0 | 4096 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_12_IBUF - -SRFF_INSTANCE | A_FSB_12_IBUF$BUF0.REG | A_FSB_12_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_12_IBUF$BUF0.D | 8643 | ? | 0 | 0 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_12_IBUF$BUF0.Q | 8646 | ? | 0 | 0 | A_FSB_12_IBUF$BUF0 | NULL | NULL | A_FSB_12_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_13_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<13> | 8221 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_13_IBUF | 8137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_13_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 8137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_13_IBUF$BUF0 | 8138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_13_IBUF$BUF0.Q | A_FSB_13_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_13_IBUF$BUF0.SI | A_FSB_13_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_13_IBUF | 8137 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_13_IBUF$BUF0.D1 | 8648 | ? | 0 | 4096 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_13_IBUF$BUF0.D2 | 8649 | ? | 0 | 4096 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_13_IBUF - -SRFF_INSTANCE | A_FSB_13_IBUF$BUF0.REG | A_FSB_13_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_13_IBUF$BUF0.D | 8647 | ? | 0 | 0 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_13_IBUF$BUF0.Q | 8650 | ? | 0 | 0 | A_FSB_13_IBUF$BUF0 | NULL | NULL | A_FSB_13_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_14_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<14> | 8222 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_14_IBUF | 8139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_14_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 8139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_14_IBUF$BUF0 | 8140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_14_IBUF$BUF0.Q | A_FSB_14_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_14_IBUF$BUF0.SI | A_FSB_14_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_14_IBUF | 8139 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_14_IBUF$BUF0.D1 | 8652 | ? | 0 | 4096 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_14_IBUF$BUF0.D2 | 8653 | ? | 0 | 4096 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_14_IBUF - -SRFF_INSTANCE | A_FSB_14_IBUF$BUF0.REG | A_FSB_14_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_14_IBUF$BUF0.D | 8651 | ? | 0 | 0 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_14_IBUF$BUF0.Q | 8654 | ? | 0 | 0 | A_FSB_14_IBUF$BUF0 | NULL | NULL | A_FSB_14_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_15_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<15> | 8223 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_15_IBUF | 8141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_15_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 8141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_15_IBUF$BUF0 | 8142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_15_IBUF$BUF0.Q | A_FSB_15_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_15_IBUF$BUF0.SI | A_FSB_15_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_15_IBUF | 8141 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_15_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_15_IBUF$BUF0.D1 | 8656 | ? | 0 | 4096 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_15_IBUF$BUF0.D2 | 8657 | ? | 0 | 4096 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_15_IBUF - -SRFF_INSTANCE | A_FSB_15_IBUF$BUF0.REG | A_FSB_15_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_15_IBUF$BUF0.D | 8655 | ? | 0 | 0 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_15_IBUF$BUF0.Q | 8658 | ? | 0 | 0 | A_FSB_15_IBUF$BUF0 | NULL | NULL | A_FSB_15_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_16_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<16> | 8224 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_16_IBUF | 8143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_16_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 8143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_16_IBUF$BUF0 | 8144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_16_IBUF$BUF0.Q | A_FSB_16_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_16_IBUF$BUF0.SI | A_FSB_16_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_16_IBUF | 8143 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_16_IBUF$BUF0.D1 | 8660 | ? | 0 | 4096 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_16_IBUF$BUF0.D2 | 8661 | ? | 0 | 4096 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_16_IBUF - -SRFF_INSTANCE | A_FSB_16_IBUF$BUF0.REG | A_FSB_16_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_16_IBUF$BUF0.D | 8659 | ? | 0 | 0 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_16_IBUF$BUF0.Q | 8662 | ? | 0 | 0 | A_FSB_16_IBUF$BUF0 | NULL | NULL | A_FSB_16_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_17_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<17> | 8225 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_17_IBUF | 8145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_17_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 8145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_17_IBUF$BUF0 | 8146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_17_IBUF$BUF0.Q | A_FSB_17_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_17_IBUF$BUF0.SI | A_FSB_17_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_17_IBUF | 8145 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_17_IBUF$BUF0.D1 | 8664 | ? | 0 | 4096 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_17_IBUF$BUF0.D2 | 8665 | ? | 0 | 4096 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_17_IBUF - -SRFF_INSTANCE | A_FSB_17_IBUF$BUF0.REG | A_FSB_17_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_17_IBUF$BUF0.D | 8663 | ? | 0 | 0 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_17_IBUF$BUF0.Q | 8666 | ? | 0 | 0 | A_FSB_17_IBUF$BUF0 | NULL | NULL | A_FSB_17_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_18_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_18_IBUF$BUF0 | 8147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_18_IBUF$BUF0.Q | A_FSB_18_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_18_IBUF$BUF0.SI | A_FSB_18_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_18_IBUF | 8009 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_18_IBUF$BUF0.D1 | 8668 | ? | 0 | 4096 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_18_IBUF$BUF0.D2 | 8669 | ? | 0 | 4096 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_18_IBUF - -SRFF_INSTANCE | A_FSB_18_IBUF$BUF0.REG | A_FSB_18_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_18_IBUF$BUF0.D | 8667 | ? | 0 | 0 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_18_IBUF$BUF0.Q | 8670 | ? | 0 | 0 | A_FSB_18_IBUF$BUF0 | NULL | NULL | A_FSB_18_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF0 | 8148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF0.SI | A_FSB_19_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D1 | 8672 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF0.D2 | 8673 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF0.REG | A_FSB_19_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF0.D | 8671 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF0.Q | 8674 | ? | 0 | 0 | A_FSB_19_IBUF$BUF0 | NULL | NULL | A_FSB_19_IBUF$BUF0.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | OptxMapped | A_FSB_19_IBUF$BUF1 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_19_IBUF$BUF1 | 8149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF1.Q | A_FSB_19_IBUF$BUF1 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_19_IBUF$BUF1.SI | A_FSB_19_IBUF$BUF1 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_19_IBUF | 8008 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_19_IBUF$BUF1.D1 | 8676 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_19_IBUF$BUF1.D2 | 8677 | ? | 0 | 4096 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_19_IBUF - -SRFF_INSTANCE | A_FSB_19_IBUF$BUF1.REG | A_FSB_19_IBUF$BUF1 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_19_IBUF$BUF1.D | 8675 | ? | 0 | 0 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_19_IBUF$BUF1.Q | 8678 | ? | 0 | 0 | A_FSB_19_IBUF$BUF1 | NULL | NULL | A_FSB_19_IBUF$BUF1.REG | 0 | 8 | SRFF_Q - -INPUT_INSTANCE | 0 | 0 | NULL | A_FSB_9_IBUF | WarpSE_COPY_0_COPY_0 | 16 | 1 | 1 -INPUT_NODE_TYPE | 0 | 5 | II_IN -NODE | A_FSB<9> | 8226 | PI | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | NULL | 0 | 100 | NOTYPE -OUTPUT_NODE_TYPE | 0 | 5 | II_IMUX -NODE | A_FSB_9_IBUF | 8150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX - -MACROCELL_INSTANCE | OptxMapped | A_FSB_9_IBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 1 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 8150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | A_FSB_9_IBUF$BUF0 | 8151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_9_IBUF$BUF0.Q | A_FSB_9_IBUF$BUF0 | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | A_FSB_9_IBUF$BUF0.SI | A_FSB_9_IBUF$BUF0 | 0 | 1 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_9_IBUF | 8150 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_9_IBUF | 0 | 5 | II_IMUX -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | A_FSB_9_IBUF$BUF0.D1 | 8680 | ? | 0 | 4096 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | A_FSB_9_IBUF$BUF0.D2 | 8681 | ? | 0 | 4096 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 1 | IV_TRUE | A_FSB_9_IBUF - -SRFF_INSTANCE | A_FSB_9_IBUF$BUF0.REG | A_FSB_9_IBUF$BUF0 | 0 | 1 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | A_FSB_9_IBUF$BUF0.D | 8679 | ? | 0 | 0 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.XOR | 0 | 7 | ALU_F -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | A_FSB_9_IBUF$BUF0.Q | 8682 | ? | 0 | 0 | A_FSB_9_IBUF$BUF0 | NULL | NULL | A_FSB_9_IBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | RA_11_OBUF$BUF0.Q | 9968 | ? | 0 | 0 | RA_11_OBUF$BUF0 | NULL | NULL | RA_11_OBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nADoutLE1_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 4 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nADoutLE1_OBUF | 8152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +NODE | nADoutLE1_OBUF | 9404 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM SIGNAL_INSTANCE | nADoutLE1_OBUF.SI | nADoutLE1_OBUF | 0 | 3 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Clear1 | 8110 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM +NODE | iobs/Clear1 | 9357 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Clear1.Q | iobs/Clear1 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ALE1 | 8153 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobs/Load1 | 8091 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM +NODE | iobs/Load1 | 9335 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Load1.Q | iobs/Load1 | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nADoutLE1_OBUF.D1 | 8684 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nADoutLE1_OBUF.D1 | 9970 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nADoutLE1_OBUF.D2 | 8685 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nADoutLE1_OBUF.D2 | 9971 | ? | 0 | 4096 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 1 | IV_TRUE | iobs/Load1 SPPTERM | 2 | IV_FALSE | iobs/Clear1 | IV_FALSE | ALE1 SRFF_INSTANCE | nADoutLE1_OBUF.REG | nADoutLE1_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nADoutLE1_OBUF.D | 8683 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F +NODE | nADoutLE1_OBUF.D | 9969 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nADoutLE1_OBUF.Q | 8686 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nADoutLE1_OBUF.Q | 9972 | ? | 0 | 0 | nADoutLE1_OBUF | NULL | NULL | nADoutLE1_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 7 | 3 +MACROCELL_INSTANCE | Inv+PrldHigh+OptxMapped | nAoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155873024 | 11 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRESout.EXP | 10019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nAoutOE_OBUF | 8154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +NODE | nAoutOE_OBUF | 9406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 1 | 0 | MC_UIM -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT -NODE | nAoutOE_OBUF.EXP | 8744 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT +NODE | nAoutOE_OBUF.EXP | 10020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.EXP | nAoutOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 6 | 3 +SIGNAL_INSTANCE | nAoutOE_OBUF.SI | nAoutOE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nBR_IOB_OBUF | 8071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd1 | 8084 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd1.Q | cnt/INITS_FSM_FFd1 | 1 | 0 | MC_UIM +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cnt/INITS_FSM_FFd2 | 8085 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cnt/INITS_FSM_FFd2.Q | cnt/INITS_FSM_FFd2 | 1 | 0 | MC_UIM +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | fsb/ASrf | 8117 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nRESout.EXP | 10019 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRESout.EXP | nRESout | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nAoutOE_OBUF.D1 | 8688 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nAoutOE_OBUF.D1 | 9974 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nAoutOE_OBUF.D2 | 8689 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 3 | IV_FALSE | nBR_IOB_OBUF | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_TRUE | cnt/INITS_FSM_FFd2 -SPPTERM | 3 | IV_TRUE | cnt/INITS_FSM_FFd1 | IV_FALSE | cnt/INITS_FSM_FFd2 | IV_FALSE | AoutOE +SIGNAL | NODE | nAoutOE_OBUF.D2 | 9975 | ? | 0 | 4096 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nRESout.EXP OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT -SIGNAL | NODE | nAoutOE_OBUF.EXP | 8736 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT -SPPTERM | 2 | IV_TRUE | nAS_FSB_IBUF | IV_FALSE | fsb/ASrf +SIGNAL | NODE | nAoutOE_OBUF.EXP | 10010 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | ram/BACTr SRFF_INSTANCE | nAoutOE_OBUF.REG | nAoutOE_OBUF | 0 | 2 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nAoutOE_OBUF.D | 8687 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nAoutOE_OBUF.D | 9973 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.XOR | 0 | 7 | ALU_F INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | FCLK_IBUF/FCLK | 9266 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nAoutOE_OBUF.Q | 8690 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nAoutOE_OBUF.Q | 9976 | ? | 0 | 0 | nAoutOE_OBUF | NULL | NULL | nAoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 7 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nDinOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 10 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDinOE_OBUF | 8156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +NODE | nDinOE_OBUF | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDinOE_OBUF.EXP | 10023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 7 | 2 +SIGNAL_INSTANCE | nDinOE_OBUF.SI | nDinOE_OBUF | 0 | 10 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +NODE | iobm/IORDREQr | 9338 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOS0 | 9353 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobm/IOWRREQr | 9354 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDinOE_OBUF.D1 | 8692 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDinOE_OBUF.D1 | 9978 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDinOE_OBUF.D2 | 8693 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDinOE_OBUF.D2 | 9979 | ? | 0 | 4096 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 3 | IV_TRUE | A_FSB_23_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 3 | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF -SPPTERM | 4 | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | cs/nOverlay | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SPPTERM | 4 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDinOE_OBUF.EXP | 10013 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE SRFF_INSTANCE | nDinOE_OBUF.REG | nDinOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDinOE_OBUF.D | 8691 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDinOE_OBUF.D | 9977 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDinOE_OBUF.Q | 8694 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDinOE_OBUF.Q | 9980 | ? | 0 | 0 | nDinOE_OBUF | NULL | NULL | nDinOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nDoutOE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 21 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nDoutOE_OBUF | 8157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +NODE | nDoutOE_OBUF | 9409 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nDoutOE_OBUF.EXP | 10022 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.EXP | nDoutOE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 5 | 2 +SIGNAL_INSTANCE | nDoutOE_OBUF.SI | nDoutOE_OBUF | 0 | 21 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/DoutOE | 8104 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM +NODE | iobm/DoutOE | 9351 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/DoutOE.Q | iobm/DoutOE | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | AoutOE | 8155 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM +NODE | AoutOE | 9407 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IORDREQr | 8094 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IORDREQr.Q | iobm/IORDREQr | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOS0 | 8106 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOS0.Q | iobm/IOS0 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | iobm/IOWRREQr | 8107 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobm/IOWRREQr.Q | iobm/IOWRREQr | 1 | 0 | MC_UIM +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/IORW1 | 9297 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/IORW1.Q | iobs/IORW1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nDinOE_OBUF.EXP | 10023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.EXP | nDinOE_OBUF | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nDoutOE_OBUF.D1 | 8696 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nDoutOE_OBUF.D1 | 9982 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nDoutOE_OBUF.D2 | 8697 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nDoutOE_OBUF.D2 | 9983 | ? | 0 | 4096 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | nDinOE_OBUF.EXP SPPTERM | 2 | IV_TRUE | iobm/DoutOE | IV_FALSE | AoutOE -SPPTERM | 4 | IV_FALSE | iobm/IORDREQr | IV_TRUE | iobm/IOS0 | IV_FALSE | iobm/IOWRREQr | IV_FALSE | AoutOE +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nDoutOE_OBUF.EXP | 10012 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd1 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 16 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | iobs/IORW1 | IV_TRUE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | nDoutOE_OBUF.REG | nDoutOE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nDoutOE_OBUF.D | 8695 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nDoutOE_OBUF.D | 9981 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nDoutOE_OBUF.Q | 8698 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nDoutOE_OBUF.Q | 9984 | ? | 0 | 0 | nDoutOE_OBUF | NULL | NULL | nDoutOE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMLWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 8 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMLWE_OBUF | 8158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMLWE_OBUF | 9410 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMLWE_OBUF.EXP | 10067 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.EXP | nRAMLWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 4 | 2 +SIGNAL_INSTANCE | nRAMLWE_OBUF.SI | nRAMLWE_OBUF | 0 | 8 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nLDS_FSB_IBUF | 8061 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nLDS_FSB_IBUF | 9301 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_FSB_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RASrr | 9370 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RASrr.Q | ram/RASrr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMLWE_OBUF.D1 | 8700 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMLWE_OBUF.D1 | 9986 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMLWE_OBUF.D2 | 8701 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nRAMLWE_OBUF.D2 | 9987 | ? | 0 | 4096 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nLDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMLWE_OBUF.EXP | 10053 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | ram/RASrr +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | ram/RAMEN | IV_TRUE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF SRFF_INSTANCE | nRAMLWE_OBUF.REG | nRAMLWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMLWE_OBUF.D | 8699 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMLWE_OBUF.D | 9985 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMLWE_OBUF.Q | 8702 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMLWE_OBUF.Q | 9988 | ? | 0 | 0 | nRAMLWE_OBUF | NULL | NULL | nRAMLWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 4 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nRAMUWE_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAMUWE_OBUF | 8159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +NODE | nRAMUWE_OBUF | 9411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nRAMUWE_OBUF.EXP | 10069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.EXP | nRAMUWE_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 4 | 2 +SIGNAL_INSTANCE | nRAMUWE_OBUF.SI | nRAMUWE_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RAMEN | 8052 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nWE_FSB_IBUF | 8056 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nUDS_FSB_IBUF | 8063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | nAS_FSB_IBUF | 8068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | EXP15_.EXP | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAMUWE_OBUF.D1 | 8704 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nRAMUWE_OBUF.D1 | 9990 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAMUWE_OBUF.D2 | 8705 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF +SIGNAL | NODE | nRAMUWE_OBUF.D2 | 9991 | ? | 0 | 4096 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 2 | 9 | MC_SI_D2 +SPPTERM | 1 | IV_TRUE | EXP15_.EXP +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nRAMUWE_OBUF.EXP | 10055 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 SRFF_INSTANCE | nRAMUWE_OBUF.REG | nRAMUWE_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAMUWE_OBUF.D | 8703 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F +NODE | nRAMUWE_OBUF.D | 9989 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAMUWE_OBUF.Q | 8706 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nRAMUWE_OBUF.Q | 9992 | ? | 0 | 0 | nRAMUWE_OBUF | NULL | NULL | nRAMUWE_OBUF.REG | 0 | 8 | SRFF_Q -MACROCELL_INSTANCE | PrldHigh+OptxMapped | nRAS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872768 | 3 | 1 +MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 12 | 2 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nRAS_OBUF | 8160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9412 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | nROMCS_OBUF.EXP | 10037 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.EXP | nROMCS_OBUF | 4 | 0 | MC_EXPORT -SIGNAL_INSTANCE | nRAS_OBUF.SI | nRAS_OBUF | 0 | 2 | 2 +SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 12 | 3 INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd3 | 8095 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd3.Q | ram/RS_FSM_FFd3 | 1 | 0 | MC_UIM +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | ram/RS_FSM_FFd6 | 8096 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd6.Q | ram/RS_FSM_FFd6 | 1 | 0 | MC_UIM +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefUrg | 9268 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefUrg.Q | RefUrg | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nRAS_OBUF.D1 | 8708 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | nROMCS_OBUF.D1 | 9994 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nRAS_OBUF.D2 | 8709 | ? | 0 | 4096 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.SI | 2 | 9 | MC_SI_D2 -SPPTERM | 2 | IV_FALSE | ram/RS_FSM_FFd3 | IV_FALSE | ram/RS_FSM_FFd6 - -SRFF_INSTANCE | nRAS_OBUF.REG | nRAS_OBUF | 0 | 2 | 1 -INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nRAS_OBUF.D | 8707 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.XOR | 0 | 7 | ALU_F -INPUT_NODE_TYPE | 1 | 8 | SRFF_C -NODE | FCLK_IBUF/FCLK | 8027 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | FCLK_IBUF | 3 | 5 | II_FCLK -OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nRAS_OBUF.Q | 8710 | ? | 0 | 0 | nRAS_OBUF | NULL | NULL | nRAS_OBUF.REG | 0 | 8 | SRFF_Q - -MACROCELL_INSTANCE | Inv+OptxMapped | nROMCS_OBUF | WarpSE_COPY_0_COPY_0 | 2155872512 | 5 | 1 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | nROMCS_OBUF | 8161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q - -SIGNAL_INSTANCE | nROMCS_OBUF.SI | nROMCS_OBUF | 0 | 5 | 2 -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_23_IBUF | 8004 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_22_IBUF | 8005 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_21_IBUF | 8006 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | A_FSB_20_IBUF | 8007 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX -INPUT_NODE_TYPE | 1 | 100 | NOTYPE -NODE | cs/nOverlay | 8055 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM -OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | nROMCS_OBUF.D1 | 8712 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 1 | 9 | MC_SI_D1 -SPPTERM | 0 | IV_ZERO -OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | nROMCS_OBUF.D2 | 8713 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | nROMCS_OBUF.D2 | 9995 | ? | 0 | 4096 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF SPPTERM | 4 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | A_FSB_20_IBUF | IV_FALSE | cs/nOverlay +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | nROMCS_OBUF.EXP | 10030 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_TRUE | RefUrg | IV_FALSE | ram/RefDone | IV_TRUE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | fsb/ASrf +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr SRFF_INSTANCE | nROMCS_OBUF.REG | nROMCS_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | nROMCS_OBUF.D | 8711 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F +NODE | nROMCS_OBUF.D | 9993 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | nROMCS_OBUF.Q | 8714 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q +NODE | nROMCS_OBUF.Q | 9996 | ? | 0 | 0 | nROMCS_OBUF | NULL | NULL | nROMCS_OBUF.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | OptxMapped | C20MEN_OBUF$BUF0 | WarpSE_COPY_0_COPY_0 | 2155872256 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C20MEN_OBUF$BUF0 | 8162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | C20MEN_OBUF$BUF0 | 9413 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q SIGNAL_INSTANCE | C20MEN_OBUF$BUF0.SI | C20MEN_OBUF$BUF0 | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C20MEN_OBUF$BUF0.D1 | 8716 | ? | 0 | 4096 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C20MEN_OBUF$BUF0.D1 | 9998 | ? | 0 | 4096 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C20MEN_OBUF$BUF0.D2 | 8717 | ? | 0 | 6144 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C20MEN_OBUF$BUF0.D2 | 9999 | ? | 0 | 6144 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_ZERO SRFF_INSTANCE | C20MEN_OBUF$BUF0.REG | C20MEN_OBUF$BUF0 | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C20MEN_OBUF$BUF0.D | 8715 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F +NODE | C20MEN_OBUF$BUF0.D | 9997 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C20MEN_OBUF$BUF0.Q | 8718 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q +NODE | C20MEN_OBUF$BUF0.Q | 10000 | ? | 0 | 0 | C20MEN_OBUF$BUF0 | NULL | NULL | C20MEN_OBUF$BUF0.REG | 0 | 8 | SRFF_Q MACROCELL_INSTANCE | FbkInv+Merge+OptxMapped | C25MEN_OBUF | WarpSE_COPY_0_COPY_0 | 2155907072 | 0 | 1 OUTPUT_NODE_TYPE | 0 | 0 | MC_Q -NODE | C25MEN_OBUF | 8163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q SIGNAL_INSTANCE | C25MEN_OBUF.SI | C25MEN_OBUF | 0 | 0 | 2 OUTPUT_NODE_TYPE | 1 | 9 | MC_SI_D1 -SIGNAL | NODE | C25MEN_OBUF.D1 | 8720 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 +SIGNAL | NODE | C25MEN_OBUF.D1 | 10002 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 1 | 9 | MC_SI_D1 SPPTERM | 0 | IV_ZERO OUTPUT_NODE_TYPE | 2 | 9 | MC_SI_D2 -SIGNAL | NODE | C25MEN_OBUF.D2 | 8721 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 +SIGNAL | NODE | C25MEN_OBUF.D2 | 10003 | ? | 0 | 4096 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.SI | 2 | 9 | MC_SI_D2 SPPTERM | 0 | IV_DC SRFF_INSTANCE | C25MEN_OBUF.REG | C25MEN_OBUF | 0 | 1 | 1 INPUT_NODE_TYPE | 0 | 8 | SRFF_D -NODE | C25MEN_OBUF.D | 8719 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F +NODE | C25MEN_OBUF.D | 10001 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.XOR | 0 | 7 | ALU_F OUTPUT_NODE_TYPE | 0 | 8 | SRFF_Q -NODE | C25MEN_OBUF.Q | 8722 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q +NODE | C25MEN_OBUF.Q | 10004 | ? | 0 | 0 | C25MEN_OBUF | NULL | NULL | C25MEN_OBUF.REG | 0 | 8 | SRFF_Q OUTPUT_INSTANCE | 0 | nVMA_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVMA_IOBout$Q | 8013 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q +NODE | nVMA_IOBout$Q | 9250 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.Q | nVMA_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nVMA_IOBout$OE | 8015 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE +NODE | nVMA_IOBout$OE | 9252 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVMA_IOBout.BUFOE.OUT | nVMA_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVMA_IOB | 8164 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT +NODE | nVMA_IOB | 9415 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVMA_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nAS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAS_IOBout$Q | 8018 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q +NODE | nAS_IOBout$Q | 9255 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.Q | nAS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nAS_IOBout$OE | 8020 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE +NODE | nAS_IOBout$OE | 9257 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAS_IOBout.BUFOE.OUT | nAS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAS_IOB | 8165 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT +NODE | nAS_IOB | 9416 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nLDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nLDS_IOBout$Q | 8021 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q +NODE | nLDS_IOBout$Q | 9258 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.Q | nLDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nLDS_IOBout$OE | 8023 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE +NODE | nLDS_IOBout$OE | 9260 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nLDS_IOBout.BUFOE.OUT | nLDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nLDS_IOB | 8166 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT +NODE | nLDS_IOB | 9417 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nLDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nUDS_IOB | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nUDS_IOBout$Q | 8024 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q +NODE | nUDS_IOBout$Q | 9261 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.Q | nUDS_IOBout | 0 | 0 | MC_Q INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | nUDS_IOBout$OE | 8026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE +NODE | nUDS_IOBout$OE | 9263 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nUDS_IOBout.BUFOE.OUT | nUDS_IOBout | 2 | 0 | MC_OE OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nUDS_IOB | 8167 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT +NODE | nUDS_IOB | 9418 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_IOB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nBERR_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBERR_FSB_OBUF | 8066 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q +NODE | nBERR_FSB_OBUF | 9307 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBERR_FSB | 8168 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT +NODE | nBERR_FSB | 9419 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBERR_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nVPA_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nVPA_FSB_OBUF | 8069 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q +NODE | nVPA_FSB_OBUF | 9309 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nVPA_FSB_OBUF.Q | nVPA_FSB_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nVPA_FSB | 8169 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nBR_IOB_OBUF$Q | 8070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nBR_IOB | 8170 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nOE_OBUF | 8123 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nOE | 8171 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMWE_OBUF | 8124 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMWE | 8172 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE0_OBUF | 8125 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE0 | 8173 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nCAS_OBUF | 8126 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nCAS | 8174 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDTACK_FSB_OBUF | 8127 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDTACK_FSB | 8175 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinLE_OBUF | 8128 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinLE | 8176 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C20MEN_OBUF$Q | 8129 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q -INPUT_NODE_TYPE | 2 | 6 | OI_OE -NODE | C20MEN_OBUF$OE | 8130 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRES | 8177 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_10_IBUF$BUF0 | 8132 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_10_IBUF$BUF0.Q | A_FSB_10_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<1> | 8178 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_11_IBUF$BUF0 | 8134 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_11_IBUF$BUF0.Q | A_FSB_11_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<4> | 8179 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_12_IBUF$BUF0 | 8136 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_12_IBUF$BUF0.Q | A_FSB_12_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<5> | 8180 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_13_IBUF$BUF0 | 8138 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_13_IBUF$BUF0.Q | A_FSB_13_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<6> | 8181 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_14_IBUF$BUF0 | 8140 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_14_IBUF$BUF0.Q | A_FSB_14_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<7> | 8182 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_15_IBUF$BUF0 | 8142 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_15_IBUF$BUF0.Q | A_FSB_15_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<9> | 8183 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_16_IBUF$BUF0 | 8144 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_16_IBUF$BUF0.Q | A_FSB_16_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<2> | 8184 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_17_IBUF$BUF0 | 8146 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_17_IBUF$BUF0.Q | A_FSB_17_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<10> | 8185 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_18_IBUF$BUF0 | 8147 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_18_IBUF$BUF0.Q | A_FSB_18_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<8> | 8186 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF0 | 8148 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF0.Q | A_FSB_19_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<3> | 8187 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_19_IBUF$BUF1 | 8149 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_19_IBUF$BUF1.Q | A_FSB_19_IBUF$BUF1 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<11> | 8188 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | A_FSB_9_IBUF$BUF0 | 8151 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | A_FSB_9_IBUF$BUF0.Q | A_FSB_9_IBUF$BUF0 | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | RA<0> | 8189 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nADoutLE1_OBUF | 8152 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nADoutLE1 | 8190 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nAoutOE_OBUF | 8154 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nAoutOE | 8191 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDinOE_OBUF | 8156 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDinOE | 8192 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nDoutOE_OBUF | 8157 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nDoutOE | 8193 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMLWE_OBUF | 8158 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMLWE | 8194 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT - -OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 -INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAMUWE_OBUF | 8159 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q -OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAMUWE | 8195 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT +NODE | nVPA_FSB | 9420 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nVPA_FSB | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nRAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nRAS_OBUF | 8160 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q +NODE | nRAS_OBUF | 9310 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAS_OBUF.Q | nRAS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nRAS | 8196 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT +NODE | nRAS | 9421 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nBR_IOB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nBR_IOB_OBUF$Q | 9311 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.Q | nBR_IOB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nBR_IOB | 9422 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nBR_IOB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<3> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_11_OBUF$Q | 9313 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF.Q | RA_11_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<3> | 9423 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<3> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<0> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_0_OBUF | 9385 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_0_OBUF.Q | RA_0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<0> | 9424 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<0> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<10> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_10_OBUF | 9386 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_10_OBUF.Q | RA_10_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<10> | 9425 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<10> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<1> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_1_OBUF | 9387 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_1_OBUF.Q | RA_1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<1> | 9426 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<1> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<2> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_2_OBUF | 9388 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_2_OBUF.Q | RA_2_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<2> | 9427 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<2> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<4> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_4_OBUF | 9389 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_4_OBUF.Q | RA_4_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<4> | 9428 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<4> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<5> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_5_OBUF | 9390 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_5_OBUF.Q | RA_5_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<5> | 9429 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<5> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<6> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_6_OBUF | 9391 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_6_OBUF.Q | RA_6_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<6> | 9430 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<6> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<7> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_7_OBUF | 9392 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_7_OBUF.Q | RA_7_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<7> | 9431 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<7> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<8> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_8_OBUF | 9393 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_8_OBUF.Q | RA_8_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<8> | 9432 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<8> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<9> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_9_OBUF | 9394 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_9_OBUF.Q | RA_9_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<9> | 9433 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<9> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nOE_OBUF | 9395 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nOE_OBUF.Q | nOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nOE | 9434 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nROMWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nROMWE_OBUF | 9396 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMWE_OBUF.Q | nROMWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nROMWE | 9435 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE0 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE0_OBUF | 9397 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE0_OBUF.Q | nADoutLE0_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE0 | 9436 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE0 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nCAS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nCAS_OBUF | 9398 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nCAS_OBUF.Q | nCAS_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nCAS | 9437 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nCAS | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDTACK_FSB | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDTACK_FSB_OBUF | 9399 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDTACK_FSB_OBUF.Q | nDTACK_FSB_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDTACK_FSB | 9438 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDTACK_FSB | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinLE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinLE_OBUF | 9400 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinLE_OBUF.Q | nDinLE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinLE | 9439 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinLE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRES | WarpSE_COPY_0_COPY_0 | 7 | 2 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | C20MEN_OBUF$Q | 9401 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.Q | C20MEN_OBUF | 0 | 0 | MC_Q +INPUT_NODE_TYPE | 2 | 6 | OI_OE +NODE | C20MEN_OBUF$OE | 9402 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF.BUFOE.OUT | C20MEN_OBUF | 2 | 0 | MC_OE +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRES | 9440 | PIPO | 0 | 64 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRES | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | RA<11> | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | RA_11_OBUF$BUF0 | 9403 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.Q | RA_11_OBUF$BUF0 | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | RA<11> | 9441 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | RA<11> | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nADoutLE1 | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nADoutLE1_OBUF | 9404 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nADoutLE1 | 9442 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nADoutLE1 | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nAoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nAoutOE_OBUF | 9406 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nAoutOE_OBUF.Q | nAoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nAoutOE | 9443 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDinOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDinOE_OBUF | 9408 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDinOE_OBUF.Q | nDinOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDinOE | 9444 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDinOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nDoutOE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nDoutOE_OBUF | 9409 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nDoutOE_OBUF.Q | nDoutOE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nDoutOE | 9445 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nDoutOE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMLWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMLWE_OBUF | 9410 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMLWE_OBUF.Q | nRAMLWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMLWE | 9446 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMLWE | 0 | 6 | OI_OUT + +OUTPUT_INSTANCE | 0 | nRAMUWE | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 +INPUT_NODE_TYPE | 0 | 6 | OI_IN +NODE | nRAMUWE_OBUF | 9411 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nRAMUWE_OBUF.Q | nRAMUWE_OBUF | 0 | 0 | MC_Q +OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT +NODE | nRAMUWE | 9447 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nRAMUWE | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | nROMCS | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | nROMCS_OBUF | 8161 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q +NODE | nROMCS_OBUF | 9412 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nROMCS_OBUF.Q | nROMCS_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | nROMCS | 8197 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT +NODE | nROMCS | 9448 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nROMCS | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C20MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C20MEN_OBUF$BUF0 | 8162 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q +NODE | C20MEN_OBUF$BUF0 | 9413 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C20MEN_OBUF$BUF0.Q | C20MEN_OBUF$BUF0 | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C20MEN | 8198 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT +NODE | C20MEN | 9449 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C20MEN | 0 | 6 | OI_OUT OUTPUT_INSTANCE | 0 | C25MEN | WarpSE_COPY_0_COPY_0 | 7 | 1 | 1 INPUT_NODE_TYPE | 0 | 6 | OI_IN -NODE | C25MEN_OBUF | 8163 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q +NODE | C25MEN_OBUF | 9414 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | C25MEN_OBUF.Q | C25MEN_OBUF | 0 | 0 | MC_Q OUTPUT_NODE_TYPE | 0 | 6 | OI_OUT -NODE | C25MEN | 8199 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT +NODE | C25MEN | 9450 | PO | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | C25MEN | 0 | 6 | OI_OUT + +MACROCELL_INSTANCE | NULL | EXP10_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP10_.EXP | 10026 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP10_.EXP | EXP10_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP10_.SI | EXP10_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd4 | 9340 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd4.Q | ram/RS_FSM_FFd4 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RAMReady | 9346 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RAMReady.Q | RAMReady | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP10_.EXP | 10016 | ? | 0 | 0 | EXP10_ | NULL | NULL | EXP10_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_FALSE | ram/BACTr +SPPTERM | 7 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | ram/RS_FSM_FFd4 | IV_FALSE | RAMReady | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP11_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP11_.EXP | 10028 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP11_.EXP | EXP11_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP11_.SI | EXP11_ | 0 | 18 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP11_.EXP | 10018 | ? | 0 | 0 | EXP11_ | NULL | NULL | EXP11_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 7 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP12_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP12_.EXP | 10036 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP12_.EXP | EXP12_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP12_.SI | EXP12_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RefDone | 9280 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RefDone.Q | ram/RefDone | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RefReq | 9281 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RefReq.Q | RefReq | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RS_FSM_FFd8 | 9320 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RS_FSM_FFd8.Q | ram/RS_FSM_FFd8 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/BACTr | 9367 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/BACTr.Q | ram/BACTr | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP12_.EXP | 10029 | ? | 0 | 0 | EXP12_ | NULL | NULL | EXP12_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_23_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_TRUE | A_FSB_22_IBUF | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_FALSE | nAS_FSB_IBUF | IV_TRUE | ram/RS_FSM_FFd8 | IV_FALSE | ram/BACTr +SPPTERM | 6 | IV_FALSE | ram/RefDone | IV_TRUE | RefReq | IV_FALSE | cs/nOverlay | IV_TRUE | ram/RS_FSM_FFd8 | IV_TRUE | fsb/ASrf | IV_FALSE | ram/BACTr + +MACROCELL_INSTANCE | NULL | EXP13_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_11_OBUF$BUF0.EXP | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP13_.EXP | 10063 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP13_.EXP | EXP13_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP13_.SI | EXP13_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | RA_11_OBUF$BUF0.EXP | 10064 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | RA_11_OBUF$BUF0.EXP | RA_11_OBUF$BUF0 | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP13_.EXP | 10049 | ? | 0 | 0 | EXP13_ | NULL | NULL | EXP13_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | RA_11_OBUF$BUF0.EXP +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP14_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP14_.EXP | 10065 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP14_.EXP | EXP14_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP14_.SI | EXP14_ | 0 | 9 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP14_.EXP | 10051 | ? | 0 | 0 | EXP14_ | NULL | NULL | EXP14_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_21_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP15_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP15_.EXP | 10068 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP15_.EXP | EXP15_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP15_.SI | EXP15_ | 0 | 4 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ram/RAMEN | 9270 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | ram/RAMEN.Q | ram/RAMEN | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nUDS_FSB_IBUF | 9303 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nUDS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP15_.EXP | 10054 | ? | 0 | 0 | EXP15_ | NULL | NULL | EXP15_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 4 | IV_TRUE | ram/RAMEN | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nUDS_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF + +MACROCELL_INSTANCE | NULL | EXP16_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP16_.EXP | 10070 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP16_.EXP | EXP16_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP16_.SI | EXP16_ | 0 | 17 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_20_IBUF | 9244 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_20_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd1 | 9315 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd1.Q | iobs/TS_FSM_FFd1 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | fsb/ASrf | 9364 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | fsb/ASrf.Q | fsb/ASrf | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_21_IBUF | 9243 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_21_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | cs/nOverlay | 9293 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | cs/nOverlay.Q | cs/nOverlay | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nAS_FSB_IBUF | 9306 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nAS_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_14_IBUF | 9359 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_14_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_13_IBUF | 9360 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_13_IBUF | 0 | 5 | II_IMUX +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP16_.EXP | 10056 | ? | 0 | 0 | EXP16_ | NULL | NULL | EXP16_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 8 | IV_TRUE | A_FSB_22_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_FALSE | iobs/Sent | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | nAS_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_14_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 +SPPTERM | 14 | IV_TRUE | A_FSB_21_IBUF | IV_TRUE | A_FSB_20_IBUF | IV_TRUE | A_FSB_19_IBUF | IV_TRUE | A_FSB_18_IBUF | IV_TRUE | A_FSB_17_IBUF | IV_TRUE | A_FSB_16_IBUF | IV_FALSE | iobs/Sent | IV_TRUE | cs/nOverlay | IV_FALSE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd1 | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | A_FSB_13_IBUF | IV_TRUE | fsb/ASrf | IV_TRUE | ALE1 + +MACROCELL_INSTANCE | NULL | EXP17_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.UIM | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP17_.EXP | 10071 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP17_.EXP | EXP17_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP17_.SI | EXP17_ | 0 | 3 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/Sent | 9269 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/Sent.Q | iobs/Sent | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBERR_FSB_OBUF.UIM | 9308 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBERR_FSB_OBUF.Q | nBERR_FSB_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | IOBERR | 9272 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | IOBERR.Q | IOBERR | 1 | 0 | MC_UIM +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP17_.EXP | 10057 | ? | 0 | 0 | EXP17_ | NULL | NULL | EXP17_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 2 | IV_FALSE | iobs/Sent | IV_TRUE | nBERR_FSB_OBUF.UIM +SPPTERM | 2 | IV_FALSE | IOBERR | IV_TRUE | nBERR_FSB_OBUF.UIM + +MACROCELL_INSTANCE | NULL | EXP18_ | WarpSE_COPY_0_COPY_0 | 2147483648 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF.EXP | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 4 | 0 | MC_EXPORT +NODE | EXP18_.EXP | 10073 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | EXP18_.EXP | EXP18_ | 4 | 0 | MC_EXPORT + +SIGNAL_INSTANCE | EXP18_.SI | EXP18_ | 0 | 10 | 1 +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_23_IBUF | 9241 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_23_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_22_IBUF | 9242 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_22_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_19_IBUF | 9245 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_19_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | iobs/TS_FSM_FFd2 | 9316 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | iobs/TS_FSM_FFd2.Q | iobs/TS_FSM_FFd2 | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | ALE1 | 9405 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nADoutLE1_OBUF.Q | nADoutLE1_OBUF | 1 | 0 | MC_UIM +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_18_IBUF | 9246 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_18_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_17_IBUF | 9264 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_17_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | A_FSB_16_IBUF | 9265 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | A_FSB_16_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nWE_FSB_IBUF | 9296 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | NULL | nWE_FSB_IBUF | 0 | 5 | II_IMUX +INPUT_NODE_TYPE | 1 | 100 | NOTYPE +NODE | nBR_IOB_OBUF.EXP | 10074 | ? | 0 | 0 | WarpSE_COPY_0_COPY_0 | NULL | nBR_IOB_OBUF.EXP | nBR_IOB_OBUF | 4 | 0 | MC_EXPORT +OUTPUT_NODE_TYPE | 7 | 9 | MC_SI_EXPORT +SIGNAL | NODE | EXP18_.EXP | 10059 | ? | 0 | 0 | EXP18_ | NULL | NULL | EXP18_.SI | 7 | 9 | MC_SI_EXPORT +SPPTERM | 1 | IV_TRUE | nBR_IOB_OBUF.EXP +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_19_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_18_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_17_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_FALSE | A_FSB_16_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 +SPPTERM | 5 | IV_FALSE | A_FSB_23_IBUF | IV_FALSE | A_FSB_22_IBUF | IV_TRUE | nWE_FSB_IBUF | IV_FALSE | iobs/TS_FSM_FFd2 | IV_TRUE | ALE1 FB_INSTANCE | FOOBAR1_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/Er | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | NULL | 0 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 -FBPIN | 3 | NULL | 0 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 -FBPIN | 5 | NULL | 0 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 -FBPIN | 6 | NULL | 0 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 -FBPIN | 8 | NULL | 0 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 -FBPIN | 9 | NULL | 0 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 -FBPIN | 11 | cs/nOverlay | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 -FBPIN | 12 | iobm/ES<2> | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 -FBPIN | 13 | iobm/ES<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobm/ES<3> | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 -FBPIN | 15 | iobm/ES<1> | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 -FBPIN | 16 | IODONE | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IOU0 | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 -FBPIN | 18 | IOL0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | iobs/IODONEr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | iobs/IOACTr | 1 | A_FSB_13_IBUF | 1 | NULL | 0 | 11 | 49152 +FBPIN | 3 | iobm/VPAr | 1 | A_FSB_14_IBUF | 1 | NULL | 0 | 12 | 49152 +FBPIN | 4 | iobm/IOWRREQr | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/IOS_FSM_FFd5 | 1 | A_FSB_15_IBUF | 1 | NULL | 0 | 13 | 49152 +FBPIN | 6 | iobm/IOS_FSM_FFd4 | 1 | A_FSB_16_IBUF | 1 | NULL | 0 | 14 | 49152 +FBPIN | 7 | iobm/IOS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/IORDREQr | 1 | A_FSB_17_IBUF | 1 | NULL | 0 | 15 | 49152 +FBPIN | 9 | iobm/Er | 1 | A_FSB_18_IBUF | 1 | NULL | 0 | 16 | 49152 +FBPIN | 10 | iobm/C8Mr | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | cnt/nIPL2r | 1 | A_FSB_19_IBUF | 1 | NULL | 0 | 17 | 49152 +FBPIN | 12 | cnt/Er<0> | 1 | A_FSB_20_IBUF | 1 | NULL | 0 | 18 | 49152 +FBPIN | 13 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | iobs/IOU1 | 1 | A_FSB_21_IBUF | 1 | NULL | 0 | 19 | 49152 +FBPIN | 15 | iobs/IOL1 | 1 | A_FSB_22_IBUF | 1 | NULL | 0 | 20 | 49152 +FBPIN | 16 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IOBERR | 1 | C16M_IBUF | 0 | NULL | 0 | 22 | 57344 +FBPIN | 18 | iobm/ES<2> | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR2_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 6 | NULL | 0 | A_FSB_5_IBUF | 1 | NULL | 0 | 2 | 53248 +FBPIN | 8 | NULL | 0 | A_FSB_6_IBUF | 1 | NULL | 0 | 3 | 53248 +FBPIN | 9 | NULL | 0 | A_FSB_7_IBUF | 1 | NULL | 0 | 4 | 53248 +FBPIN | 11 | NULL | 0 | A_FSB_8_IBUF | 1 | NULL | 0 | 6 | 49152 FBPIN | 12 | NULL | 0 | A_FSB_9_IBUF | 1 | NULL | 0 | 7 | 49152 -FBPIN | 14 | NULL | 0 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 -FBPIN | 15 | iobm/VPAr | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 -FBPIN | 16 | cnt/nIPL2r | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IOBERR | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 -FBPIN | 18 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | ram/RS_FSM_FFd5 | 1 | A_FSB_10_IBUF | 1 | NULL | 0 | 8 | 49152 +FBPIN | 15 | ram/RS_FSM_FFd3 | 1 | A_FSB_11_IBUF | 1 | NULL | 0 | 9 | 49152 +FBPIN | 16 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RS_FSM_FFd1 | 1 | A_FSB_12_IBUF | 1 | NULL | 0 | 10 | 49152 +FBPIN | 18 | ram/RASrf | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR3_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 2 | NULL | 0 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 -FBPIN | 4 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | ram/RS_FSM_FFd1 | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 -FBPIN | 6 | iobs/IODONEr<0> | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 -FBPIN | 7 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/Er<0> | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 +FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | fsb/ASrf | 1 | C8M_IBUF | 1 | NULL | 0 | 23 | 57344 +FBPIN | 3 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | cnt/LTimer<8> | 1 | A_FSB_23_IBUF | 1 | NULL | 0 | 24 | 49152 +FBPIN | 6 | cnt/LTimer<7> | 1 | E_IBUF | 1 | NULL | 0 | 25 | 49152 +FBPIN | 7 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | cnt/LTimer<5> | 1 | FCLK_IBUF | 0 | NULL | 0 | 27 | 57344 FBPIN | 9 | nDTACK_FSB_OBUF | 1 | NULL | 0 | nDTACK_FSB | 1 | 28 | 49152 -FBPIN | 10 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | cnt/LTimer<3> | 1 | nWE_FSB_IBUF | 1 | NULL | 0 | 29 | 49152 FBPIN | 12 | cnt/LTimer<2> | 1 | nLDS_FSB_IBUF | 1 | NULL | 0 | 30 | 49152 FBPIN | 13 | cnt/LTimer<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | cnt/INITS_FSM_FFd2 | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 -FBPIN | 15 | RefReq | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 -FBPIN | 16 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | cnt/LTimer<12> | 1 | nAS_FSB_IBUF | 1 | NULL | 0 | 32 | 49152 +FBPIN | 15 | cnt/LTimer<11> | 1 | nUDS_FSB_IBUF | 1 | NULL | 0 | 33 | 49152 +FBPIN | 16 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nROMWE_OBUF | 1 | NULL | 0 | nROMWE | 1 | 34 | 49152 -FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 +FBPIN | 18 | IOPWReady | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR4_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/BACTr | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | nRESout | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nAoutOE_OBUF | 1 | NULL | 0 | nAoutOE | 1 | 87 | 49152 -FBPIN | 3 | nRESout | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nDoutOE_OBUF | 1 | NULL | 0 | nDoutOE | 1 | 89 | 49152 FBPIN | 6 | nDinOE_OBUF | 1 | NULL | 0 | nDinOE | 1 | 90 | 49152 -FBPIN | 7 | ram/Once | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | C20MEN_OBUF | 1 | N0 | 1 | nRES | 1 | 91 | 49152 -FBPIN | 9 | iobs/TS_FSM_FFd1 | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 +FBPIN | 9 | IORDREQ | 1 | nIPL2_IBUF | 1 | NULL | 0 | 92 | 49152 FBPIN | 10 | cs/ODCSr | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nVPA_FSB_OBUF | 1 | NULL | 0 | nVPA_FSB | 1 | 93 | 49152 -FBPIN | 12 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 94 | 49152 -FBPIN | 13 | iobs/Load1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | iobs/IORW1 | 1 | NULL | 0 | NULL | 0 | 95 | 49152 -FBPIN | 15 | IONPReady | 1 | NULL | 0 | NULL | 0 | 96 | 49152 -FBPIN | 16 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | IORDREQ | 1 | NULL | 0 | NULL | 0 | 97 | 49152 -FBPIN | 18 | iobs/Sent | 1 | NULL | 0 | NULL | 0 +FBPIN | 12 | iobs/Load1 | 1 | A_FSB_1_IBUF | 1 | NULL | 0 | 94 | 49152 +FBPIN | 13 | iobs/TS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP10_ | 1 | A_FSB_2_IBUF | 1 | NULL | 0 | 95 | 49152 +FBPIN | 15 | RAMReady | 1 | A_FSB_3_IBUF | 1 | NULL | 0 | 96 | 49152 +FBPIN | 16 | ram/RS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | iobs/Sent | 1 | A_FSB_4_IBUF | 1 | NULL | 0 | 97 | 49152 +FBPIN | 18 | EXP11_ | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR5_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | cnt/LTimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP12_ | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nROMCS_OBUF | 1 | NULL | 0 | nROMCS | 1 | 35 | 49152 -FBPIN | 3 | cnt/LTimer<9> | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/LTimer<8> | 1 | NULL | 0 | NULL | 0 +FBPIN | 3 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | ram/RASrr | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nCAS_OBUF | 1 | NULL | 0 | nCAS | 1 | 36 | 49152 FBPIN | 6 | nOE_OBUF | 1 | NULL | 0 | nOE | 1 | 37 | 49152 -FBPIN | 7 | cnt/LTimer<7> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | cnt/LTimer<6> | 1 | NULL | 0 | NULL | 0 | 39 | 49152 -FBPIN | 9 | A_FSB_11_IBUF$BUF0 | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 -FBPIN | 10 | cnt/LTimer<5> | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | A_FSB_19_IBUF$BUF0 | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 -FBPIN | 12 | A_FSB_12_IBUF$BUF0 | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 -FBPIN | 13 | cnt/LTimer<4> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | A_FSB_16_IBUF$BUF0 | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 -FBPIN | 15 | A_FSB_13_IBUF$BUF0 | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 -FBPIN | 16 | cnt/LTimer<12> | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | cnt/LTimer<11> | 1 | NULL | 0 | NULL | 0 | 49 | 49152 -FBPIN | 18 | cnt/LTimer<10> | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | ram/Once | 1 | NULL | 0 | NULL | 0 | 39 | 49152 +FBPIN | 9 | RA_4_OBUF | 1 | NULL | 0 | RA<4> | 1 | 40 | 49152 +FBPIN | 10 | ram/RASEL | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_11_OBUF | 1 | NULL | 0 | RA<3> | 1 | 41 | 49152 +FBPIN | 12 | RA_5_OBUF | 1 | NULL | 0 | RA<5> | 1 | 42 | 49152 +FBPIN | 13 | cs/nOverlay | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | RA_2_OBUF | 1 | NULL | 0 | RA<2> | 1 | 43 | 49152 +FBPIN | 15 | RA_6_OBUF | 1 | NULL | 0 | RA<6> | 1 | 46 | 49152 +FBPIN | 16 | ram/RS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | ram/RefDone | 1 | NULL | 0 | NULL | 0 | 49 | 49152 +FBPIN | 18 | ram/CAS | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | FOOBAR6_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 FBPIN | 2 | nVMA_IOBout | 1 | NULL | 0 | nVMA_IOB | 1 | 74 | 49152 -FBPIN | 5 | iobs/IOACTr | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 -FBPIN | 6 | iobm/IOS_FSM_FFd5 | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 -FBPIN | 7 | iobm/IOS_FSM_FFd4 | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | iobm/IOS_FSM_FFd1 | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 +FBPIN | 3 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | iobm/ES<0> | 1 | nBERR_IOB_IBUF | 1 | NULL | 0 | 76 | 49152 +FBPIN | 6 | iobm/ES<3> | 1 | nVPA_IOB_IBUF | 1 | NULL | 0 | 77 | 49152 +FBPIN | 7 | iobm/ES<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | iobm/DoutOE | 1 | nDTACK_IOB_IBUF | 1 | NULL | 0 | 78 | 49152 FBPIN | 9 | nLDS_IOBout | 1 | NULL | 0 | nLDS_IOB | 1 | 79 | 49152 -FBPIN | 10 | iobm/C8Mr | 1 | NULL | 0 | NULL | 0 +FBPIN | 10 | IODONE | 1 | NULL | 0 | NULL | 0 FBPIN | 11 | nUDS_IOBout | 1 | NULL | 0 | nUDS_IOB | 1 | 80 | 49152 FBPIN | 12 | nAS_IOBout | 1 | NULL | 0 | nAS_IOB | 1 | 81 | 49152 -FBPIN | 13 | iobm/IOS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 +FBPIN | 13 | iobm/IOS0 | 1 | NULL | 0 | NULL | 0 FBPIN | 14 | nADoutLE1_OBUF | 1 | NULL | 0 | nADoutLE1 | 1 | 82 | 49152 FBPIN | 15 | nADoutLE0_OBUF | 1 | NULL | 0 | nADoutLE0 | 1 | 85 | 49152 -FBPIN | 16 | iobm/IOS_FSM_FFd7 | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | ALE0M | 1 | NULL | 0 | NULL | 0 FBPIN | 17 | nDinLE_OBUF | 1 | NULL | 0 | nDinLE | 1 | 86 | 49152 -FBPIN | 18 | ALE0M | 1 | NULL | 0 | NULL | 0 - -FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | iobm/IOWRREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | A_FSB_10_IBUF$BUF0 | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 -FBPIN | 3 | iobm/IORDREQr | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 5 | A_FSB_14_IBUF$BUF0 | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 -FBPIN | 6 | A_FSB_9_IBUF$BUF0 | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 -FBPIN | 7 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 -FBPIN | 8 | A_FSB_18_IBUF$BUF0 | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 -FBPIN | 9 | A_FSB_17_IBUF$BUF0 | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 -FBPIN | 10 | iobm/IOS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | A_FSB_15_IBUF$BUF0 | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 -FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 -FBPIN | 13 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | C20MEN_OBUF$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 -FBPIN | 15 | iobm/IOS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 | 60 | 49152 -FBPIN | 16 | iobm/DoutOE | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | iobm/IOS0 | 1 | NULL | 0 | NULL | 0 | 61 | 49152 FBPIN | 18 | IOACT | 1 | NULL | 0 | NULL | 0 +FB_INSTANCE | FOOBAR7_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 +FBPIN | 1 | cnt/LTimer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_1_OBUF | 1 | NULL | 0 | RA<1> | 1 | 50 | 49152 +FBPIN | 3 | cnt/INITS_FSM_FFd1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | cnt/Er<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 5 | RA_7_OBUF | 1 | NULL | 0 | RA<7> | 1 | 52 | 49152 +FBPIN | 6 | RA_0_OBUF | 1 | NULL | 0 | RA<0> | 1 | 53 | 49152 +FBPIN | 7 | cnt/TimerTC | 1 | NULL | 0 | NULL | 0 +FBPIN | 8 | RA_8_OBUF | 1 | NULL | 0 | RA<8> | 1 | 54 | 49152 +FBPIN | 9 | RA_10_OBUF | 1 | NULL | 0 | RA<10> | 1 | 55 | 49152 +FBPIN | 10 | cnt/Timer<0> | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | RA_9_OBUF | 1 | NULL | 0 | RA<9> | 1 | 56 | 49152 +FBPIN | 12 | C25MEN_OBUF | 1 | NULL | 0 | C25MEN | 1 | 58 | 49152 +FBPIN | 13 | cnt/INITS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | C20MEN_OBUF$BUF0 | 1 | NULL | 0 | C20MEN | 1 | 59 | 49152 +FBPIN | 15 | RefReq | 1 | NULL | 0 | NULL | 0 | 60 | 49152 +FBPIN | 16 | cnt/Timer<1> | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | cnt/Timer<2> | 1 | NULL | 0 | NULL | 0 | 61 | 49152 +FBPIN | 18 | RefUrg | 1 | NULL | 0 | NULL | 0 + FB_INSTANCE | FOOBAR8_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 -FBPIN | 1 | ram/RS_FSM_FFd3 | 1 | NULL | 0 | NULL | 0 -FBPIN | 2 | A_FSB_19_IBUF$BUF1 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 -FBPIN | 3 | ram/RS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 -FBPIN | 4 | fsb/ASrf | 1 | NULL | 0 | NULL | 0 +FBPIN | 1 | EXP13_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 2 | RA_11_OBUF$BUF0 | 1 | NULL | 0 | RA<11> | 1 | 63 | 49152 +FBPIN | 3 | EXP14_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 4 | iobs/TS_FSM_FFd2 | 1 | NULL | 0 | NULL | 0 FBPIN | 5 | nRAS_OBUF | 1 | NULL | 0 | nRAS | 1 | 64 | 49152 FBPIN | 6 | nRAMLWE_OBUF | 1 | NULL | 0 | nRAMLWE | 1 | 65 | 49152 -FBPIN | 7 | ALE0S | 1 | NULL | 0 | NULL | 0 +FBPIN | 7 | EXP15_ | 1 | NULL | 0 | NULL | 0 FBPIN | 8 | nRAMUWE_OBUF | 1 | NULL | 0 | nRAMUWE | 1 | 66 | 49152 -FBPIN | 9 | ram/RefDone | 1 | NULL | 0 | NULL | 0 | 67 | 49152 -FBPIN | 10 | iobs/IOU1 | 1 | NULL | 0 | NULL | 0 -FBPIN | 11 | iobs/IOL1 | 1 | NULL | 0 | NULL | 0 | 68 | 49152 +FBPIN | 9 | IOWRREQ | 1 | NULL | 0 | NULL | 0 | 67 | 49152 +FBPIN | 10 | EXP16_ | 1 | NULL | 0 | NULL | 0 +FBPIN | 11 | EXP17_ | 1 | NULL | 0 | NULL | 0 | 68 | 49152 FBPIN | 12 | nBERR_FSB_OBUF | 1 | NULL | 0 | nBERR_FSB | 1 | 70 | 49152 -FBPIN | 13 | IOPWReady | 1 | NULL | 0 | NULL | 0 -FBPIN | 14 | ram/RS_FSM_FFd6 | 1 | NULL | 0 | NULL | 0 | 71 | 49152 +FBPIN | 13 | IOU0 | 1 | NULL | 0 | NULL | 0 +FBPIN | 14 | EXP18_ | 1 | NULL | 0 | NULL | 0 | 71 | 49152 FBPIN | 15 | nBR_IOB_OBUF | 1 | NULL | 0 | nBR_IOB | 1 | 72 | 49152 -FBPIN | 16 | ram/RS_FSM_FFd8 | 1 | NULL | 0 | NULL | 0 -FBPIN | 17 | ram/CAS | 1 | NULL | 0 | NULL | 0 | 73 | 49152 -FBPIN | 18 | ram/RAMEN | 1 | NULL | 0 | NULL | 0 +FBPIN | 16 | iobs/Clear1 | 1 | NULL | 0 | NULL | 0 +FBPIN | 17 | IONPReady | 1 | NULL | 0 | NULL | 0 | 73 | 49152 +FBPIN | 18 | IOL0 | 1 | NULL | 0 | NULL | 0 FB_INSTANCE | INPUTPINS_FOOBAR9_ | WarpSE_COPY_0_COPY_0 | 0 | 0 | 0 @@ -6006,80 +7749,85 @@ BUSINFO | A_FSB<23:1> | 23 | 0 | 0 | A_FSB<10> | 13 | A_FSB<11> | 12 | A_FSB<12> BUSINFO | RA<11:0> | 12 | 0 | 1 | RA<0> | 11 | RA<10> | 1 | RA<11> | 0 | RA<1> | 10 | RA<2> | 9 | RA<3> | 8 | RA<4> | 7 | RA<5> | 6 | RA<6> | 5 | RA<7> | 4 | RA<8> | 3 | RA<9> | 2 BUSINFO | SW<3:1> | 3 | 0 | 0 | SW<1> | 2 | SW<2> | 1 | SW<3> | 0 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | iobm/Er | NULL | 1 | nVMA_IOBout | NULL | 2 | A_FSB<21> | 19 | 3 | fsb/ASrf | NULL | 4 | nRES | 91 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 7 | nDTACK_IOB | 78 | 8 | nAS_FSB | 32 | 9 | cs/ODCSr | NULL | 10 | cs/nOverlay | NULL | 11 | iobm/ES<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 12 | iobm/ES<0> | NULL | 13 | iobm/ES<3> | NULL | 14 | iobm/ES<1> | NULL | 15 | iobs/TS_FSM_FFd2 | NULL | 16 | IOU0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | IOL0 | NULL | 23 | A_FSB<23> | 24 | 29 | nLDS_FSB | 30 | 30 | A_FSB<20> | 18 | 33 | E | 25 -FB_ORDER_OF_INPUTS | FOOBAR1_ | 38 | iobs/TS_FSM_FFd1 | NULL | 39 | A_FSB<22> | 20 | 40 | iobs/IOU1 | NULL | 47 | iobs/Sent | NULL | 49 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR1_ | 50 | iobs/IOL1 | NULL | 51 | nUDS_FSB | 33 | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 0 | nLDS_FSB | 30 | 3 | iobs/TS_FSM_FFd2 | NULL | 4 | iobm/IOS_FSM_FFd5 | NULL | 6 | iobm/ES<1> | NULL | 8 | IOWRREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 9 | IODONE | NULL | 11 | nAS_IOBout | NULL | 12 | C8M | 23 | 15 | nBERR_IOB | 76 | 16 | E | 25 +FB_ORDER_OF_INPUTS | FOOBAR1_ | 17 | IOACT | NULL | 20 | iobm/IOS_FSM_FFd3 | NULL | 24 | iobm/IOS_FSM_FFd6 | NULL | 27 | nUDS_FSB | 33 | 28 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 33 | iobm/Er | NULL | 34 | iobm/ES<2> | NULL | 38 | IORDREQ | NULL | 41 | nVPA_IOB | 77 | 45 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR1_ | 49 | nIPL2 | 92 | 50 | iobm/IOS_FSM_FFd2 | NULL | 51 | iobm/C8Mr | NULL | 53 | iobs/Load1 | NULL -FB_IMUX_INDEX | FOOBAR1_ | 0 | 91 | 228 | 129 | 148 | -1 | -1 | 167 | 268 | 63 | 10 | 11 | 12 | 13 | 14 | 69 | 16 | 17 | -1 | -1 | -1 | -1 | -1 | 240 | -1 | -1 | -1 | -1 | -1 | 260 | 226 | -1 | -1 | 242 | -1 | -1 | -1 | -1 | 62 | 230 | 135 | -1 | -1 | -1 | -1 | -1 | -1 | 71 | -1 | 103 | 136 | 272 | 101 | -1 +FB_IMUX_INDEX | FOOBAR1_ | 260 | -1 | -1 | 129 | 4 | -1 | 96 | -1 | 134 | 99 | -1 | 101 | 238 | -1 | -1 | 175 | 242 | 107 | -1 | -1 | 93 | -1 | -1 | -1 | 90 | -1 | -1 | 272 | 94 | -1 | -1 | -1 | -1 | 8 | 17 | -1 | -1 | -1 | 62 | -1 | -1 | 171 | -1 | -1 | -1 | 16 | -1 | -1 | -1 | 150 | 15 | 9 | -1 | 65 -FB_ORDER_OF_INPUTS | FOOBAR2_ | 6 | nIPL2 | 92 | 11 | nAS_IOBout | NULL | 12 | cnt/Timer<0> | NULL | 15 | nBERR_IOB | 76 | 40 | cnt/Timer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR2_ | 41 | cnt/Er<1> | NULL | 45 | nVPA_IOB | 77 | 47 | cnt/TimerTC | NULL | 49 | cnt/Er<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR2_ | 15 | ram/RS_FSM_FFd7 | NULL | 37 | ram/RS_FSM_FFd3 | NULL | 50 | ram/RS_FSM_FFd6 | NULL | 52 | ram/RS_FSM_FFd2 | NULL -FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | 150 | -1 | -1 | -1 | -1 | 101 | 120 | -1 | -1 | 175 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 35 | 114 | -1 | -1 | -1 | 171 | -1 | 45 | -1 | 43 | -1 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR2_ | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 69 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 32 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 78 | -1 | 33 | -1 -FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | cnt/LTimerTC | NULL | 2 | ram/RS_FSM_FFd2 | NULL | 3 | cnt/LTimer<0> | NULL | 4 | ram/RS_FSM_FFd1 | NULL | 6 | cnt/INITS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 7 | cnt/Er<0> | NULL | 8 | nAS_FSB | 32 | 9 | A_FSB<18> | 16 | 11 | cnt/LTimer<2> | NULL | 12 | cnt/LTimer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 13 | cnt/INITS_FSM_FFd2 | NULL | 14 | IONPReady | NULL | 15 | IODONE | NULL | 16 | E | 25 | 17 | cnt/Timer<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 18 | cnt/TimerTC | NULL | 21 | A_FSB<19> | 17 | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 32 | IOPWReady | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 34 | fsb/ASrf | NULL | 36 | A_FSB<23> | 24 | 39 | A_FSB<22> | 20 | 41 | cnt/Er<1> | NULL | 45 | cnt/Timer<2> | NULL -FB_ORDER_OF_INPUTS | FOOBAR3_ | 47 | A_FSB<21> | 19 | 48 | cnt/Timer<0> | NULL | 50 | RefUrg | NULL | 52 | cnt/nIPL2r | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 0 | A_FSB<20> | 18 | 1 | A_FSB<14> | 12 | 3 | cnt/LTimer<9> | NULL | 4 | cnt/LTimer<8> | NULL | 5 | cnt/LTimer<7> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 6 | cnt/LTimer<6> | NULL | 7 | cnt/LTimer<5> | NULL | 8 | nAS_FSB | 32 | 9 | cnt/LTimer<4> | NULL | 10 | cnt/LTimer<3> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 11 | cnt/Er<0> | NULL | 12 | cnt/LTimer<1> | NULL | 13 | cnt/LTimer<12> | NULL | 14 | cnt/LTimer<11> | NULL | 15 | cnt/LTimer<10> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 16 | IONPReady | NULL | 17 | IOPWReady | NULL | 18 | A_FSB<19> | 17 | 19 | cs/nOverlay | NULL | 27 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 28 | nWE_FSB | 29 | 30 | iobs/Clear1 | NULL | 31 | RAMReady | NULL | 36 | A_FSB<23> | 24 | 37 | A_FSB<13> | 11 +FB_ORDER_OF_INPUTS | FOOBAR3_ | 39 | A_FSB<22> | 20 | 45 | A_FSB<18> | 16 | 46 | ALE1 | NULL | 47 | A_FSB<21> | 19 | 48 | cnt/LTimer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR3_ | 49 | A_FSB<17> | 15 | 50 | cnt/LTimer<0> | NULL | 51 | cnt/Er<1> | NULL | 52 | cnt/TimerTC | NULL | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR3_ | 72 | -1 | 128 | 111 | 40 | -1 | 42 | 43 | 268 | 218 | -1 | 47 | 48 | 49 | 68 | 15 | 242 | 35 | 45 | -1 | -1 | 222 | -1 | -1 | -1 | -1 | -1 | -1 | 256 | -1 | 226 | -1 | 138 | -1 | 129 | -1 | 240 | -1 | -1 | 230 | -1 | 114 | -1 | -1 | -1 | 51 | -1 | 228 | 120 | -1 | 53 | -1 | 33 | -1 +FB_IMUX_INDEX | FOOBAR3_ | 226 | 210 | -1 | 39 | 40 | 41 | 42 | 43 | 268 | 45 | 46 | 11 | 48 | 49 | 50 | 51 | 142 | 53 | 222 | 84 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 37 | 256 | -1 | 141 | 68 | -1 | -1 | -1 | -1 | 240 | 208 | -1 | 230 | -1 | -1 | -1 | -1 | -1 | 218 | 103 | 228 | 47 | 216 | 108 | 111 | 114 | 214 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | iobm/IOWRREQr | NULL | 1 | AoutOE | NULL | 2 | nRESout | NULL | 3 | fsb/ASrf | NULL | 4 | iobs/IOACTr | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | iobs/IODONEr<0> | NULL | 8 | iobs/TS_FSM_FFd1 | NULL | 10 | cs/nOverlay | NULL | 11 | IOWRREQ | NULL | 13 | iobs/IORW1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 14 | nBR_IOB_OBUF | NULL | 15 | iobs/TS_FSM_FFd2 | NULL | 16 | IORDREQ | NULL | 17 | iobs/Sent | NULL | 19 | iobm/DoutOE | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 21 | A_FSB<19> | 17 | 23 | A_FSB<23> | 24 | 26 | cnt/INITS_FSM_FFd2 | NULL | 28 | nWE_FSB | 29 | 29 | iobm/IOS0 | NULL -FB_ORDER_OF_INPUTS | FOOBAR4_ | 30 | A_FSB<20> | 18 | 31 | IONPReady | NULL | 33 | cnt/INITS_FSM_FFd1 | NULL | 36 | nAS_FSB | 32 | 39 | A_FSB<22> | 20 -FB_ORDER_OF_INPUTS | FOOBAR4_ | 44 | iobm/IORDREQr | NULL | 47 | A_FSB<21> | 19 | 49 | ALE1 | NULL | 50 | A_FSB<18> | 16 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 0 | ram/BACTr | NULL | 1 | iobs/IOACTr | NULL | 2 | A_FSB<21> | 19 | 3 | iobm/IOWRREQr | NULL | 4 | A_FSB<22> | 20 +FB_ORDER_OF_INPUTS | FOOBAR4_ | 5 | A_FSB<16> | 14 | 7 | A_FSB<17> | 15 | 8 | IORDREQ | NULL | 9 | A_FSB<18> | 16 | 12 | iobs/TS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 13 | ALE1 | NULL | 14 | nBR_IOB_OBUF | NULL | 15 | ram/RS_FSM_FFd4 | NULL | 16 | iobs/Sent | NULL | 17 | RefUrg | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 19 | cs/nOverlay | NULL | 21 | A_FSB<19> | 17 | 23 | ram/RS_FSM_FFd8 | NULL | 25 | RefReq | NULL | 27 | fsb/ASrf | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 28 | nWE_FSB | 29 | 30 | A_FSB<20> | 18 | 31 | RAMReady | NULL | 33 | nAS_FSB | 32 | 34 | iobm/DoutOE | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 35 | A_FSB<14> | 12 | 36 | A_FSB<23> | 24 | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 42 | iobs/IORW1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 43 | iobm/IOS0 | NULL | 44 | cnt/INITS_FSM_FFd1 | NULL | 45 | iobs/TS_FSM_FFd2 | NULL | 47 | IONPReady | NULL | 48 | cnt/INITS_FSM_FFd2 | NULL +FB_ORDER_OF_INPUTS | FOOBAR4_ | 49 | ram/RefDone | NULL | 51 | AoutOE | NULL | 52 | nRESout | NULL | 53 | iobm/IORDREQr | NULL -FB_IMUX_INDEX | FOOBAR4_ | 108 | 55 | 56 | 129 | 94 | 41 | -1 | -1 | 62 | -1 | 10 | 65 | -1 | 67 | 140 | 69 | 70 | 71 | -1 | 123 | -1 | 222 | -1 | 240 | -1 | -1 | 49 | -1 | 256 | 124 | 226 | 68 | -1 | 42 | -1 | -1 | 268 | -1 | -1 | 230 | -1 | -1 | -1 | -1 | 110 | -1 | -1 | 228 | -1 | 103 | 218 | -1 | -1 | -1 +FB_IMUX_INDEX | FOOBAR4_ | 36 | 1 | 228 | 3 | 230 | 214 | -1 | 216 | 62 | 218 | -1 | -1 | 66 | 103 | 140 | 87 | 70 | 125 | -1 | 84 | -1 | 222 | -1 | 56 | -1 | 122 | -1 | 37 | 256 | -1 | 226 | 68 | -1 | 268 | 97 | 210 | 240 | 208 | -1 | 74 | -1 | -1 | 57 | 102 | 110 | 129 | -1 | 142 | 120 | 88 | -1 | 55 | 54 | 7 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 2 | cnt/LTimer<9> | NULL | 3 | cnt/LTimer<8> | NULL | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | cnt/LTimer<7> | NULL | 7 | cnt/LTimer<6> | NULL | 8 | nAS_FSB | 32 | 9 | cnt/LTimer<5> | NULL | 10 | cnt/LTimer<3> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | cnt/LTimer<4> | NULL | 13 | A_FSB<19> | 17 | 14 | A_FSB<12> | 10 | 15 | cnt/LTimer<12> | NULL | 16 | cnt/LTimer<11> | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 17 | cnt/LTimer<10> | NULL | 23 | A_FSB<23> | 24 | 24 | cnt/LTimer<1> | NULL | 28 | nWE_FSB | 29 | 38 | A_FSB<11> | 9 -FB_ORDER_OF_INPUTS | FOOBAR5_ | 39 | cs/nOverlay | NULL | 41 | cnt/Er<1> | NULL | 44 | A_FSB<13> | 11 | 45 | A_FSB<21> | 19 | 47 | cnt/TimerTC | NULL -FB_ORDER_OF_INPUTS | FOOBAR5_ | 48 | cnt/LTimer<2> | NULL | 49 | cnt/Er<0> | NULL | 51 | cnt/LTimer<0> | NULL | 52 | ram/CAS | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 0 | A_FSB<20> | 18 | 1 | fsb/ASrf | NULL | 2 | A_FSB<21> | 19 | 4 | A_FSB<22> | 20 | 5 | A_FSB<16> | 14 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 6 | ram/RS_FSM_FFd6 | NULL | 7 | ram/Once | NULL | 8 | nAS_FSB | 32 | 9 | cs/ODCSr | NULL | 10 | A_FSB<11> | 9 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 12 | cs/nOverlay | NULL | 13 | ram/RS_FSM_FFd5 | NULL | 14 | A_FSB<7> | 4 | 15 | ram/RS_FSM_FFd2 | NULL | 16 | ram/RS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 17 | ram/CAS | NULL | 18 | A_FSB<19> | 17 | 21 | A_FSB<3> | 96 | 23 | A_FSB<23> | 24 | 25 | RefReq | NULL +FB_ORDER_OF_INPUTS | FOOBAR5_ | 28 | nWE_FSB | 29 | 30 | ram/RASEL | NULL | 32 | ram/RS_FSM_FFd3 | NULL | 33 | ram/RS_FSM_FFd8 | NULL | 34 | A_FSB<5> | 2 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 36 | A_FSB<4> | 97 | 37 | A_FSB<13> | 11 | 39 | ram/RAMEN | NULL | 40 | RefUrg | NULL | 42 | A_FSB<12> | 10 +FB_ORDER_OF_INPUTS | FOOBAR5_ | 43 | ram/RS_FSM_FFd4 | NULL | 44 | ram/BACTr | NULL | 49 | ram/RefDone | NULL | 50 | ram/RS_FSM_FFd7 | NULL | 51 | nRES | 91 -FB_IMUX_INDEX | FOOBAR5_ | 226 | -1 | 74 | 75 | 230 | 214 | 78 | 79 | 268 | 81 | 46 | -1 | 84 | 222 | 206 | 87 | 88 | 89 | -1 | -1 | -1 | -1 | -1 | 240 | 48 | -1 | -1 | -1 | 256 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 202 | 10 | -1 | 114 | -1 | -1 | 208 | 228 | -1 | 45 | 47 | 43 | -1 | 111 | 142 | -1 +FB_IMUX_INDEX | FOOBAR5_ | 226 | 37 | 228 | -1 | 230 | 214 | 78 | 79 | 268 | 63 | 202 | -1 | 84 | 31 | 190 | 33 | 34 | 89 | 222 | -1 | -1 | 168 | -1 | 240 | -1 | 122 | -1 | -1 | 256 | -1 | 81 | -1 | 32 | 56 | 182 | -1 | 172 | 208 | -1 | 74 | 125 | -1 | 206 | 87 | 36 | -1 | -1 | -1 | -1 | 88 | 69 | 148 | -1 | -1 -FB_ORDER_OF_INPUTS | FOOBAR6_ | 2 | iobm/IORDREQr | NULL | 3 | iobs/Clear1 | NULL | 5 | iobm/IOS_FSM_FFd5 | NULL | 6 | iobm/IOS_FSM_FFd4 | NULL | 7 | iobm/IOS_FSM_FFd1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 8 | nLDS_IOBout | NULL | 9 | iobm/C8Mr | NULL | 10 | nUDS_IOBout | NULL | 12 | C8M | 23 | 13 | ALE1 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 14 | iobm/VPAr | NULL | 15 | iobm/IOS_FSM_FFd7 | NULL | 17 | ALE0M | NULL | 18 | ALE0S | NULL | 24 | iobm/IOS_FSM_FFd2 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 34 | IOL0 | NULL | 39 | iobm/IOS_FSM_FFd3 | NULL | 40 | IOACT | NULL | 41 | iobm/ES<2> | NULL | 43 | iobm/IOS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 44 | nVMA_IOBout | NULL | 45 | IOU0 | NULL | 46 | iobs/Load1 | NULL | 48 | iobm/ES<3> | NULL | 49 | iobm/ES<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR6_ | 50 | iobm/IOWRREQr | NULL | 51 | AoutOE | NULL | 52 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 0 | iobm/IOS_FSM_FFd6 | NULL | 1 | AoutOE | NULL | 2 | iobm/VPAr | NULL | 3 | iobm/IOS_FSM_FFd3 | NULL | 4 | nRES | 91 +FB_ORDER_OF_INPUTS | FOOBAR6_ | 5 | iobm/IOS_FSM_FFd4 | NULL | 6 | iobm/IOS_FSM_FFd1 | NULL | 7 | iobm/IORDREQr | NULL | 8 | iobm/Er | NULL | 9 | iobm/C8Mr | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 10 | nUDS_IOBout | NULL | 11 | iobs/Load1 | NULL | 12 | ALE0S | NULL | 15 | iobm/IOS_FSM_FFd2 | NULL | 16 | IOBERR | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 17 | iobm/ES<2> | NULL | 21 | IOL0 | NULL | 23 | IOU0 | NULL | 27 | nDTACK_IOB | 78 | 28 | iobm/ES<0> | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 30 | iobs/Clear1 | NULL | 32 | iobm/ES<1> | NULL | 33 | E | 25 | 34 | iobm/DoutOE | NULL | 36 | iobm/IOS_FSM_FFd5 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 38 | ALE0M | NULL | 39 | iobm/ES<3> | NULL | 40 | IODONE | NULL | 41 | nLDS_IOBout | NULL | 43 | iobm/IOS0 | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 44 | nVMA_IOBout | NULL | 47 | iobm/IOWRREQr | NULL | 49 | ALE1 | NULL | 51 | iobm/IOS_FSM_FFd7 | NULL | 52 | nAS_IOBout | NULL +FB_ORDER_OF_INPUTS | FOOBAR6_ | 53 | IOACT | NULL -FB_IMUX_INDEX | FOOBAR6_ | -1 | -1 | 110 | 57 | -1 | 95 | 96 | 97 | 98 | 99 | 100 | -1 | 238 | 103 | 32 | 105 | -1 | 107 | 132 | -1 | -1 | -1 | -1 | -1 | 117 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 17 | -1 | -1 | -1 | -1 | 122 | 125 | 11 | -1 | 102 | 91 | 16 | 66 | -1 | 13 | 14 | 108 | 55 | 12 | -1 +FB_IMUX_INDEX | FOOBAR6_ | 90 | 55 | 2 | 93 | 148 | 5 | 6 | 7 | 8 | 9 | 100 | 65 | 12 | -1 | -1 | 15 | 16 | 17 | -1 | -1 | -1 | 143 | -1 | 138 | -1 | -1 | -1 | 167 | 94 | -1 | 141 | -1 | 96 | 242 | 97 | -1 | 4 | -1 | 105 | 95 | 99 | 98 | -1 | 102 | 91 | -1 | -1 | 3 | -1 | 103 | -1 | 92 | 101 | 107 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 0 | iobm/IOWRREQr | NULL | 1 | AoutOE | NULL | 3 | A_FSB<15> | 13 | 4 | A_FSB<9> | 7 | 6 | cnt/Er<1> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 7 | cnt/Er<0> | NULL | 9 | iobm/IOS_FSM_FFd2 | NULL | 12 | iobm/IOS_FSM_FFd6 | NULL | 14 | iobm/IOS_FSM_FFd3 | NULL | 15 | iobm/DoutOE | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 16 | iobm/IOS0 | NULL | 17 | IOACT | NULL | 18 | cnt/TimerTC | NULL | 32 | iobm/IOS_FSM_FFd4 | NULL | 35 | A_FSB<14> | 12 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 37 | IORDREQ | NULL | 38 | iobm/IOS_FSM_FFd7 | NULL | 39 | iobm/IOS_FSM_FFd5 | NULL | 42 | iobm/IOS_FSM_FFd1 | NULL | 43 | A_FSB<10> | 8 -FB_ORDER_OF_INPUTS | FOOBAR7_ | 44 | iobm/IORDREQr | NULL | 45 | A_FSB<18> | 16 | 46 | IOBERR | NULL | 47 | iobm/C8Mr | NULL | 48 | cnt/Timer<0> | NULL -FB_ORDER_OF_INPUTS | FOOBAR7_ | 49 | A_FSB<17> | 15 | 50 | IODONE | NULL | 53 | IOWRREQ | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 2 | A_FSB<8> | 6 | 3 | cnt/Er<1> | NULL | 4 | A_FSB<2> | 95 | 6 | cnt/TimerTC | NULL | 7 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 9 | ram/RASEL | NULL | 10 | A_FSB<6> | 3 | 12 | cnt/INITS_FSM_FFd2 | NULL | 15 | cnt/Timer<1> | NULL | 16 | cnt/Timer<2> | NULL +FB_ORDER_OF_INPUTS | FOOBAR7_ | 17 | RefUrg | NULL | 32 | cnt/LTimerTC | NULL | 35 | A_FSB<14> | 12 | 39 | cnt/nIPL2r | NULL | 40 | A_FSB<7> | 4 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 41 | cnt/Er<0> | NULL | 43 | A_FSB<10> | 8 | 44 | cnt/INITS_FSM_FFd1 | NULL | 45 | A_FSB<1> | 94 | 46 | A_FSB<15> | 13 +FB_ORDER_OF_INPUTS | FOOBAR7_ | 47 | A_FSB<21> | 19 | 49 | cnt/Timer<0> | NULL | 50 | A_FSB<18> | 16 | 53 | A_FSB<9> | 7 -FB_IMUX_INDEX | FOOBAR7_ | 108 | 55 | -1 | 212 | 196 | -1 | 114 | 43 | -1 | 117 | -1 | -1 | 102 | -1 | 122 | 123 | 124 | 125 | 45 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 96 | -1 | -1 | 210 | -1 | 70 | 105 | 95 | -1 | -1 | 97 | 198 | 110 | 218 | 34 | 99 | 120 | 216 | 15 | -1 | -1 | 65 +FB_IMUX_INDEX | FOOBAR7_ | -1 | -1 | 194 | 111 | 164 | -1 | 114 | 216 | -1 | 81 | 186 | -1 | 120 | -1 | -1 | 123 | 124 | 125 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | -1 | 38 | -1 | -1 | 210 | -1 | -1 | -1 | 10 | 190 | 11 | -1 | 198 | 110 | 156 | 212 | 228 | -1 | 117 | 218 | -1 | -1 | 196 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | ram/BACTr | NULL | 3 | ram/RS_FSM_FFd4 | NULL | 6 | cnt/INITS_FSM_FFd1 | NULL | 8 | ram/RefDone | NULL | 11 | nBERR_FSB_OBUF.UIM | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 12 | iobs/Load1 | NULL | 13 | cnt/INITS_FSM_FFd2 | NULL | 14 | RefReq | NULL | 15 | cnt/nIPL2r | NULL | 16 | IOBERR | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 17 | RefUrg | NULL | 18 | A_FSB<19> | 17 | 21 | ram/RAMEN | NULL | 27 | ram/RS_FSM_FFd3 | NULL | 28 | nWE_FSB | 29 -FB_ORDER_OF_INPUTS | FOOBAR8_ | 29 | nLDS_FSB | 30 | 30 | ram/RS_FSM_FFd8 | NULL | 32 | IOPWReady | NULL | 34 | fsb/ASrf | NULL | 35 | ram/Once | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | nAS_FSB | 32 | 37 | ram/RS_FSM_FFd2 | NULL | 39 | nBR_IOB_OBUF | NULL | 42 | iobs/Clear1 | NULL | 43 | ram/RS_FSM_FFd6 | NULL -FB_ORDER_OF_INPUTS | FOOBAR8_ | 47 | iobs/Sent | NULL | 49 | ALE1 | NULL | 50 | iobs/TS_FSM_FFd2 | NULL | 51 | nUDS_FSB | 33 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 0 | iobs/IODONEr | NULL | 1 | fsb/ASrf | NULL | 2 | A_FSB<21> | 19 | 3 | iobs/IORW1 | NULL | 7 | A_FSB<17> | 15 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 8 | IOWRREQ | NULL | 9 | ram/RASEL | NULL | 10 | cnt/nIPL2r | NULL | 11 | nBERR_FSB_OBUF.UIM | NULL | 12 | cs/nOverlay | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 13 | iobs/IOU1 | NULL | 14 | iobs/IOL1 | NULL | 16 | A_FSB<13> | 11 | 17 | ram/RASrf | NULL | 18 | A_FSB<19> | 17 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 20 | ram/RAMEN | NULL | 21 | IOL0 | NULL | 22 | iobs/IOACTr | NULL | 28 | nWE_FSB | 29 | 29 | nLDS_FSB | 30 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 30 | A_FSB<20> | 18 | 32 | IOU0 | NULL | 33 | nAS_FSB | 32 | 34 | iobs/TS_FSM_FFd2 | NULL | 35 | A_FSB<14> | 12 +FB_ORDER_OF_INPUTS | FOOBAR8_ | 36 | A_FSB<23> | 24 | 37 | iobs/Sent | NULL | 39 | A_FSB<22> | 20 | 42 | nBR_IOB_OBUF | NULL | 44 | cnt/INITS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 45 | IOBERR | NULL | 46 | ALE1 | NULL | 47 | IONPReady | NULL | 48 | cnt/INITS_FSM_FFd2 | NULL | 49 | iobs/TS_FSM_FFd1 | NULL +FB_ORDER_OF_INPUTS | FOOBAR8_ | 50 | A_FSB<18> | 16 | 51 | nUDS_FSB | 33 | 52 | ram/RASrr | NULL | 53 | A_FSB<16> | 14 -FB_IMUX_INDEX | FOOBAR8_ | 54 | -1 | -1 | 39 | -1 | -1 | 42 | -1 | 134 | -1 | -1 | 137 | 66 | 49 | 50 | 33 | 34 | 53 | 222 | -1 | -1 | 143 | -1 | -1 | -1 | -1 | -1 | 126 | 256 | 260 | 141 | -1 | 138 | -1 | 129 | 60 | 268 | 128 | -1 | 140 | -1 | -1 | 57 | 139 | -1 | -1 | -1 | 71 | -1 | 103 | 69 | 272 | -1 | -1 +FB_IMUX_INDEX | FOOBAR8_ | 0 | 37 | 228 | 57 | -1 | -1 | -1 | 216 | 134 | 81 | 10 | 137 | 84 | 13 | 14 | -1 | 208 | 35 | 222 | -1 | 74 | 143 | 1 | -1 | -1 | -1 | -1 | -1 | 256 | 260 | 226 | -1 | 138 | 268 | 129 | 210 | 240 | 70 | -1 | 230 | -1 | -1 | 140 | -1 | 110 | 16 | 103 | 142 | 120 | 66 | 218 | 272 | 75 | 214 GLOBAL_FCLK | C16M | 0 | 0 | C8M | 1 | 1 | FCLK | 2 | 2 diff --git a/cpld/XC95144XL/WarpSE.xise b/cpld/XC95144XL/WarpSE.xise index 4a5d300..142f7a3 100644 --- a/cpld/XC95144XL/WarpSE.xise +++ b/cpld/XC95144XL/WarpSE.xise @@ -15,94 +15,169 @@ - - + + - - + + - - + + - - + + - - + + - - + + - - + + - + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + - + @@ -111,8 +186,15 @@ + + + + + + + @@ -124,14 +206,25 @@ - + + + + + + - + + + + + + + @@ -139,11 +232,16 @@ + + + + + @@ -151,35 +249,75 @@ + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + + @@ -188,18 +326,33 @@ + + + + + + + + + + + + + + + @@ -209,22 +362,35 @@ + + + + + + + + + + + + + @@ -239,8 +405,8 @@ - - + + diff --git a/cpld/XC95144XL/WarpSE.xml b/cpld/XC95144XL/WarpSE.xml index 5730256..c21e795 100644 --- a/cpld/XC95144XL/WarpSE.xml +++ b/cpld/XC95144XL/WarpSE.xml @@ -1,3 +1,3 @@ -WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'A_FSB<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<4>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<5>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<6>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<7>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'A_FSB<8>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. +WarpSE.rptC:/Xilinx/14.7/ISE_DS/ISE/xc9500xl/data/xc95144xl.chpWarpSE.mfd
Cpld - Unable to retrieve the path to the iSE Project Repository. Will use the default filename of 'WarpSE.ise'.INFO:Cpld - Inferring BUFG constraint for signal 'C16M' based upon the LOC constraint 'P22'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'C8M' based upon the LOC constraint 'P23'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.INFO:Cpld - Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored.Cpld:1007 - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<2>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'SW<3>'. The input(s) are unused after optimization. Please verify functionality via simulation.Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/WarpSE_envsettings.html b/cpld/XC95144XL/WarpSE_envsettings.html index 305276d..70443f0 100644 --- a/cpld/XC95144XL/WarpSE_envsettings.html +++ b/cpld/XC95144XL/WarpSE_envsettings.html @@ -206,7 +206,7 @@ -uc   -C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE-XC95144XL.ucf +WarpSE-XC95144XL.ucf None diff --git a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm index f1fb602..9020cad 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/ascii.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/ascii.htm @@ -3,7 +3,7 @@ cpldfit: version P.20131013 Xilinx Inc. Fitter Report -Design Name: WarpSE Date: 4- 1-2023, 8:21AM +Design Name: WarpSE Date: 4- 7-2023, 2:26AM Device Used: XC95144XL-10-TQ100 Fitting Status: Successful @@ -11,22 +11,22 @@ Fitting Status: Successful Macrocells Product Terms Function Block Registers Pins Used/Tot Used/Tot Inps Used/Tot Used/Tot Used/Tot -117/144 ( 81%) 371 /720 ( 52%) 217/432 ( 50%) 90 /144 ( 62%) 71 /81 ( 88%) +121/144 ( 84%) 395 /720 ( 55%) 236/432 ( 55%) 97 /144 ( 67%) 71 /81 ( 88%) ** Function Block Resources ** Function Mcells FB Inps Pterms IO Block Used/Tot Used/Tot Used/Tot Used/Tot -FB1 18/18* 23/54 25/90 11/11* -FB2 2/18 2/54 2/90 8/10 -FB3 14/18 33/54 78/90 10/10* -FB4 16/18 33/54 35/90 10/10* -FB5 14/18 32/54 77/90 8/10 -FB6 18/18* 28/54 55/90 10/10* -FB7 18/18* 33/54 33/90 8/10 -FB8 17/18 33/54 66/90 6/10 +FB1 18/18* 24/54 24/90 11/11* +FB2 5/18 4/54 5/90 8/10 +FB3 18/18* 35/54 39/90 10/10* +FB4 15/18 39/54 77/90 10/10* +FB5 17/18 35/54 64/90 8/10 +FB6 18/18* 36/54 68/90 10/10* +FB7 18/18* 24/54 37/90 8/10 +FB8 12/18 39/54 81/90 6/10 ----- ----- ----- ----- - 117/144 217/432 371/720 71/81 + 121/144 236/432 395/720 71/81 * - Resource is exhausted @@ -53,7 +53,7 @@ GSR : 0 0 | ** Power Data ** -There are 117 macrocells in high performance mode (MCHP). +There are 121 macrocells in high performance mode (MCHP). There are 0 macrocells in low power mode (MCLP). End of Mapped Resource Summary ************************** Errors and Warnings *************************** @@ -87,176 +87,180 @@ WARNING:Cpld:1007 - Removing unused input(s) 'nBG_IOB'. The input(s) are unused ** 36 Outputs ** -Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init -Name Pts Inps No. Type Use Mode Rate State -nDTACK_FSB 17 28 FB3_9 28 I/O O STD FAST RESET -nROMWE 1 2 FB3_17 34 I/O O STD FAST -nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET -nDoutOE 1 2 FB4_5 89 I/O O STD FAST -nDinOE 3 6 FB4_6 90 I/O O STD FAST -nRES 1 1 FB4_8 91 I/O I/O STD FAST -nVPA_FSB 1 2 FB4_11 93 I/O O STD FAST -nROMCS 2 5 FB5_2 35 I/O O STD FAST -nCAS 1 1 FB5_5 36 I/O O STD FAST RESET -nOE 1 2 FB5_6 37 I/O O STD FAST -RA<4> 2 3 FB5_9 40 I/O O STD FAST -RA<3> 2 3 FB5_11 41 I/O O STD FAST -RA<5> 2 3 FB5_12 42 I/O O STD FAST -RA<2> 2 3 FB5_14 43 I/O O STD FAST -RA<6> 2 3 FB5_15 46 I/O O STD FAST -nVMA_IOB 3 9 FB6_2 74 I/O O STD FAST RESET -nLDS_IOB 4 6 FB6_9 79 I/O O STD FAST RESET -nUDS_IOB 4 6 FB6_11 80 I/O O STD FAST RESET -nAS_IOB 3 4 FB6_12 81 I/O O STD FAST RESET -nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET -nADoutLE0 1 2 FB6_15 85 I/O O STD FAST -nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET -RA<1> 2 3 FB7_2 50 I/O O STD FAST -RA<7> 2 3 FB7_5 52 I/O O STD FAST -RA<0> 2 3 FB7_6 53 I/O O STD FAST -RA<8> 5 6 FB7_8 54 I/O O STD FAST -RA<10> 1 1 FB7_9 55 I/O O STD FAST -RA<9> 2 3 FB7_11 56 I/O O STD FAST -C25MEN 0 0 FB7_12 58 I/O O STD FAST -C20MEN 0 0 FB7_14 59 I/O O STD FAST -RA<11> 1 1 FB8_2 63 I/O O STD FAST -nRAS 2 6 FB8_5 64 I/O O STD FAST -nRAMLWE 1 4 FB8_6 65 I/O O STD FAST -nRAMUWE 1 4 FB8_8 66 I/O O STD FAST -nBERR_FSB 1 2 FB8_12 70 I/O O STD FAST -nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET +Signal Total Total Loc Pin Pin Pin Pwr Slew Reg Init +Name Pts Inps No. Type Use Mode Rate State +nDTACK_FSB 8 17 FB3_9 28 I/O O STD FAST RESET +nROMWE 1 2 FB3_17 34 I/O O STD FAST +nAoutOE 2 4 FB4_2 87 I/O O STD FAST SET +nDoutOE 2 5 FB4_5 89 I/O O STD FAST +nDinOE 3 6 FB4_6 90 I/O O STD FAST +nRES 1 1 FB4_8 91 I/O I/O STD FAST +nVPA_FSB 3 9 FB4_11 93 I/O O STD FAST RESET +nROMCS 2 5 FB5_2 35 I/O O STD FAST +nCAS 1 1 FB5_5 36 I/O O STD FAST RESET +nOE 1 2 FB5_6 37 I/O O STD FAST +RA<4> 2 3 FB5_9 40 I/O O STD FAST +RA<3> 2 3 FB5_11 41 I/O O STD FAST +RA<5> 2 3 FB5_12 42 I/O O STD FAST +RA<2> 2 3 FB5_14 43 I/O O STD FAST +RA<6> 2 3 FB5_15 46 I/O O STD FAST +nVMA_IOB 3 8 FB6_2 74 I/O O STD FAST RESET +nLDS_IOB 6 10 FB6_9 79 I/O O STD FAST RESET +nUDS_IOB 6 10 FB6_11 80 I/O O STD FAST RESET +nAS_IOB 4 9 FB6_12 81 I/O O STD FAST RESET +nADoutLE1 2 3 FB6_14 82 I/O O STD FAST SET +nADoutLE0 1 2 FB6_15 85 I/O O STD FAST +nDinLE 1 2 FB6_17 86 I/O O STD FAST RESET +RA<1> 2 3 FB7_2 50 I/O O STD FAST +RA<7> 2 3 FB7_5 52 I/O O STD FAST +RA<0> 2 3 FB7_6 53 I/O O STD FAST +RA<8> 2 3 FB7_8 54 I/O O STD FAST +RA<10> 2 3 FB7_9 55 I/O O STD FAST +RA<9> 2 3 FB7_11 56 I/O O STD FAST +C25MEN 0 0 FB7_12 58 I/O O STD FAST +C20MEN 0 0 FB7_14 59 I/O O STD FAST +RA<11> 2 3 FB8_2 63 I/O O STD FAST +nRAS 3 7 FB8_5 64 I/O O STD FAST +nRAMLWE 1 4 FB8_6 65 I/O O STD FAST +nRAMUWE 1 4 FB8_8 66 I/O O STD FAST +nBERR_FSB 3 5 FB8_12 70 I/O O STD FAST RESET +nBR_IOB 2 4 FB8_15 72 I/O O STD FAST RESET -** 81 Buried Nodes ** +** 85 Buried Nodes ** -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RefUrg 1 2 FB1_1 STD RESET -ram/RefReqSync 1 1 FB1_2 STD RESET -ram/RefReq 1 2 FB1_3 STD RESET -ram/RefRAS 1 2 FB1_4 STD RESET -iobs/IODTACKr 1 1 FB1_5 STD RESET -iobm/RESrf 1 1 FB1_6 STD RESET -iobm/Er2 1 1 FB1_7 STD RESET -iobm/Er 1 1 FB1_8 STD RESET -iobm/DTACKrf 1 1 FB1_9 STD RESET -iobm/BERRrf 1 1 FB1_10 STD RESET -cnt/nIPL2r 1 1 FB1_11 STD RESET -cnt/Er<0> 1 1 FB1_12 STD RESET -ram/RefDone 2 4 FB1_13 STD RESET -iobs/TS_FSM_FFd1 2 3 FB1_14 STD RESET -iobs/IOU1 2 2 FB1_15 STD RESET -iobs/IOL1 2 2 FB1_16 STD RESET -iobm/IOS_FSM_FFd1 2 3 FB1_17 STD RESET -IOU0 3 5 FB1_18 STD RESET -iobm/VPAr 1 1 FB2_17 STD RESET -iobm/IOREQr 1 1 FB2_18 STD RESET -fsb/VPA 16 27 FB3_1 STD RESET -iobs/IOACTr 1 1 FB3_3 STD RESET -iobs/Clear1 1 2 FB3_4 STD RESET -fsb/ASrf 1 1 FB3_5 STD RESET -ALE0S 1 1 FB3_6 STD RESET -iobs/Load1 8 18 FB3_7 STD RESET -$OpTx$$OpTx$FX_DC$47_INV$153 1 2 FB3_8 STD -fsb/Ready0r 2 7 FB3_11 STD RESET -cs/ODCSr 2 6 FB3_12 STD RESET -iobs/Sent 13 18 FB3_13 STD RESET -fsb/Ready1r 13 19 FB3_16 STD RESET -ram/BACTr 1 2 FB3_18 STD RESET -ram/RegUrgSync 1 1 FB4_4 STD RESET -nRESout 1 2 FB4_7 STD RESET -cnt/Er<1> 1 1 FB4_9 STD RESET -cnt/TimerTC 2 6 FB4_10 STD RESET -cnt/Timer<0> 2 4 FB4_12 STD RESET -cnt/LTimerTC 2 16 FB4_13 STD RESET -cnt/LTimer<12> 2 15 FB4_14 STD RESET -RefReq 2 5 FB4_15 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +iobs/IODONEr 1 1 FB1_1 STD RESET +iobs/IOACTr 1 1 FB1_2 STD RESET +iobm/VPAr 1 1 FB1_3 STD RESET +iobm/IOWRREQr 1 1 FB1_4 STD RESET +iobm/IOS_FSM_FFd5 1 1 FB1_5 STD RESET +iobm/IOS_FSM_FFd4 1 1 FB1_6 STD RESET +iobm/IOS_FSM_FFd1 1 1 FB1_7 STD RESET +iobm/IORDREQr 1 1 FB1_8 STD RESET +iobm/Er 1 1 FB1_9 STD RESET +iobm/C8Mr 1 1 FB1_10 STD RESET +cnt/nIPL2r 1 1 FB1_11 STD RESET +cnt/Er<0> 1 1 FB1_12 STD RESET +ALE0S 1 1 FB1_13 STD RESET +iobs/IOU1 2 2 FB1_14 STD RESET +iobs/IOL1 2 2 FB1_15 STD RESET +iobm/IOS_FSM_FFd2 2 4 FB1_16 STD RESET +IOBERR 2 2 FB1_17 STD RESET +iobm/ES<2> 3 5 FB1_18 STD RESET +ram/RS_FSM_FFd5 1 1 FB2_14 STD RESET +ram/RS_FSM_FFd3 1 1 FB2_15 STD RESET +ram/RS_FSM_FFd2 1 1 FB2_16 STD RESET +ram/RS_FSM_FFd1 1 1 FB2_17 STD RESET +ram/RASrf 1 1 FB2_18 STD RESET +ram/BACTr 1 2 FB3_1 STD RESET +fsb/ASrf 1 1 FB3_2 STD RESET +cnt/LTimerTC 2 16 FB3_3 STD RESET +cnt/LTimer<9> 2 12 FB3_4 STD RESET +cnt/LTimer<8> 2 11 FB3_5 STD RESET +cnt/LTimer<7> 2 10 FB3_6 STD RESET +cnt/LTimer<6> 2 9 FB3_7 STD RESET +cnt/LTimer<5> 2 8 FB3_8 STD RESET +cnt/LTimer<4> 2 7 FB3_10 STD RESET +cnt/LTimer<3> 2 6 FB3_11 STD RESET +cnt/LTimer<2> 2 5 FB3_12 STD RESET +cnt/LTimer<1> 2 4 FB3_13 STD RESET +cnt/LTimer<12> 2 15 FB3_14 STD RESET +cnt/LTimer<11> 2 14 FB3_15 STD RESET +cnt/LTimer<10> 2 13 FB3_16 STD RESET +IOPWReady 2 5 FB3_18 STD RESET +nRESout 1 2 FB4_1 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -cnt/Timer<1> 4 5 FB4_16 STD RESET -cnt/Timer<2> 5 6 FB4_17 STD RESET -RefUrg 5 7 FB4_18 STD RESET -IOL0 3 5 FB5_1 STD RESET -iobs/IORW1 8 19 FB5_3 STD RESET -iobs/TS_FSM_FFd2 14 19 FB5_7 STD RESET -iobs/DTACKEN 6 11 FB5_10 STD RESET -IOREQ 14 19 FB5_13 STD RESET -IORW0 18 20 FB5_17 STD RESET -iobm/ETACK 1 6 FB6_1 STD RESET -ALE0M 2 4 FB6_3 STD RESET -iobm/IOS_FSM_FFd3 3 6 FB6_4 STD RESET -iobm/ES<3> 3 6 FB6_5 STD RESET -iobm/ES<1> 3 4 FB6_6 STD RESET -iobm/ES<0> 3 7 FB6_7 STD RESET -iobm/DoutOE 3 6 FB6_8 STD RESET -iobm/IOS_FSM_FFd2 4 8 FB6_10 STD RESET -iobm/ES<4> 4 7 FB6_13 STD RESET -iobm/ES<2> 5 7 FB6_16 STD RESET -IOACT 6 9 FB6_18 STD RESET -cnt/LTimer<0> 1 3 FB7_1 STD RESET -cs/nOverlay 2 5 FB7_3 STD RESET -cnt/LTimer<9> 2 12 FB7_4 STD RESET -cnt/LTimer<8> 2 11 FB7_7 STD RESET -cnt/LTimer<7> 2 10 FB7_10 STD RESET -cnt/LTimer<3> 2 6 FB7_13 STD RESET -cnt/LTimer<2> 2 5 FB7_15 STD RESET -cnt/LTimer<1> 2 4 FB7_16 STD RESET -cnt/LTimer<11> 2 14 FB7_17 STD RESET -cnt/LTimer<10> 2 13 FB7_18 STD RESET -ram/RAMEN 9 12 FB8_3 STD RESET -ram/RAMReady 9 12 FB8_4 STD RESET -ram/RS_FSM_FFd2 7 11 FB8_7 STD RESET -ram/RS_FSM_FFd3 7 10 FB8_9 STD RESET -ram/RS_FSM_FFd1 3 9 FB8_10 STD RESET -cnt/INITS_FSM_FFd2 2 6 FB8_11 STD RESET -cnt/LTimer<4> 2 7 FB8_13 STD RESET -cnt/LTimer<5> 2 8 FB8_14 STD RESET -cnt/LTimer<6> 2 9 FB8_16 STD RESET -cnt/INITS_FSM_FFd1 1 7 FB8_17 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +ram/RS_FSM_FFd8 11 12 FB4_3 STD SET +iobs/IORW1 8 19 FB4_4 STD RESET +IORDREQ 9 15 FB4_9 STD RESET +cs/ODCSr 2 6 FB4_10 STD RESET +iobs/Load1 8 18 FB4_12 STD RESET +iobs/TS_FSM_FFd1 2 3 FB4_13 STD RESET +RAMReady 10 13 FB4_15 STD RESET +ram/RS_FSM_FFd7 2 7 FB4_16 STD RESET +iobs/Sent 13 18 FB4_17 STD RESET +ram/RAMEN 12 14 FB5_3 STD RESET +ram/RASrr 4 9 FB5_4 STD RESET +ram/RS_FSM_FFd6 9 12 FB5_7 STD RESET +ram/Once 3 8 FB5_8 STD RESET +ram/RASEL 3 8 FB5_10 STD RESET +cs/nOverlay 2 5 FB5_13 STD RESET +ram/RS_FSM_FFd4 2 4 FB5_16 STD RESET +ram/RefDone 2 5 FB5_17 STD RESET +ram/CAS 13 14 FB5_18 STD RESET +iobm/IOS_FSM_FFd6 2 5 FB6_1 STD RESET +iobm/IOS_FSM_FFd7 3 6 FB6_3 STD SET +iobm/IOS_FSM_FFd3 3 5 FB6_4 STD RESET +iobm/ES<0> 3 6 FB6_5 STD RESET +iobm/ES<3> 4 6 FB6_6 STD RESET +iobm/ES<1> 4 6 FB6_7 STD RESET +iobm/DoutOE 4 8 FB6_8 STD RESET +IODONE 4 8 FB6_10 STD RESET +iobm/IOS0 5 12 FB6_13 STD RESET +ALE0M 5 11 FB6_16 STD RESET +IOACT 8 14 FB6_18 STD RESET +cnt/LTimer<0> 1 3 FB7_1 STD RESET +cnt/INITS_FSM_FFd1 1 7 FB7_3 STD RESET +cnt/Er<1> 1 1 FB7_4 STD RESET +cnt/TimerTC 2 6 FB7_7 STD RESET +cnt/Timer<0> 2 4 FB7_10 STD RESET +cnt/INITS_FSM_FFd2 2 6 FB7_13 STD RESET +RefReq 2 5 FB7_15 STD RESET +cnt/Timer<1> 4 5 FB7_16 STD RESET +cnt/Timer<2> 5 6 FB7_17 STD RESET +RefUrg 5 7 FB7_18 STD RESET +iobs/TS_FSM_FFd2 14 19 FB8_4 STD RESET -Signal Total Total Loc Pwr Reg Init -Name Pts Inps Mode State -ram/RASEL 14 12 FB8_18 STD RESET +Signal Total Total Loc Pwr Reg Init +Name Pts Inps Mode State +IOWRREQ 15 21 FB8_9 STD RESET +IOU0 17 21 FB8_13 STD RESET +iobs/Clear1 1 2 FB8_16 STD RESET +IONPReady 5 17 FB8_17 STD RESET +IOL0 17 21 FB8_18 STD RESET ** 35 Inputs ** -Signal Loc Pin Pin Pin -Name No. Type Use -A_FSB<13> FB1_2 11 I/O I -A_FSB<14> FB1_3 12 I/O I -A_FSB<15> FB1_5 13 I/O I -A_FSB<16> FB1_6 14 I/O I -A_FSB<17> FB1_8 15 I/O I -A_FSB<18> FB1_9 16 I/O I -A_FSB<19> FB1_11 17 I/O I -A_FSB<20> FB1_12 18 I/O I -A_FSB<21> FB1_14 19 I/O I -A_FSB<22> FB1_15 20 I/O I -C16M FB1_17 22 GCK/I/O GCK -A_FSB<5> FB2_6 2 GTS/I/O I -A_FSB<6> FB2_8 3 GTS/I/O I -A_FSB<7> FB2_9 4 GTS/I/O I -A_FSB<8> FB2_11 6 I/O I -A_FSB<9> FB2_12 7 I/O I -A_FSB<10> FB2_14 8 I/O I -A_FSB<11> FB2_15 9 I/O I -A_FSB<12> FB2_17 10 I/O I -C8M FB3_2 23 GCK/I/O GCK/I -A_FSB<23> FB3_5 24 I/O I -E FB3_6 25 I/O I -FCLK FB3_8 27 GCK/I/O GCK -nWE_FSB FB3_11 29 I/O I -nLDS_FSB FB3_12 30 I/O I -nAS_FSB FB3_14 32 I/O I -nUDS_FSB FB3_15 33 I/O I -nIPL2 FB4_9 92 I/O I -A_FSB<1> FB4_12 94 I/O I -A_FSB<2> FB4_14 95 I/O I -A_FSB<3> FB4_15 96 I/O I -A_FSB<4> FB4_17 97 I/O I -nBERR_IOB FB6_5 76 I/O I -nVPA_IOB FB6_6 77 I/O I -nDTACK_IOB FB6_8 78 I/O I +Signal Loc Pin Pin Pin +Name No. Type Use +A_FSB<13> FB1_2 11 I/O I +A_FSB<14> FB1_3 12 I/O I +A_FSB<15> FB1_5 13 I/O I +A_FSB<16> FB1_6 14 I/O I +A_FSB<17> FB1_8 15 I/O I +A_FSB<18> FB1_9 16 I/O I +A_FSB<19> FB1_11 17 I/O I +A_FSB<20> FB1_12 18 I/O I +A_FSB<21> FB1_14 19 I/O I +A_FSB<22> FB1_15 20 I/O I +C16M FB1_17 22 GCK/I/O GCK +A_FSB<5> FB2_6 2 GTS/I/O I +A_FSB<6> FB2_8 3 GTS/I/O I +A_FSB<7> FB2_9 4 GTS/I/O I +A_FSB<8> FB2_11 6 I/O I +A_FSB<9> FB2_12 7 I/O I +A_FSB<10> FB2_14 8 I/O I +A_FSB<11> FB2_15 9 I/O I +A_FSB<12> FB2_17 10 I/O I +C8M FB3_2 23 GCK/I/O GCK/I +A_FSB<23> FB3_5 24 I/O I +E FB3_6 25 I/O I +FCLK FB3_8 27 GCK/I/O GCK +nWE_FSB FB3_11 29 I/O I +nLDS_FSB FB3_12 30 I/O I +nAS_FSB FB3_14 32 I/O I +nUDS_FSB FB3_15 33 I/O I +nIPL2 FB4_9 92 I/O I +A_FSB<1> FB4_12 94 I/O I +A_FSB<2> FB4_14 95 I/O I +A_FSB<3> FB4_15 96 I/O I +A_FSB<4> FB4_17 97 I/O I +nBERR_IOB FB6_5 76 I/O I +nVPA_IOB FB6_6 77 I/O I +nDTACK_IOB FB6_8 78 I/O I Legend: Pin No. - ~ - User Assigned @@ -274,64 +278,64 @@ Pin Type/Use - I - Input GCK - Global Clock X - Signal used as input to the macrocell logic. Pin No. - ~ - User Assigned *********************************** FB1 *********************************** -Number of function block inputs used/remaining: 23/31 -Number of signals used by logic mapping into function block: 23 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -ram/RefUrg 1 0 0 4 FB1_1 (b) (b) -ram/RefReqSync 1 0 0 4 FB1_2 11 I/O I -ram/RefReq 1 0 0 4 FB1_3 12 I/O I -ram/RefRAS 1 0 0 4 FB1_4 (b) (b) -iobs/IODTACKr 1 0 0 4 FB1_5 13 I/O I -iobm/RESrf 1 0 0 4 FB1_6 14 I/O I -iobm/Er2 1 0 0 4 FB1_7 (b) (b) -iobm/Er 1 0 0 4 FB1_8 15 I/O I -iobm/DTACKrf 1 0 0 4 FB1_9 16 I/O I -iobm/BERRrf 1 0 0 4 FB1_10 (b) (b) +iobs/IODONEr 1 0 0 4 FB1_1 (b) (b) +iobs/IOACTr 1 0 0 4 FB1_2 11 I/O I +iobm/VPAr 1 0 0 4 FB1_3 12 I/O I +iobm/IOWRREQr 1 0 0 4 FB1_4 (b) (b) +iobm/IOS_FSM_FFd5 1 0 0 4 FB1_5 13 I/O I +iobm/IOS_FSM_FFd4 1 0 0 4 FB1_6 14 I/O I +iobm/IOS_FSM_FFd1 1 0 0 4 FB1_7 (b) (b) +iobm/IORDREQr 1 0 0 4 FB1_8 15 I/O I +iobm/Er 1 0 0 4 FB1_9 16 I/O I +iobm/C8Mr 1 0 0 4 FB1_10 (b) (b) cnt/nIPL2r 1 0 0 4 FB1_11 17 I/O I cnt/Er<0> 1 0 0 4 FB1_12 18 I/O I -ram/RefDone 2 0 0 3 FB1_13 (b) (b) -iobs/TS_FSM_FFd1 2 0 0 3 FB1_14 19 I/O I -iobs/IOU1 2 0 0 3 FB1_15 20 I/O I -iobs/IOL1 2 0 0 3 FB1_16 (b) (b) -iobm/IOS_FSM_FFd1 2 0 0 3 FB1_17 22 GCK/I/O GCK -IOU0 3 0 0 2 FB1_18 (b) (b) +ALE0S 1 0 0 4 FB1_13 (b) (b) +iobs/IOU1 2 0 0 3 FB1_14 19 I/O I +iobs/IOL1 2 0 0 3 FB1_15 20 I/O I +iobm/IOS_FSM_FFd2 2 0 0 3 FB1_16 (b) (b) +IOBERR 2 0 0 3 FB1_17 22 GCK/I/O GCK +iobm/ES<2> 3 0 0 2 FB1_18 (b) (b) Signals Used by Logic in Function Block - 1: E 9: iobs/IOU1 17: nLDS_FSB - 2: nRES.PIN 10: iobs/Load1 18: nUDS_FSB - 3: RefReq 11: iobs/TS_FSM_FFd1 19: ram/RS_FSM_FFd1 - 4: iobm/Er 12: iobs/TS_FSM_FFd2 20: ram/RS_FSM_FFd2 - 5: iobm/IOS_FSM_FFd1 13: nADoutLE1 21: ram/RefDone - 6: iobm/IOS_FSM_FFd2 14: nBERR_IOB 22: ram/RefReqSync - 7: iobm/IOS_FSM_FFd3 15: nDTACK_IOB 23: ram/RegUrgSync - 8: iobs/IOACTr 16: nIPL2 + 1: C8M 9: iobm/ES<0> 17: iobs/Load1 + 2: E 10: iobm/ES<1> 18: iobs/TS_FSM_FFd2 + 3: IOACT 11: iobm/ES<2> 19: nAS_IOB + 4: IOBERR 12: iobm/Er 20: nBERR_IOB + 5: IODONE 13: iobm/IOS_FSM_FFd2 21: nIPL2 + 6: IORDREQ 14: iobm/IOS_FSM_FFd3 22: nLDS_FSB + 7: IOWRREQ 15: iobm/IOS_FSM_FFd5 23: nUDS_FSB + 8: iobm/C8Mr 16: iobm/IOS_FSM_FFd6 24: nVPA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -ram/RefUrg ....................X.X................. 2 -ram/RefReqSync ..X..................................... 1 -ram/RefReq ....................XX.................. 2 -ram/RefRAS ..................XX.................... 2 -iobs/IODTACKr ..............X......................... 1 -iobm/RESrf .X...................................... 1 -iobm/Er2 ...X.................................... 1 -iobm/Er X....................................... 1 -iobm/DTACKrf ..............X......................... 1 -iobm/BERRrf .............X.......................... 1 -cnt/nIPL2r ...............X........................ 1 -cnt/Er<0> X....................................... 1 -ram/RefDone ..................XXXX.................. 4 -iobs/TS_FSM_FFd1 .......X..XX............................ 3 -iobs/IOU1 .........X.......X...................... 2 -iobs/IOL1 .........X......X....................... 2 -iobm/IOS_FSM_FFd1 ....XXX................................. 3 -IOU0 ........X.XXX....X...................... 5 +iobs/IODONEr ....X................................... 1 +iobs/IOACTr ..X..................................... 1 +iobm/VPAr .......................X................ 1 +iobm/IOWRREQr ......X................................. 1 +iobm/IOS_FSM_FFd5 ...............X........................ 1 +iobm/IOS_FSM_FFd4 ..............X......................... 1 +iobm/IOS_FSM_FFd1 ............X........................... 1 +iobm/IORDREQr .....X.................................. 1 +iobm/Er .X...................................... 1 +iobm/C8Mr X....................................... 1 +cnt/nIPL2r ....................X................... 1 +cnt/Er<0> .X...................................... 1 +ALE0S .................X...................... 1 +iobs/IOU1 ................X.....X................. 2 +iobs/IOL1 ................X....X.................. 2 +iobm/IOS_FSM_FFd2 ...XX..X.....X.......................... 4 +IOBERR ..................XX.................... 2 +iobm/ES<2> .X......XXXX............................ 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB2 *********************************** -Number of function block inputs used/remaining: 2/52 -Number of signals used by logic mapping into function block: 2 +Number of function block inputs used/remaining: 4/50 +Number of signals used by logic mapping into function block: 4 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_1 (b) @@ -347,381 +351,396 @@ Name Pt Pt Pt Pt # Type Use (unused) 0 0 0 5 FB2_11 6 I/O I (unused) 0 0 0 5 FB2_12 7 I/O I (unused) 0 0 0 5 FB2_13 (b) -(unused) 0 0 0 5 FB2_14 8 I/O I -(unused) 0 0 0 5 FB2_15 9 I/O I -(unused) 0 0 0 5 FB2_16 (b) -iobm/VPAr 1 0 0 4 FB2_17 10 I/O I -iobm/IOREQr 1 0 0 4 FB2_18 (b) (b) +ram/RS_FSM_FFd5 1 0 0 4 FB2_14 8 I/O I +ram/RS_FSM_FFd3 1 0 0 4 FB2_15 9 I/O I +ram/RS_FSM_FFd2 1 0 0 4 FB2_16 (b) (b) +ram/RS_FSM_FFd1 1 0 0 4 FB2_17 10 I/O I +ram/RASrf 1 0 0 4 FB2_18 (b) (b) Signals Used by Logic in Function Block - 1: IOREQ 2: nVPA_IOB + 1: ram/RS_FSM_FFd2 3: ram/RS_FSM_FFd6 4: ram/RS_FSM_FFd7 + 2: ram/RS_FSM_FFd3 Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/VPAr .X...................................... 1 -iobm/IOREQr X....................................... 1 +ram/RS_FSM_FFd5 ...X.................................... 1 +ram/RS_FSM_FFd3 ..X..................................... 1 +ram/RS_FSM_FFd2 .X...................................... 1 +ram/RS_FSM_FFd1 X....................................... 1 +ram/RASrf ...X.................................... 1 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB3 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -fsb/VPA 16 11<- 0 0 FB3_1 (b) (b) -(unused) 0 0 /\5 0 FB3_2 23 GCK/I/O GCK/I -iobs/IOACTr 1 0 /\2 2 FB3_3 (b) (b) -iobs/Clear1 1 0 0 4 FB3_4 (b) (b) -fsb/ASrf 1 0 0 4 FB3_5 24 I/O I -ALE0S 1 0 \/3 1 FB3_6 25 I/O I -iobs/Load1 8 3<- 0 0 FB3_7 (b) (b) -$OpTx$$OpTx$FX_DC$47_INV$153 - 1 0 \/4 0 FB3_8 27 GCK/I/O GCK -nDTACK_FSB 17 12<- 0 0 FB3_9 28 I/O O -(unused) 0 0 /\5 0 FB3_10 (b) (b) -fsb/Ready0r 2 0 /\3 0 FB3_11 29 I/O I -cs/ODCSr 2 0 \/3 0 FB3_12 30 I/O I -iobs/Sent 13 8<- 0 0 FB3_13 (b) (b) -(unused) 0 0 /\5 0 FB3_14 32 I/O I -(unused) 0 0 \/5 0 FB3_15 33 I/O I -fsb/Ready1r 13 8<- 0 0 FB3_16 (b) (b) -nROMWE 1 0 /\3 1 FB3_17 34 I/O O -ram/BACTr 1 0 \/4 0 FB3_18 (b) (b) +ram/BACTr 1 0 0 4 FB3_1 (b) (b) +fsb/ASrf 1 0 0 4 FB3_2 23 GCK/I/O GCK/I +cnt/LTimerTC 2 0 0 3 FB3_3 (b) (b) +cnt/LTimer<9> 2 0 0 3 FB3_4 (b) (b) +cnt/LTimer<8> 2 0 0 3 FB3_5 24 I/O I +cnt/LTimer<7> 2 0 0 3 FB3_6 25 I/O I +cnt/LTimer<6> 2 0 0 3 FB3_7 (b) (b) +cnt/LTimer<5> 2 0 \/2 1 FB3_8 27 GCK/I/O GCK +nDTACK_FSB 8 3<- 0 0 FB3_9 28 I/O O +cnt/LTimer<4> 2 0 /\1 2 FB3_10 (b) (b) +cnt/LTimer<3> 2 0 0 3 FB3_11 29 I/O I +cnt/LTimer<2> 2 0 0 3 FB3_12 30 I/O I +cnt/LTimer<1> 2 0 0 3 FB3_13 (b) (b) +cnt/LTimer<12> 2 0 0 3 FB3_14 32 I/O I +cnt/LTimer<11> 2 0 0 3 FB3_15 33 I/O I +cnt/LTimer<10> 2 0 0 3 FB3_16 (b) (b) +nROMWE 1 0 0 4 FB3_17 34 I/O O +IOPWReady 2 0 0 3 FB3_18 (b) (b) Signals Used by Logic in Function Block - 1: $OpTx$$OpTx$FX_DC$47_INV$153 12: A_FSB<20> 23: fsb/VPA - 2: A_FSB<10> 13: A_FSB<21> 24: iobs/DTACKEN - 3: A_FSB<11> 14: A_FSB<22> 25: iobs/IODTACKr - 4: A_FSB<12> 15: A_FSB<23> 26: iobs/Sent - 5: A_FSB<13> 16: A_FSB<8> 27: iobs/TS_FSM_FFd1 - 6: A_FSB<14> 17: A_FSB<9> 28: iobs/TS_FSM_FFd2 - 7: A_FSB<15> 18: IOACT 29: nADoutLE1 - 8: A_FSB<16> 19: cs/nOverlay 30: nAS_FSB - 9: A_FSB<17> 20: fsb/ASrf 31: nDTACK_FSB - 10: A_FSB<18> 21: fsb/Ready0r 32: nWE_FSB - 11: A_FSB<19> 22: fsb/Ready1r 33: ram/RAMReady + 1: A_FSB<13> 13: RAMReady 25: cnt/LTimer<6> + 2: A_FSB<14> 14: cnt/Er<0> 26: cnt/LTimer<7> + 3: A_FSB<16> 15: cnt/Er<1> 27: cnt/LTimer<8> + 4: A_FSB<17> 16: cnt/LTimer<0> 28: cnt/LTimer<9> + 5: A_FSB<18> 17: cnt/LTimer<10> 29: cnt/TimerTC + 6: A_FSB<19> 18: cnt/LTimer<11> 30: cs/nOverlay + 7: A_FSB<20> 19: cnt/LTimer<12> 31: fsb/ASrf + 8: A_FSB<21> 20: cnt/LTimer<1> 32: iobs/Clear1 + 9: A_FSB<22> 21: cnt/LTimer<2> 33: nADoutLE1 + 10: A_FSB<23> 22: cnt/LTimer<3> 34: nAS_FSB + 11: IONPReady 23: cnt/LTimer<4> 35: nWE_FSB + 12: IOPWReady 24: cnt/LTimer<5> Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -fsb/VPA XXXXXXXXXXXXXXXXXXX.XXXXX...X..XX....... 27 -iobs/IOACTr .................X...................... 1 -iobs/Clear1 ..........................XX............ 2 -fsb/ASrf .............................X.......... 1 -ALE0S ...........................X............ 1 -iobs/Load1 ....XX.XXXXXXXX...XX.....XXXXX.X........ 18 -$OpTx$$OpTx$FX_DC$47_INV$153 - ...................X.........X.......... 2 -nDTACK_FSB .XXXXXXXXXXXXXXXXXXXXX.XX...XXXXX....... 28 -fsb/Ready0r .............XX...XXX........X..X....... 7 -cs/ODCSr ...........XXXX....X.........X.......... 6 -iobs/Sent ....XX.XXXXXXXX...XX.....XXXXX.X........ 18 -fsb/Ready1r ....XX.XXXXXXXX..XXX.X.XX...XX.X........ 19 -nROMWE .............................X.X........ 2 -ram/BACTr ...................X.........X.......... 2 +ram/BACTr ..............................X..X...... 2 +fsb/ASrf .................................X...... 1 +cnt/LTimerTC .............XXXXXXXXXXXXXXXX........... 16 +cnt/LTimer<9> .............XXX...XXXXXXXX.X........... 12 +cnt/LTimer<8> .............XXX...XXXXXXX..X........... 11 +cnt/LTimer<7> .............XXX...XXXXXX...X........... 10 +cnt/LTimer<6> .............XXX...XXXXX....X........... 9 +cnt/LTimer<5> .............XXX...XXXX.....X........... 8 +nDTACK_FSB XXXXXXXXXXXXX................XX..XX..... 17 +cnt/LTimer<4> .............XXX...XXX......X........... 7 +cnt/LTimer<3> .............XXX...XX.......X........... 6 +cnt/LTimer<2> .............XXX...X........X........... 5 +cnt/LTimer<1> .............XXX............X........... 4 +cnt/LTimer<12> .............XXXXX.XXXXXXXXXX........... 15 +cnt/LTimer<11> .............XXXX..XXXXXXXXXX........... 14 +cnt/LTimer<10> .............XXX...XXXXXXXXXX........... 13 +nROMWE .................................XX..... 2 +IOPWReady ...........X..................XXXX...... 5 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB4 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -(unused) 0 0 0 5 FB4_1 (b) -nAoutOE 2 0 0 3 FB4_2 87 I/O O -(unused) 0 0 0 5 FB4_3 (b) -ram/RegUrgSync 1 0 0 4 FB4_4 (b) (b) -nDoutOE 1 0 0 4 FB4_5 89 I/O O -nDinOE 3 0 0 2 FB4_6 90 I/O O -nRESout 1 0 0 4 FB4_7 (b) (b) -nRES 1 0 0 4 FB4_8 91 I/O I/O -cnt/Er<1> 1 0 0 4 FB4_9 92 I/O I -cnt/TimerTC 2 0 0 3 FB4_10 (b) (b) -nVPA_FSB 1 0 0 4 FB4_11 93 I/O O -cnt/Timer<0> 2 0 0 3 FB4_12 94 I/O I -cnt/LTimerTC 2 0 0 3 FB4_13 (b) (b) -cnt/LTimer<12> 2 0 0 3 FB4_14 95 I/O I -RefReq 2 0 0 3 FB4_15 96 I/O I -cnt/Timer<1> 4 0 0 1 FB4_16 (b) (b) -cnt/Timer<2> 5 0 0 0 FB4_17 97 I/O I -RefUrg 5 0 0 0 FB4_18 (b) (b) +nRESout 1 0 \/2 2 FB4_1 (b) (b) +nAoutOE 2 2<- \/5 0 FB4_2 87 I/O O +ram/RS_FSM_FFd8 11 6<- 0 0 FB4_3 (b) (b) +iobs/IORW1 8 4<- /\1 0 FB4_4 (b) (b) +nDoutOE 2 1<- /\4 0 FB4_5 89 I/O O +nDinOE 3 0 /\1 1 FB4_6 90 I/O O +(unused) 0 0 0 5 FB4_7 (b) +nRES 1 0 \/4 0 FB4_8 91 I/O I/O +IORDREQ 9 4<- 0 0 FB4_9 92 I/O I +cs/ODCSr 2 0 0 3 FB4_10 (b) (b) +nVPA_FSB 3 0 0 2 FB4_11 93 I/O O +iobs/Load1 8 3<- 0 0 FB4_12 94 I/O I +iobs/TS_FSM_FFd1 2 0 /\3 0 FB4_13 (b) (b) +(unused) 0 0 \/5 0 FB4_14 95 I/O I +RAMReady 10 5<- 0 0 FB4_15 96 I/O I +ram/RS_FSM_FFd7 2 0 \/3 0 FB4_16 (b) (b) +iobs/Sent 13 8<- 0 0 FB4_17 97 I/O I +(unused) 0 0 /\5 0 FB4_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<20> 12: cnt/LTimer<11> 23: cnt/Timer<0> - 2: A_FSB<21> 13: cnt/LTimer<12> 24: cnt/Timer<1> - 3: A_FSB<22> 14: cnt/LTimer<1> 25: cnt/Timer<2> - 4: A_FSB<23> 15: cnt/LTimer<2> 26: cnt/TimerTC - 5: RefUrg 16: cnt/LTimer<3> 27: fsb/VPA - 6: cnt/Er<0> 17: cnt/LTimer<4> 28: iobm/DoutOE - 7: cnt/Er<1> 18: cnt/LTimer<5> 29: nAS_FSB - 8: cnt/INITS_FSM_FFd1 19: cnt/LTimer<6> 30: nAoutOE - 9: cnt/INITS_FSM_FFd2 20: cnt/LTimer<7> 31: nBR_IOB - 10: cnt/LTimer<0> 21: cnt/LTimer<8> 32: nRESout - 11: cnt/LTimer<10> 22: cnt/LTimer<9> 33: nWE_FSB + 1: A_FSB<13> 14: RefReq 27: iobs/TS_FSM_FFd1 + 2: A_FSB<14> 15: RefUrg 28: iobs/TS_FSM_FFd2 + 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 + 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB + 5: A_FSB<18> 18: cs/nOverlay 31: nAoutOE + 6: A_FSB<19> 19: fsb/ASrf 32: nBR_IOB + 7: A_FSB<20> 20: iobm/DoutOE 33: nRESout + 8: A_FSB<21> 21: iobm/IORDREQr 34: nWE_FSB + 9: A_FSB<22> 22: iobm/IOS0 35: ram/BACTr + 10: A_FSB<23> 23: iobm/IOWRREQr 36: ram/RAMEN + 11: IONPReady 24: iobs/IOACTr 37: ram/RS_FSM_FFd4 + 12: IORDREQ 25: iobs/IORW1 38: ram/RS_FSM_FFd8 + 13: RAMReady 26: iobs/Sent 39: ram/RefDone Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -nAoutOE .......XX....................XX......... 4 -ram/RegUrgSync ....X................................... 1 -nDoutOE ...........................X.X.......... 2 -nDinOE XXXX........................X...X....... 6 -nRESout .......XX............................... 2 -nRES ...............................X........ 1 -cnt/Er<1> .....X.................................. 1 -cnt/TimerTC ....XXX...............XXX............... 6 -nVPA_FSB ..........................X.X........... 2 -cnt/Timer<0> .....XX...............X..X.............. 4 -cnt/LTimerTC .....XX..XXXXXXXXXXXXX...X.............. 16 -cnt/LTimer<12> .....XX..XXX.XXXXXXXXX...X.............. 15 -RefReq ....XXX................XX............... 5 -cnt/Timer<1> .....XX...............XX.X.............. 5 -cnt/Timer<2> .....XX...............XXXX.............. 6 -RefUrg ....XXX...............XXXX.............. 7 +nRESout ...............XX....................... 2 +nAoutOE ...............XX.............XX........ 4 +ram/RS_FSM_FFd8 ........XX...XX..XX..........X....XXXXX. 12 +iobs/IORW1 XXXXXXXXXX.......XX.....XXXXXX...X...... 19 +nDoutOE ...................XXXX.......X......... 5 +nDinOE ......XXXX...................X...X...... 6 +nRES ................................X....... 1 +IORDREQ ......XXXX.X.....XX....XXXXXXX...X...... 15 +cs/ODCSr ......XXXX........X..........X.......... 6 +nVPA_FSB ....XXXXXXX.......X..........X.......... 9 +iobs/Load1 XXXXXXXXXX.......XX......XXXXX...X...... 18 +iobs/TS_FSM_FFd1 .......................X..XX............ 3 +RAMReady ........XX..XXX..XX..........X....XXXXX. 13 +ram/RS_FSM_FFd7 ........XX.......XX..........X.....X.X.. 7 +iobs/Sent XXXXXXXXXX.......XX......XXXXX...X...... 18 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB5 *********************************** -Number of function block inputs used/remaining: 32/22 -Number of signals used by logic mapping into function block: 32 +Number of function block inputs used/remaining: 35/19 +Number of signals used by logic mapping into function block: 35 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -IOL0 3 1<- /\3 0 FB5_1 (b) (b) -nROMCS 2 0 /\1 2 FB5_2 35 I/O O -iobs/IORW1 8 3<- 0 0 FB5_3 (b) (b) -(unused) 0 0 /\3 2 FB5_4 (b) (b) -nCAS 1 0 0 4 FB5_5 36 I/O O -nOE 1 0 \/4 0 FB5_6 37 I/O O -iobs/TS_FSM_FFd2 14 9<- 0 0 FB5_7 (b) (b) -(unused) 0 0 /\5 0 FB5_8 39 I/O (b) -RA<4> 2 0 \/1 2 FB5_9 40 I/O O -iobs/DTACKEN 6 1<- 0 0 FB5_10 (b) (b) -RA<3> 2 0 \/2 1 FB5_11 41 I/O O -RA<5> 2 2<- \/5 0 FB5_12 42 I/O O -IOREQ 14 9<- 0 0 FB5_13 (b) (b) -RA<2> 2 1<- /\4 0 FB5_14 43 I/O O -RA<6> 2 0 /\1 2 FB5_15 46 I/O O -(unused) 0 0 \/5 0 FB5_16 (b) (b) -IORW0 18 13<- 0 0 FB5_17 49 I/O (b) -(unused) 0 0 /\5 0 FB5_18 (b) (b) +(unused) 0 0 /\5 0 FB5_1 (b) (b) +nROMCS 2 0 \/3 0 FB5_2 35 I/O O +ram/RAMEN 12 7<- 0 0 FB5_3 (b) (b) +ram/RASrr 4 3<- /\4 0 FB5_4 (b) (b) +nCAS 1 0 /\3 1 FB5_5 36 I/O O +nOE 1 0 \/3 1 FB5_6 37 I/O O +ram/RS_FSM_FFd6 9 4<- 0 0 FB5_7 (b) (b) +ram/Once 3 0 /\1 1 FB5_8 39 I/O (b) +RA<4> 2 0 0 3 FB5_9 40 I/O O +ram/RASEL 3 0 0 2 FB5_10 (b) (b) +RA<3> 2 0 0 3 FB5_11 41 I/O O +RA<5> 2 0 0 3 FB5_12 42 I/O O +cs/nOverlay 2 0 0 3 FB5_13 (b) (b) +RA<2> 2 0 0 3 FB5_14 43 I/O O +RA<6> 2 0 0 3 FB5_15 46 I/O O +ram/RS_FSM_FFd4 2 0 0 3 FB5_16 (b) (b) +ram/RefDone 2 0 \/3 0 FB5_17 49 I/O (b) +ram/CAS 13 8<- 0 0 FB5_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<12> 12: A_FSB<23> 23: iobs/IOL1 - 2: A_FSB<13> 13: A_FSB<3> 24: iobs/IORW1 - 3: A_FSB<14> 14: A_FSB<4> 25: iobs/Sent - 4: A_FSB<15> 15: A_FSB<5> 26: iobs/TS_FSM_FFd1 - 5: A_FSB<16> 16: A_FSB<6> 27: iobs/TS_FSM_FFd2 - 6: A_FSB<17> 17: A_FSB<7> 28: nADoutLE1 - 7: A_FSB<18> 18: IORW0 29: nAS_FSB - 8: A_FSB<19> 19: cs/nOverlay 30: nLDS_FSB - 9: A_FSB<20> 20: fsb/ASrf 31: nWE_FSB - 10: A_FSB<21> 21: iobs/DTACKEN 32: ram/RASEL - 11: A_FSB<22> 22: iobs/IOACTr + 1: A_FSB<11> 13: A_FSB<7> 25: ram/RAMEN + 2: A_FSB<12> 14: nRES.PIN 26: ram/RASEL + 3: A_FSB<13> 15: RefReq 27: ram/RS_FSM_FFd1 + 4: A_FSB<16> 16: RefUrg 28: ram/RS_FSM_FFd2 + 5: A_FSB<19> 17: cs/ODCSr 29: ram/RS_FSM_FFd3 + 6: A_FSB<20> 18: cs/nOverlay 30: ram/RS_FSM_FFd4 + 7: A_FSB<21> 19: fsb/ASrf 31: ram/RS_FSM_FFd5 + 8: A_FSB<22> 20: nAS_FSB 32: ram/RS_FSM_FFd6 + 9: A_FSB<23> 21: nWE_FSB 33: ram/RS_FSM_FFd7 + 10: A_FSB<3> 22: ram/BACTr 34: ram/RS_FSM_FFd8 + 11: A_FSB<4> 23: ram/CAS 35: ram/RefDone + 12: A_FSB<5> 24: ram/Once Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -IOL0 ......................X..XXX.X.......... 5 -nROMCS ........XXXX......X..................... 5 -iobs/IORW1 .XX.XXXXXXXX......XX...XXXXXX.X......... 19 -nCAS ...............................X........ 1 -nOE ............................X.X......... 2 -iobs/TS_FSM_FFd2 .XX.XXXXXXXX......XX.X..XXXXX.X......... 19 -RA<4> ..X...........X................X........ 3 -iobs/DTACKEN ........XXXX......XXXX..X..XX........... 11 -RA<3> .X...........X.................X........ 3 -RA<5> ...X...........X...............X........ 3 -IOREQ .XX.XXXXXXXX......XX.X..XXXXX.X......... 19 -RA<2> X...........X..................X........ 3 -RA<6> ....X...........X..............X........ 3 -IORW0 .XX.XXXXXXXX.....XXX...XXXXXX.X......... 20 +nROMCS .....XXXX........X...................... 5 +ram/RAMEN .......XX.....XX.XXX.X.XX....X..XXX..... 14 +ram/RASrr .......XX........XXX....X...X..X.X...... 9 +nCAS ......................X................. 1 +nOE ...................XX................... 2 +ram/RS_FSM_FFd6 .......XX.....XX.XXX.X..X.....X..XX..... 12 +ram/Once .......XX........XXX...XX........X...... 8 +RA<4> X........X...............X.............. 3 +ram/RASEL .......XX........XXX....X.......XX...... 8 +RA<3> ....XX...................X.............. 3 +RA<5> .X........X..............X.............. 3 +cs/nOverlay .............X..XXXX.................... 5 +RA<2> ...X........X............X.............. 3 +RA<6> ..X........X.............X.............. 3 +ram/RS_FSM_FFd4 ...............X..........X...X...X..... 4 +ram/RefDone ..............XX...........XX.....X..... 5 +ram/CAS .......XX.....XX.XXX.X..X.....XXXXX..... 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB6 *********************************** -Number of function block inputs used/remaining: 28/26 -Number of signals used by logic mapping into function block: 28 +Number of function block inputs used/remaining: 36/18 +Number of signals used by logic mapping into function block: 36 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use -iobm/ETACK 1 0 0 4 FB6_1 (b) (b) +iobm/IOS_FSM_FFd6 2 0 0 3 FB6_1 (b) (b) nVMA_IOB 3 0 0 2 FB6_2 74 I/O O -ALE0M 2 0 0 3 FB6_3 (b) (b) +iobm/IOS_FSM_FFd7 3 0 0 2 FB6_3 (b) (b) iobm/IOS_FSM_FFd3 3 0 0 2 FB6_4 (b) (b) -iobm/ES<3> 3 0 0 2 FB6_5 76 I/O I -iobm/ES<1> 3 0 0 2 FB6_6 77 I/O I -iobm/ES<0> 3 0 0 2 FB6_7 (b) (b) -iobm/DoutOE 3 0 0 2 FB6_8 78 I/O I -nLDS_IOB 4 0 0 1 FB6_9 79 I/O O -iobm/IOS_FSM_FFd2 4 0 0 1 FB6_10 (b) (b) -nUDS_IOB 4 0 0 1 FB6_11 80 I/O O -nAS_IOB 3 0 0 2 FB6_12 81 I/O O -iobm/ES<4> 4 0 0 1 FB6_13 (b) (b) +iobm/ES<0> 3 0 0 2 FB6_5 76 I/O I +iobm/ES<3> 4 0 0 1 FB6_6 77 I/O I +iobm/ES<1> 4 0 0 1 FB6_7 (b) (b) +iobm/DoutOE 4 0 \/1 0 FB6_8 78 I/O I +nLDS_IOB 6 1<- 0 0 FB6_9 79 I/O O +IODONE 4 0 \/1 0 FB6_10 (b) (b) +nUDS_IOB 6 1<- 0 0 FB6_11 80 I/O O +nAS_IOB 4 0 0 1 FB6_12 81 I/O O +iobm/IOS0 5 0 0 0 FB6_13 (b) (b) nADoutLE1 2 0 0 3 FB6_14 82 I/O O nADoutLE0 1 0 0 4 FB6_15 85 I/O O -iobm/ES<2> 5 0 0 0 FB6_16 (b) (b) -nDinLE 1 0 \/1 3 FB6_17 86 I/O O -IOACT 6 1<- 0 0 FB6_18 (b) (b) +ALE0M 5 0 0 0 FB6_16 (b) (b) +nDinLE 1 0 \/3 1 FB6_17 86 I/O O +IOACT 8 3<- 0 0 FB6_18 (b) (b) Signals Used by Logic in Function Block - 1: ALE0M 11: iobm/ES<1> 20: iobm/IOS_FSM_FFd2 - 2: ALE0S 12: iobm/ES<2> 21: iobm/IOS_FSM_FFd3 - 3: C8M 13: iobm/ES<3> 22: iobm/RESrf - 4: IOACT 14: iobm/ES<4> 23: iobm/VPAr - 5: IOL0 15: iobm/ETACK 24: iobs/Clear1 - 6: IORW0 16: iobm/Er 25: iobs/Load1 - 7: IOU0 17: iobm/Er2 26: nADoutLE1 - 8: iobm/BERRrf 18: iobm/IOREQr 27: nAoutOE - 9: iobm/DTACKrf 19: iobm/IOS_FSM_FFd1 28: nVMA_IOB - 10: iobm/ES<0> + 1: ALE0M 13: iobm/ES<1> 25: iobm/IOS_FSM_FFd7 + 2: ALE0S 14: iobm/ES<2> 26: iobm/IOWRREQr + 3: E 15: iobm/ES<3> 27: iobm/VPAr + 4: IOACT 16: iobm/Er 28: iobs/Clear1 + 5: IOBERR 17: iobm/IORDREQr 29: iobs/Load1 + 6: IODONE 18: iobm/IOS0 30: nADoutLE1 + 7: IOL0 19: iobm/IOS_FSM_FFd1 31: nAS_IOB + 8: IOU0 20: iobm/IOS_FSM_FFd2 32: nAoutOE + 9: nRES.PIN 21: iobm/IOS_FSM_FFd3 33: nDTACK_IOB + 10: iobm/C8Mr 22: iobm/IOS_FSM_FFd4 34: nLDS_IOB + 11: iobm/DoutOE 23: iobm/IOS_FSM_FFd5 35: nUDS_IOB + 12: iobm/ES<0> 24: iobm/IOS_FSM_FFd6 36: nVMA_IOB Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -iobm/ETACK .........XXXXX.............X............ 6 -nVMA_IOB ...X.....XXXXX........X...XX............ 9 -ALE0M .................XXXX................... 4 -iobm/IOS_FSM_FFd3 ..X..............XXXX.....X............. 6 -iobm/ES<3> .........XXXX..XX....................... 6 -iobm/ES<1> .........XX....XX....................... 4 -iobm/ES<0> .........XXXXX.XX....................... 7 -iobm/DoutOE .....X...........XXXX.....X............. 6 -nLDS_IOB ....XX............XXX.....X............. 6 -iobm/IOS_FSM_FFd2 ..X....XX.....X...XXXX.................. 8 -nUDS_IOB .....XX...........XXX.....X............. 6 -nAS_IOB ..................XXX.....X............. 4 -iobm/ES<4> .........XXXXX.XX....................... 7 -nADoutLE1 .......................XXX.............. 3 +iobm/IOS_FSM_FFd6 .........X......X.......XX.....X........ 5 +nVMA_IOB ...X.......XXXX...........X....X...X.... 8 +iobm/IOS_FSM_FFd7 .........X......X.X.....XX.....X........ 6 +iobm/IOS_FSM_FFd3 ....XX...X..........XX.................. 5 +iobm/ES<0> ..X........XXXXX........................ 6 +iobm/ES<3> ..X........XXXXX........................ 6 +iobm/ES<1> ..X........XXXXX........................ 6 +iobm/DoutOE .........XX.........XXXXXX.............. 8 +nLDS_IOB ......X..X......X...XXXXX......X.X...... 10 +IODONE ........X..XXXX...............X.X..X.... 8 +nUDS_IOB .......X.X......X...XXXXX......X..X..... 10 +nAS_IOB .........X......X...XXXXXX.....X........ 9 +iobm/IOS0 .........X......XXXXXXXXXX.....X........ 12 +nADoutLE1 ...........................XXX.......... 3 nADoutLE0 XX...................................... 2 -iobm/ES<2> .........XXXXX.XX....................... 7 -nDinLE ..................XX.................... 2 -IOACT ..X....XX.....X..XXXXX.................. 9 +ALE0M X...............X.XXXXXXXX.....X........ 11 +nDinLE ....................XX.................. 2 +IOACT ...XXX...X......X.XXXXXXXX.....X........ 14 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB7 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 24/30 +Number of signals used by logic mapping into function block: 24 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use cnt/LTimer<0> 1 0 0 4 FB7_1 (b) (b) RA<1> 2 0 0 3 FB7_2 50 I/O O -cs/nOverlay 2 0 0 3 FB7_3 (b) (b) -cnt/LTimer<9> 2 0 0 3 FB7_4 (b) (b) +cnt/INITS_FSM_FFd1 1 0 0 4 FB7_3 (b) (b) +cnt/Er<1> 1 0 0 4 FB7_4 (b) (b) RA<7> 2 0 0 3 FB7_5 52 I/O O RA<0> 2 0 0 3 FB7_6 53 I/O O -cnt/LTimer<8> 2 0 0 3 FB7_7 (b) (b) -RA<8> 5 0 0 0 FB7_8 54 I/O O -RA<10> 1 0 0 4 FB7_9 55 I/O O -cnt/LTimer<7> 2 0 0 3 FB7_10 (b) (b) +cnt/TimerTC 2 0 0 3 FB7_7 (b) (b) +RA<8> 2 0 0 3 FB7_8 54 I/O O +RA<10> 2 0 0 3 FB7_9 55 I/O O +cnt/Timer<0> 2 0 0 3 FB7_10 (b) (b) RA<9> 2 0 0 3 FB7_11 56 I/O O C25MEN 0 0 0 5 FB7_12 58 I/O O -cnt/LTimer<3> 2 0 0 3 FB7_13 (b) (b) +cnt/INITS_FSM_FFd2 2 0 0 3 FB7_13 (b) (b) C20MEN 0 0 0 5 FB7_14 59 I/O O -cnt/LTimer<2> 2 0 0 3 FB7_15 60 I/O (b) -cnt/LTimer<1> 2 0 0 3 FB7_16 (b) (b) -cnt/LTimer<11> 2 0 0 3 FB7_17 61 I/O (b) -cnt/LTimer<10> 2 0 0 3 FB7_18 (b) (b) +RefReq 2 0 0 3 FB7_15 60 I/O (b) +cnt/Timer<1> 4 0 0 1 FB7_16 (b) (b) +cnt/Timer<2> 5 0 0 0 FB7_17 61 I/O (b) +RefUrg 5 0 0 0 FB7_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<10> 12: A_FSB<8> 23: cnt/LTimer<5> - 2: A_FSB<11> 13: A_FSB<9> 24: cnt/LTimer<6> - 3: A_FSB<17> 14: nRES.PIN 25: cnt/LTimer<7> - 4: A_FSB<18> 15: cnt/Er<0> 26: cnt/LTimer<8> - 5: A_FSB<19> 16: cnt/Er<1> 27: cnt/LTimer<9> - 6: A_FSB<1> 17: cnt/LTimer<0> 28: cnt/TimerTC - 7: A_FSB<20> 18: cnt/LTimer<10> 29: cs/ODCSr - 8: A_FSB<21> 19: cnt/LTimer<1> 30: cs/nOverlay - 9: A_FSB<22> 20: cnt/LTimer<2> 31: fsb/ASrf - 10: A_FSB<23> 21: cnt/LTimer<3> 32: nAS_FSB - 11: A_FSB<2> 22: cnt/LTimer<4> 33: ram/RASEL + 1: A_FSB<10> 9: A_FSB<6> 17: cnt/INITS_FSM_FFd2 + 2: A_FSB<14> 10: A_FSB<7> 18: cnt/LTimerTC + 3: A_FSB<15> 11: A_FSB<8> 19: cnt/Timer<0> + 4: A_FSB<17> 12: A_FSB<9> 20: cnt/Timer<1> + 5: A_FSB<18> 13: RefUrg 21: cnt/Timer<2> + 6: A_FSB<1> 14: cnt/Er<0> 22: cnt/TimerTC + 7: A_FSB<21> 15: cnt/Er<1> 23: cnt/nIPL2r + 8: A_FSB<2> 16: cnt/INITS_FSM_FFd1 24: ram/RASEL Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -cnt/LTimer<0> ..............XX...........X............ 3 -RA<1> .X........X.....................X....... 3 -cs/nOverlay .............X..............XXXX........ 5 -cnt/LTimer<9> ..............XXX.XXXXXXXX.X............ 12 -RA<7> ..X........X....................X....... 3 -RA<0> X....X..........................X....... 3 -cnt/LTimer<8> ..............XXX.XXXXXXX..X............ 11 -RA<8> ...X....XX..X................X..X....... 6 -RA<10> .......X................................ 1 -cnt/LTimer<7> ..............XXX.XXXXXX...X............ 10 -RA<9> ....X.X.........................X....... 3 +cnt/LTimer<0> .............XX......X.................. 3 +RA<1> X......X...............X................ 3 +cnt/INITS_FSM_FFd1 .............XXXXX...XX................. 7 +cnt/Er<1> .............X.......................... 1 +RA<7> .X......X..............X................ 3 +RA<0> .....X.....X...........X................ 3 +cnt/TimerTC ............XXX...XXX................... 6 +RA<8> ....X.X................X................ 3 +RA<10> ...X.....X.............X................ 3 +cnt/Timer<0> .............XX...X..X.................. 4 +RA<9> ..X.......X............X................ 3 C25MEN ........................................ 0 -cnt/LTimer<3> ..............XXX.XX.......X............ 6 +cnt/INITS_FSM_FFd2 .............XXXXX...X.................. 6 C20MEN ........................................ 0 -cnt/LTimer<2> ..............XXX.X........X............ 5 -cnt/LTimer<1> ..............XXX..........X............ 4 -cnt/LTimer<11> ..............XXXXXXXXXXXXXX............ 14 -cnt/LTimer<10> ..............XXX.XXXXXXXXXX............ 13 +RefReq ............XXX....XX................... 5 +cnt/Timer<1> .............XX...XX.X.................. 5 +cnt/Timer<2> .............XX...XXXX.................. 6 +RefUrg ............XXX...XXXX.................. 7 0----+----1----+----2----+----3----+----4 0 0 0 0 *********************************** FB8 *********************************** -Number of function block inputs used/remaining: 33/21 -Number of signals used by logic mapping into function block: 33 +Number of function block inputs used/remaining: 39/15 +Number of signals used by logic mapping into function block: 39 Signal Total Imp Exp Unused Loc Pin Pin Pin Name Pt Pt Pt Pt # Type Use (unused) 0 0 /\5 0 FB8_1 (b) (b) -RA<11> 1 0 \/4 0 FB8_2 63 I/O O -ram/RAMEN 9 4<- 0 0 FB8_3 (b) (b) -ram/RAMReady 9 4<- 0 0 FB8_4 (b) (b) -nRAS 2 1<- /\4 0 FB8_5 64 I/O O -nRAMLWE 1 0 /\1 3 FB8_6 65 I/O O -ram/RS_FSM_FFd2 7 2<- 0 0 FB8_7 (b) (b) -nRAMUWE 1 0 /\2 2 FB8_8 66 I/O O -ram/RS_FSM_FFd3 7 2<- 0 0 FB8_9 67 I/O (b) -ram/RS_FSM_FFd1 3 0 /\2 0 FB8_10 (b) (b) -cnt/INITS_FSM_FFd2 2 0 0 3 FB8_11 68 I/O (b) -nBERR_FSB 1 0 0 4 FB8_12 70 I/O O -cnt/LTimer<4> 2 0 0 3 FB8_13 (b) (b) -cnt/LTimer<5> 2 0 0 3 FB8_14 71 I/O (b) -nBR_IOB 2 0 0 3 FB8_15 72 I/O O -cnt/LTimer<6> 2 0 0 3 FB8_16 (b) (b) -cnt/INITS_FSM_FFd1 1 0 \/4 0 FB8_17 73 I/O (b) -ram/RASEL 14 9<- 0 0 FB8_18 (b) (b) +RA<11> 2 0 /\3 0 FB8_2 63 I/O O +(unused) 0 0 \/5 0 FB8_3 (b) (b) +iobs/TS_FSM_FFd2 14 9<- 0 0 FB8_4 (b) (b) +nRAS 3 2<- /\4 0 FB8_5 64 I/O O +nRAMLWE 1 0 /\2 2 FB8_6 65 I/O O +(unused) 0 0 \/1 4 FB8_7 (b) (b) +nRAMUWE 1 1<- \/5 0 FB8_8 66 I/O O +IOWRREQ 15 10<- 0 0 FB8_9 67 I/O (b) +(unused) 0 0 /\5 0 FB8_10 (b) (b) +(unused) 0 0 \/2 3 FB8_11 68 I/O (b) +nBERR_FSB 3 2<- \/4 0 FB8_12 70 I/O O +IOU0 17 12<- 0 0 FB8_13 (b) (b) +(unused) 0 0 /\5 0 FB8_14 71 I/O (b) +nBR_IOB 2 0 /\3 0 FB8_15 72 I/O O +iobs/Clear1 1 0 \/4 0 FB8_16 (b) (b) +IONPReady 5 4<- \/4 0 FB8_17 73 I/O (b) +IOL0 17 12<- 0 0 FB8_18 (b) (b) Signals Used by Logic in Function Block - 1: A_FSB<19> 12: cnt/LTimer<4> 23: nLDS_FSB - 2: A_FSB<22> 13: cnt/LTimer<5> 24: nUDS_FSB - 3: A_FSB<23> 14: cnt/LTimerTC 25: nWE_FSB - 4: cnt/Er<0> 15: cnt/TimerTC 26: ram/BACTr - 5: cnt/Er<1> 16: cnt/nIPL2r 27: ram/RAMEN - 6: cnt/INITS_FSM_FFd1 17: cs/nOverlay 28: ram/RS_FSM_FFd1 - 7: cnt/INITS_FSM_FFd2 18: fsb/ASrf 29: ram/RS_FSM_FFd2 - 8: cnt/LTimer<0> 19: iobs/DTACKEN 30: ram/RS_FSM_FFd3 - 9: cnt/LTimer<1> 20: nAS_FSB 31: ram/RefRAS - 10: cnt/LTimer<2> 21: nBERR_IOB 32: ram/RefReq - 11: cnt/LTimer<3> 22: nBR_IOB 33: ram/RefUrg + 1: A_FSB<13> 14: IOU0 27: iobs/TS_FSM_FFd1 + 2: A_FSB<14> 15: IOWRREQ 28: iobs/TS_FSM_FFd2 + 3: A_FSB<16> 16: cnt/INITS_FSM_FFd1 29: nADoutLE1 + 4: A_FSB<17> 17: cnt/INITS_FSM_FFd2 30: nAS_FSB + 5: A_FSB<18> 18: cnt/nIPL2r 31: nBERR_FSB + 6: A_FSB<19> 19: cs/nOverlay 32: nBR_IOB + 7: A_FSB<20> 20: fsb/ASrf 33: nLDS_FSB + 8: A_FSB<21> 21: iobs/IOACTr 34: nUDS_FSB + 9: A_FSB<22> 22: iobs/IODONEr 35: nWE_FSB + 10: A_FSB<23> 23: iobs/IOL1 36: ram/RAMEN + 11: IOBERR 24: iobs/IORW1 37: ram/RASEL + 12: IOL0 25: iobs/IOU1 38: ram/RASrf + 13: IONPReady 26: iobs/Sent 39: ram/RASrr Signal 1 2 3 4 FB Name 0----+----0----+----0----+----0----+----0 Inputs -RA<11> X....................................... 1 -ram/RAMEN .XX.............XX.X.....XXXXX.XX....... 12 -ram/RAMReady .XX.............XX.X.....XXXXX.XX....... 12 -nRAS .XX.............X..X......X...X......... 6 -nRAMLWE ...................X..X.X.X............. 4 -ram/RS_FSM_FFd2 .XX.............XX.X.....X.XXX.XX....... 11 -nRAMUWE ...................X...XX.X............. 4 -ram/RS_FSM_FFd3 .XX.............XX.X......XXXX..X....... 10 -ram/RS_FSM_FFd1 .XX.............XX.X......XXXX.......... 9 -cnt/INITS_FSM_FFd2 ...XXXX......XX......................... 6 -nBERR_FSB ..................X.X................... 2 -cnt/LTimer<4> ...XX..XXXX...X......................... 7 -cnt/LTimer<5> ...XX..XXXXX..X......................... 8 -nBR_IOB .....XX........X.....X.................. 4 -cnt/LTimer<6> ...XX..XXXXXX.X......................... 9 -cnt/INITS_FSM_FFd1 ...XXXX......XXX........................ 7 -ram/RASEL .XX.............XX.X.....XXXXX.XX....... 12 +RA<11> .....XX.............................X... 3 +iobs/TS_FSM_FFd2 XXXXXXXXXX........XXX....XXXXX....X..... 19 +nRAS ........XX........X..........X.....X.XX. 7 +nRAMLWE .............................X..X.XX.... 4 +nRAMUWE .............................X...XXX.... 4 +IOWRREQ XXXXXXXXXX....X...XXX..X.XXXXX....X..... 21 +nBERR_FSB ..........X........X.....X...XX......... 5 +IOU0 XXXXXXXXXX...X....XX....XXXXXX...XX..... 21 +nBR_IOB ...............XXX.............X........ 4 +iobs/Clear1 ..........................XX............ 2 +IONPReady XXXXXXXXXX..X.....XX.X...X...X....X..... 17 +IOL0 XXXXXXXXXX.X......XX..X..XXXXX..X.X..... 21 0----+----1----+----2----+----3----+----4 0 0 0 0 ******************************* Equations ******************************** ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$47_INV$153 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); -ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND - NOT iobm/IOREQr)); +ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/IOS_FSM_FFd1) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/IOS_FSM_FFd2) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT ALE0M) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + NOT iobm/IORDREQr AND NOT iobm/IOWRREQr)); FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); -C20MEN <= '1'; +C20MEN <= '0'; C25MEN <= '1'; @@ -745,121 +764,209 @@ C25MEN <= '1'; FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); -IOACT_D <= ((iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND - NOT iobm/ETACK AND NOT iobm/RESrf) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr) - OR (NOT C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND - NOT iobm/DTACKrf)); +IOACT_D <= ((iobm/IOS_FSM_FFd4) + OR (iobm/IOS_FSM_FFd5) + OR (iobm/IOS_FSM_FFd6) + OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3) + OR (iobm/IOS_FSM_FFd7 AND iobm/IOWRREQr AND NOT nAoutOE) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND IOACT AND + NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) + OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) + OR (iobm/IOS_FSM_FFd7 AND iobm/IORDREQr AND NOT nAoutOE)); -FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); -IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) - OR (iobs/IOL1 AND NOT nADoutLE1)); -IOL0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); +FDCPE_IOBERR: FDCPE port map (IOBERR,NOT nBERR_IOB,NOT C8M,nAS_IOB,'0'); -FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); -IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); +IODONE_D <= ((NOT nRES.PIN) + OR (NOT nDTACK_IOB) + OR (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + iobm/ES(3))); + +FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); +IOL0_T <= ((iobs/TS_FSM_FFd1) + OR (RA_11_OBUF$BUF0.EXP) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) + OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) + OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1)); + +FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0'); +IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) + OR (NOT IONPReady AND NOT iobs/IODONEr) + OR (nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT nWE_FSB AND NOT IONPReady AND A_FSB(13)) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND + NOT nWE_FSB AND NOT IONPReady AND A_FSB(14))); + +FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); +IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) + OR (NOT IOPWReady AND NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); +IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND + nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) + OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) + OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) + OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + +FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); +IOU0_T <= ((iobs/TS_FSM_FFd1) + OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) + OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (nBR_IOB_OBUF.EXP) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) - OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND + OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) + OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) + OR (iobs/IOU1 AND IOU0 AND NOT nADoutLE1)); + +FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0'); +IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); - -FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,FCLK,'0','0'); -IORW0_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND nADoutLE1) - OR (IOL0.EXP) - OR (iobs/Sent AND nADoutLE1) - OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) - OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) - OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND - nADoutLE1)); - -FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); -IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) - OR (iobs/IOU1 AND NOT nADoutLE1)); -IOU0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND fsb/ASrf AND + nADoutLE1) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND fsb/ASrf AND + nADoutLE1) + OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) + OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) + OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND + NOT nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); -RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(1))); +RA(0) <= ((ram/RASEL AND A_FSB(1)) + OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(2))); +RA(1) <= ((ram/RASEL AND A_FSB(2)) + OR (NOT ram/RASEL AND A_FSB(10))); -RA(2) <= ((ram/RASEL AND A_FSB(3)) - OR (A_FSB(12) AND NOT ram/RASEL)); - - -RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(4))); - - -RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(5))); - - -RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) - OR (ram/RASEL AND A_FSB(6))); - - -RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) +RA(2) <= ((A_FSB(16) AND NOT ram/RASEL) OR (ram/RASEL AND A_FSB(7))); -RA(7) <= ((A_FSB(8) AND ram/RASEL) - OR (A_FSB(17) AND NOT ram/RASEL)); - - -RA(8) <= ((A_FSB(23) AND A_FSB(18)) - OR (A_FSB(22) AND A_FSB(18)) - OR (A_FSB(18) AND NOT cs/nOverlay) - OR (A_FSB(18) AND NOT ram/RASEL) - OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - ram/RASEL)); - - -RA(9) <= ((A_FSB(20) AND ram/RASEL) +RA(3) <= ((A_FSB(20) AND ram/RASEL) OR (A_FSB(19) AND NOT ram/RASEL)); -RA(10) <= A_FSB(21); +RA(4) <= ((ram/RASEL AND A_FSB(3)) + OR (NOT ram/RASEL AND A_FSB(11))); -RA(11) <= A_FSB(19); +RA(5) <= ((ram/RASEL AND A_FSB(4)) + OR (NOT ram/RASEL AND A_FSB(12))); + + +RA(6) <= ((ram/RASEL AND A_FSB(5)) + OR (NOT ram/RASEL AND A_FSB(13))); + + +RA(7) <= ((ram/RASEL AND A_FSB(6)) + OR (NOT ram/RASEL AND A_FSB(14))); + + +RA(8) <= ((A_FSB(21) AND ram/RASEL) + OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((ram/RASEL AND A_FSB(8)) + OR (NOT ram/RASEL AND A_FSB(15))); + + +RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) + OR (ram/RASEL AND A_FSB(7))); + + +RA(11) <= ((A_FSB(20) AND ram/RASEL) + OR (A_FSB(19) AND NOT ram/RASEL)); + +FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); +RAMReady_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) + OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND + NOT fsb/ASrf) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE); RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); @@ -999,276 +1106,200 @@ cs/nOverlay_T <= ((NOT nRES.PIN AND cs/nOverlay AND nAS_FSB AND NOT fsb/ASrf) FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); -fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND NOT ram/RAMReady)); +FDCPE_iobm/C8Mr: FDCPE port map (iobm/C8Mr,C8M,C16M,'0','0'); -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); -fsb/Ready1r_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r) - OR (A_FSB(23) AND NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r)); +FTCPE_iobm/DoutOE: FTCPE port map (iobm/DoutOE,iobm/DoutOE_T,C16M,'0','0'); +iobm/DoutOE_T <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND NOT iobm/DoutOE AND + iobm/IOWRREQr) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/DoutOE) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + iobm/DoutOE) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND iobm/DoutOE AND NOT iobm/IOWRREQr)); -FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); -fsb/VPA_D <= ((iobs/IOACTr.EXP) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND - A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND - A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND - A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND - NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND - IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND - IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND fsb/VPA AND - IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND - fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND IOACT AND - NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)); +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),NOT C8M,'0','0'); +iobm/ES_T(0) <= ((iobm/ES(0) AND NOT E AND iobm/Er) + OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND E) + OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND NOT iobm/Er)); -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C8M,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C8M,'0','0'); - -FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); -iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) - OR (NOT IORW0 AND iobm/IOS_FSM_FFd2) - OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr AND NOT nAoutOE)); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),C16M,'0','0'); -iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) - OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),C16M,'0','0'); +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),NOT C8M,'0','0'); iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1)) OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) - OR (NOT iobm/Er AND iobm/Er2)); + OR (NOT E AND iobm/Er) + OR (iobm/ES(0) AND NOT iobm/ES(2) AND iobm/ES(3))); -FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),C16M,'0','0'); -iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) - OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) - OR (NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) - OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); +FTCPE_iobm/ES2: FTCPE port map (iobm/ES(2),iobm/ES_T(2),NOT C8M,'0','0'); +iobm/ES_T(2) <= ((iobm/ES(0) AND iobm/ES(1) AND E) + OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/Er) + OR (iobm/ES(2) AND NOT E AND iobm/Er)); -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),C16M,'0','0'); -iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); - -FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),C16M,'0','0'); -iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND iobm/Er) - OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - iobm/ES(3) AND NOT iobm/Er2) - OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4))); - -FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,C16M,'0','0'); -iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND iobm/ES(4)); +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),NOT C8M,'0','0'); +iobm/ES_T(3) <= ((iobm/ES(3) AND NOT E AND iobm/Er) + OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND E) + OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND NOT iobm/Er) + OR (iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + iobm/ES(3))); FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); -FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,C16M,'0','0'); +FDCPE_iobm/IORDREQr: FDCPE port map (iobm/IORDREQr,IORDREQ,C16M,'0','0'); -FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT C16M,'0','0'); +FDCPE_iobm/IOS0: FDCPE port map (iobm/IOS0,iobm/IOS0_D,C16M,'0','0'); +iobm/IOS0_D <= ((iobm/IOS_FSM_FFd1) + OR (iobm/IOS_FSM_FFd7 AND iobm/C8Mr) + OR (iobm/IOS_FSM_FFd7 AND nAoutOE) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IORDREQr AND + NOT iobm/IOWRREQr) + OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND + NOT iobm/IOS_FSM_FFd2 AND iobm/IOS0)); -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,'0','0'); -iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,C16M,'0','0'); FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); -iobm/IOS_FSM_FFd2_D <= ((NOT C8M AND iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) - OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND - NOT iobm/ETACK AND NOT iobm/RESrf)); +iobm/IOS_FSM_FFd2_D <= ((IOBERR AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr) + OR (IODONE AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); -iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND - NOT iobm/IOS_FSM_FFd2) - OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND - iobm/IOREQr AND NOT nAoutOE)); +iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd4) + OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) + OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3)); -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C8M,'0','0'); +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,C16M,'0','0'); -FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C16M,'0','0'); +FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd6_D,C16M,'0','0'); +iobm/IOS_FSM_FFd6_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IORDREQr AND + NOT nAoutOE) + OR (iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IOWRREQr AND + NOT nAoutOE)); + +FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,C16M,'0','0'); +iobm/IOS_FSM_FFd7_D <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd1) + OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IORDREQr AND + NOT nAoutOE) + OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IOWRREQr AND + NOT nAoutOE)); + +FDCPE_iobm/IOWRREQr: FDCPE port map (iobm/IOWRREQr,IOWRREQ,C16M,'0','0'); + +FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C8M,'0','0'); FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); -iobs/Clear1_D <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); - -FDCPE_iobs/DTACKEN: FDCPE port map (iobs/DTACKEN,iobs/DTACKEN_D,FCLK,'0','0'); -iobs/DTACKEN_D <= ((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND - NOT iobs/DTACKEN) - OR (NOT iobs/Sent AND NOT iobs/DTACKEN) - OR (NOT iobs/DTACKEN AND NOT iobs/IOACTr) - OR (NOT iobs/DTACKEN AND NOT nADoutLE1) - OR (nAS_FSB AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/DTACKEN)); +iobs/Clear1_D <= (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); -FDCPE_iobs/IODTACKr: FDCPE port map (iobs/IODTACKr,NOT nDTACK_IOB,FCLK,'0','0'); +FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -iobs/IORW1_T <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND - iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1)); +iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + A_FSB(14) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND + A_FSB(13) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + A_FSB(14) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND + A_FSB(13) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd1 AND A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND + iobs/TS_FSM_FFd2 AND A_FSB(13) AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -iobs/Load1_D <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND +iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(14) AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(13) AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(13) AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND - fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND - iobs/TS_FSM_FFd1 AND nADoutLE1)); + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND + A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND + A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(14) AND + fsb/ASrf AND nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0'); iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1) - OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND - A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND - NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1) + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(14) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(13) AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND A_FSB(14) AND fsb/ASrf AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND + cs/nOverlay AND NOT nWE_FSB AND A_FSB(13) AND fsb/ASrf AND nADoutLE1) OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf) OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) - OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND - NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND + NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND - NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1)); + NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0'); iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) @@ -1276,24 +1307,24 @@ iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0'); iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND - NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND + NOT iobs/TS_FSM_FFd2 AND nADoutLE1) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND + NOT A_FSB(14) AND NOT A_FSB(13) AND nADoutLE1) OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) - OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) + OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND @@ -1309,8 +1340,13 @@ nADoutLE1_D <= ((iobs/Load1) OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); -nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) - OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); +nAS_IOB <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) + OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND + NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) + OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND + NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IORDREQr AND + NOT iobm/IOWRREQr)); nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z'; nAS_IOB_OE <= NOT nAoutOE; @@ -1320,8 +1356,10 @@ nAoutOE_D <= ((NOT nBR_IOB AND cnt/INITS_FSM_FFd1 AND OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND NOT nAoutOE)); - -nBERR_FSB <= NOT ((iobs/DTACKEN AND NOT nBERR_IOB)); +FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); +nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) + OR (NOT IOBERR AND nBERR_FSB) + OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0'); nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND @@ -1329,44 +1367,25 @@ nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -nDTACK_FSB_D <= ((A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND nDTACK_FSB) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) - OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND - nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND - nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) - OR (fsb/Ready0r.EXP) +nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18)) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady AND A_FSB(14)) + OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND + A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND + NOT IOPWReady AND A_FSB(13)) + OR (A_FSB(23) AND NOT IONPReady) OR (nAS_FSB AND NOT fsb/ASrf) - OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND - nDTACK_FSB) - OR (A_FSB(23) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND - NOT iobs/IODTACKr) - OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND nDTACK_FSB) - OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND - NOT fsb/Ready1r AND nDTACK_FSB) - OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND - nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1) - OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) - OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND - A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND - NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1)); + OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) + OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady) + OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); -nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); +nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) @@ -1374,13 +1393,17 @@ nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); -nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); +nDoutOE <= NOT (((NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND + NOT nAoutOE) + OR (iobm/DoutOE AND NOT nAoutOE))); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); -nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd1)); +nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND + iobm/IORDREQr) + OR (iobm/IOS_FSM_FFd3 AND IOL0) + OR (iobm/IOS_FSM_FFd4 AND IOL0) + OR (iobm/IOS_FSM_FFd5 AND IOL0) + OR (NOT nLDS_IOB AND iobm/IOS_FSM_FFd6 AND IOL0)); nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z'; nLDS_IOB_OE <= NOT nAoutOE; @@ -1388,15 +1411,16 @@ nLDS_IOB_OE <= NOT nAoutOE; nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); -nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); -nRAS <= NOT (((ram/RefRAS) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - ram/RAMEN))); +nRAS <= NOT (((ram/RASrf) + OR (ram/RASrr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB))); nRES_I <= '0'; @@ -1414,145 +1438,166 @@ nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); -nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) - OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) - OR (IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND - NOT iobm/IOS_FSM_FFd1)); +nUDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOU0 AND + iobm/IORDREQr) + OR (iobm/IOS_FSM_FFd3 AND IOU0) + OR (iobm/IOS_FSM_FFd4 AND IOU0) + OR (iobm/IOS_FSM_FFd5 AND IOU0) + OR (NOT nUDS_IOB AND iobm/IOS_FSM_FFd6 AND IOU0)); nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z'; nUDS_IOB_OE <= NOT nAoutOE; -FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); -nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4)) - OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND - NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPAr)); +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); +nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3)) + OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(2) AND NOT iobm/ES(1) AND + NOT iobm/ES(3) AND IOACT AND iobm/VPAr)); nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z'; nVMA_IOB_OE <= NOT nAoutOE; - -nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); +FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); +nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND IONPReady AND NOT nAS_FSB) + OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND + A_FSB(19) AND A_FSB(18) AND IONPReady AND fsb/ASrf)); FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0'); ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) - OR (NOT ram/RefUrg AND ram/RAMEN AND ram/BACTr) - OR (NOT ram/RefUrg AND ram/RAMEN AND NOT ram/RefReq) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); +FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); +ram/CAS_D <= ((ram/RS_FSM_FFd7) + OR (ram/RS_FSM_FFd6) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - NOT ram/RAMEN)); +FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); +ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf)); + +FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); +ram/RAMEN_D <= ((ram/RS_FSM_FFd7) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) + OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) + OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + ram/RS_FSM_FFd8)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND ram/BACTr) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT ram/RefReq) - OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); +ram/RASEL_D <= ((ram/RS_FSM_FFd7) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf)); -FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); -ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND - fsb/ASrf)); +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); -FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); -ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) - OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RefUrg AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - ram/BACTr) - OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND - NOT ram/RefReq) - OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND - NOT ram/RefUrg AND NOT fsb/ASrf) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) - OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND - NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); +ram/RASrr_D <= ((ram/RS_FSM_FFd3) + OR (ram/RS_FSM_FFd6) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf)); -FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) - OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) - OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - NOT ram/RS_FSM_FFd3) - OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND ram/RefUrg) - OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND - ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf)); +FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); +ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) + OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); +ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) + OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + ram/RS_FSM_FFd8) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT fsb/ASrf) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + ram/RS_FSM_FFd8 AND NOT ram/BACTr)); + +FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); +ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND ram/RS_FSM_FFd8) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + ram/RS_FSM_FFd8 AND fsb/ASrf)); + +FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); +ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) + OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) + OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) + OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) + OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) + OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND + NOT ram/RS_FSM_FFd4) + OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND + NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT nAS_FSB AND NOT ram/RS_FSM_FFd4) + OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND + NOT ram/RS_FSM_FFd4 AND fsb/ASrf)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); -ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) - OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND - ram/RefReqSync)); - -FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); -ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - -FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); -ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); - -FDCPE_ram/RefReqSync: FDCPE port map (ram/RefReqSync,RefReq,FCLK,'0','0'); - -FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); -ram/RefUrg_D <= (NOT ram/RefDone AND ram/RegUrgSync); - -FDCPE_ram/RegUrgSync: FDCPE port map (ram/RegUrgSync,RefUrg,FCLK,'0','0'); +ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) + OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd2)); Register Legend: FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm index d4a9737..1fa3ce9 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/defeqns.htm @@ -5,18 +5,25 @@ ********** Mapped Logic ********** - -$OpTx$$OpTx$FX_DC$47_INV$153 <= (nAS_FSB AND NOT fsb/ASrf); - FDCPE_ALE0M: FDCPE port map (ALE0M,ALE0M_D,C16M,'0','0'); -
     ALE0M_D <= ((iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2 AND -
      NOT iobm/IOREQr)); +
     ALE0M_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND nAoutOE) +
      OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND +
      iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND +
      iobm/IOS_FSM_FFd2) +
      OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT ALE0M) +
      OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND +
      NOT iobm/IORDREQr AND NOT iobm/IOWRREQr)); FDCPE_ALE0S: FDCPE port map (ALE0S,iobs/TS_FSM_FFd2,FCLK,'0','0'); -C20MEN <= '1'; +C20MEN <= '0'; C25MEN <= '1'; @@ -40,121 +47,209 @@ C25MEN <= '1'; FDCPE_IOACT: FDCPE port map (IOACT,IOACT_D,C16M,'0','0'); -
     IOACT_D <= ((iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND -
      NOT iobm/ETACK AND NOT iobm/RESrf) -
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd1 AND iobm/IOREQr) -
      OR (NOT C8M AND iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2 AND -
      NOT iobm/DTACKrf)); +
     IOACT_D <= ((iobm/IOS_FSM_FFd4) +
      OR (iobm/IOS_FSM_FFd5) +
      OR (iobm/IOS_FSM_FFd6) +
      OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3) +
      OR (iobm/IOS_FSM_FFd7 AND iobm/IOWRREQr AND NOT nAoutOE) +
      OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND IOACT AND +
      NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2) +
      OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) +
      OR (iobm/IOS_FSM_FFd7 AND iobm/IORDREQr AND NOT nAoutOE)); -FDCPE_IOL0: FDCPE port map (IOL0,IOL0_D,FCLK,'0','0',IOL0_CE); -
     IOL0_D <= ((NOT nLDS_FSB AND nADoutLE1) -
      OR (iobs/IOL1 AND NOT nADoutLE1)); -
     IOL0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); +FDCPE_IOBERR: FDCPE port map (IOBERR,NOT nBERR_IOB,NOT C8M,nAS_IOB,'0'); -FDCPE_IOREQ: FDCPE port map (IOREQ,IOREQ_D,FCLK,'0','0'); -
     IOREQ_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +FDCPE_IODONE: FDCPE port map (IODONE,IODONE_D,NOT C8M,nAS_IOB,'0'); +
     IODONE_D <= ((NOT nRES.PIN) +
      OR (NOT nDTACK_IOB) +
      OR (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND +
      iobm/ES(3))); + +FTCPE_IOL0: FTCPE port map (IOL0,IOL0_T,FCLK,'0','0'); +
     IOL0_T <= ((iobs/TS_FSM_FFd1) +
      OR (RA_11_OBUF$BUF0.EXP)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT iobs/IOL1 AND NOT IOL0 AND NOT nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (nLDS_FSB AND NOT IOL0 AND nADoutLE1) +
      OR (NOT nLDS_FSB AND IOL0 AND nADoutLE1) +
      OR (iobs/IOL1 AND IOL0 AND NOT nADoutLE1)); + +FDCPE_IONPReady: FDCPE port map (IONPReady,IONPReady_D,FCLK,'0','0'); +
     IONPReady_D <= ((NOT iobs/Sent AND NOT IONPReady) +
      OR (NOT IONPReady AND NOT iobs/IODONEr) +
      OR (nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT IONPReady AND A_FSB(13)) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND +
      NOT nWE_FSB AND NOT IONPReady AND A_FSB(14))); + +FDCPE_IOPWReady: FDCPE port map (IOPWReady,IOPWReady_D,FCLK,'0','0'); +
     IOPWReady_D <= ((nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT IOPWReady AND NOT iobs/Clear1 AND NOT nADoutLE1)); + +FDCPE_IORDREQ: FDCPE port map (IORDREQ,IORDREQ_D,FCLK,'0','0'); +
     IORDREQ_D <= ((NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd2 AND NOT nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND +
      nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2) +
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr) +
      OR (iobs/TS_FSM_FFd2 AND NOT IORDREQ) +
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT nWE_FSB AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); + +FTCPE_IOU0: FTCPE port map (IOU0,IOU0_T,FCLK,'0','0'); +
     IOU0_T <= ((iobs/TS_FSM_FFd1) +
      OR (NOT iobs/IOU1 AND NOT IOU0 AND NOT nADoutLE1) +
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (nBR_IOB_OBUF.EXP) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) -
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND +
      OR (nUDS_FSB AND NOT IOU0 AND nADoutLE1) +
      OR (NOT nUDS_FSB AND IOU0 AND nADoutLE1) +
      OR (iobs/IOU1 AND IOU0 AND NOT nADoutLE1)); + +FDCPE_IOWRREQ: FDCPE port map (IOWRREQ,IOWRREQ_D,FCLK,'0','0'); +
     IOWRREQ_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND
      nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); - -FTCPE_IORW0: FTCPE port map (IORW0,IORW0_T,FCLK,'0','0'); -
     IORW0_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND +
      NOT nAS_FSB AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND
      nADoutLE1) -
      OR (IOL0.EXP) -
      OR (iobs/Sent AND nADoutLE1) -
      OR (IORW0 AND iobs/IORW1 AND NOT nADoutLE1) -
      OR (NOT IORW0 AND NOT iobs/IORW1 AND NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT IORW0 AND nADoutLE1) -
      OR (NOT nWE_FSB AND NOT IORW0 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT cs/nOverlay AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND -
      nADoutLE1)); - -FDCPE_IOU0: FDCPE port map (IOU0,IOU0_D,FCLK,'0','0',IOU0_CE); -
     IOU0_D <= ((NOT nUDS_FSB AND nADoutLE1) -
      OR (iobs/IOU1 AND NOT nADoutLE1)); -
     IOU0_CE <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(14) AND fsb/ASrf AND +
      nADoutLE1) +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND cs/nOverlay AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND A_FSB(13) AND fsb/ASrf AND +
      nADoutLE1) +
      OR (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2 AND IOWRREQ) +
      OR (iobs/TS_FSM_FFd2 AND NOT iobs/IOACTr AND IOWRREQ) +
      OR (NOT iobs/IORW1 AND NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND +
      NOT nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND NOT nAS_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nWE_FSB AND +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)); -RA(0) <= ((A_FSB(10) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(1))); +RA(0) <= ((ram/RASEL AND A_FSB(1)) +
      OR (NOT ram/RASEL AND A_FSB(9))); -RA(1) <= ((A_FSB(11) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(2))); +RA(1) <= ((ram/RASEL AND A_FSB(2)) +
      OR (NOT ram/RASEL AND A_FSB(10))); -RA(2) <= ((ram/RASEL AND A_FSB(3)) -
      OR (A_FSB(12) AND NOT ram/RASEL)); - - -RA(3) <= ((A_FSB(13) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(4))); - - -RA(4) <= ((A_FSB(14) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(5))); - - -RA(5) <= ((A_FSB(15) AND NOT ram/RASEL) -
      OR (ram/RASEL AND A_FSB(6))); - - -RA(6) <= ((A_FSB(16) AND NOT ram/RASEL) +RA(2) <= ((A_FSB(16) AND NOT ram/RASEL)
      OR (ram/RASEL AND A_FSB(7))); -RA(7) <= ((A_FSB(8) AND ram/RASEL) -
      OR (A_FSB(17) AND NOT ram/RASEL)); - - -RA(8) <= ((A_FSB(23) AND A_FSB(18)) -
      OR (A_FSB(22) AND A_FSB(18)) -
      OR (A_FSB(18) AND NOT cs/nOverlay) -
      OR (A_FSB(18) AND NOT ram/RASEL) -
      OR (A_FSB(9) AND NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      ram/RASEL)); - - -RA(9) <= ((A_FSB(20) AND ram/RASEL) +RA(3) <= ((A_FSB(20) AND ram/RASEL)
      OR (A_FSB(19) AND NOT ram/RASEL)); -RA(10) <= A_FSB(21); +RA(4) <= ((ram/RASEL AND A_FSB(3)) +
      OR (NOT ram/RASEL AND A_FSB(11))); -RA(11) <= A_FSB(19); +RA(5) <= ((ram/RASEL AND A_FSB(4)) +
      OR (NOT ram/RASEL AND A_FSB(12))); + + +RA(6) <= ((ram/RASEL AND A_FSB(5)) +
      OR (NOT ram/RASEL AND A_FSB(13))); + + +RA(7) <= ((ram/RASEL AND A_FSB(6)) +
      OR (NOT ram/RASEL AND A_FSB(14))); + + +RA(8) <= ((A_FSB(21) AND ram/RASEL) +
      OR (A_FSB(18) AND NOT ram/RASEL)); + + +RA(9) <= ((ram/RASEL AND A_FSB(8)) +
      OR (NOT ram/RASEL AND A_FSB(15))); + + +RA(10) <= ((A_FSB(17) AND NOT ram/RASEL) +
      OR (ram/RASEL AND A_FSB(7))); + + +RA(11) <= ((A_FSB(20) AND ram/RASEL) +
      OR (A_FSB(19) AND NOT ram/RASEL)); + +FDCPE_RAMReady: FDCPE port map (RAMReady,RAMReady_D,FCLK,'0','0'); +
     RAMReady_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND +
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND +
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND +
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND NOT RAMReady) +
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4 AND +
      NOT fsb/ASrf) +
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT RAMReady AND NOT ram/BACTr)); FDCPE_RefReq: FDCPE port map (RefReq,RefReq_D,FCLK,'0','0',RefReq_CE);
     RefReq_D <= (NOT RefUrg AND NOT cnt/Timer(1) AND NOT cnt/Timer(2)); @@ -294,276 +389,200 @@ FTCPE_cs/nOverlay: FTCPE port map (cs/nOverlay,cs/nOverlay_T,FCLK,'0','0'); FDCPE_fsb/ASrf: FDCPE port map (fsb/ASrf,NOT nAS_FSB,NOT FCLK,'0','0'); -FDCPE_fsb/Ready0r: FDCPE port map (fsb/Ready0r,fsb/Ready0r_D,FCLK,'0','0'); -
     fsb/Ready0r_D <= ((nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT fsb/Ready0r AND NOT ram/RAMReady)); +FDCPE_iobm/C8Mr: FDCPE port map (iobm/C8Mr,C8M,C16M,'0','0'); -FDCPE_fsb/Ready1r: FDCPE port map (fsb/Ready1r,fsb/Ready1r_D,FCLK,'0','0'); -
     fsb/Ready1r_D <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND IOACT AND -
      NOT iobs/IODTACKr) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND IOACT AND -
      NOT iobs/IODTACKr) -
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND IOACT AND -
      NOT iobs/IODTACKr) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT iobs/DTACKEN AND NOT fsb/Ready1r AND NOT nADoutLE1) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND IOACT AND NOT iobs/IODTACKr) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r) -
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r)); +FTCPE_iobm/DoutOE: FTCPE port map (iobm/DoutOE,iobm/DoutOE_T,C16M,'0','0'); +
     iobm/DoutOE_T <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND NOT iobm/DoutOE AND +
      iobm/IOWRREQr) +
      OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND +
      iobm/DoutOE) +
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND +
      iobm/DoutOE) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND iobm/DoutOE AND NOT iobm/IOWRREQr)); -FDCPE_fsb/VPA: FDCPE port map (fsb/VPA,fsb/VPA_D,FCLK,'0','0'); -
     fsb/VPA_D <= ((iobs/IOACTr.EXP) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND -
      NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT iobs/DTACKEN AND NOT fsb/Ready1r AND fsb/VPA AND NOT nADoutLE1 AND -
      NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND -
      NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT fsb/Ready1r AND fsb/VPA AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1 AND -
      NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(9) AND A_FSB(8) AND A_FSB(15) AND A_FSB(14) AND -
      A_FSB(13) AND A_FSB(12) AND A_FSB(11) AND A_FSB(10) AND A_FSB(23) AND -
      A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND -
      A_FSB(17) AND A_FSB(16) AND fsb/Ready1r AND -
      NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT fsb/Ready0r AND fsb/VPA AND NOT ram/RAMReady AND -
      NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND fsb/VPA AND -
      IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND fsb/VPA AND -
      IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND fsb/VPA AND -
      IOACT AND NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND -
      fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND fsb/VPA AND IOACT AND -
      NOT iobs/IODTACKr AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153) -
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r AND fsb/VPA AND NOT $OpTx$$OpTx$FX_DC$47_INV$153)); +FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),NOT C8M,'0','0'); +
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT E AND iobm/Er) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND +
      NOT iobm/ES(3) AND E) +
      OR (NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND +
      NOT iobm/ES(3) AND NOT iobm/Er)); -FDCPE_iobm/BERRrf: FDCPE port map (iobm/BERRrf,NOT nBERR_IOB,NOT C8M,'0','0'); - -FDCPE_iobm/DTACKrf: FDCPE port map (iobm/DTACKrf,NOT nDTACK_IOB,NOT C8M,'0','0'); - -FDCPE_iobm/DoutOE: FDCPE port map (iobm/DoutOE,iobm/DoutOE_D,C16M,'0','0'); -
     iobm/DoutOE_D <= ((NOT IORW0 AND iobm/IOS_FSM_FFd3) -
      OR (NOT IORW0 AND iobm/IOS_FSM_FFd2) -
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND -
      NOT iobm/IOS_FSM_FFd2 AND NOT iobm/IOREQr AND NOT nAoutOE)); - -FTCPE_iobm/ES0: FTCPE port map (iobm/ES(0),iobm/ES_T(0),C16M,'0','0'); -
     iobm/ES_T(0) <= ((iobm/ES(0) AND NOT iobm/Er AND iobm/Er2) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND iobm/Er) -
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND NOT iobm/Er2)); - -FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),C16M,'0','0'); +FDCPE_iobm/ES1: FDCPE port map (iobm/ES(1),iobm/ES_D(1),NOT C8M,'0','0');
     iobm/ES_D(1) <= ((iobm/ES(0) AND iobm/ES(1))
      OR (NOT iobm/ES(0) AND NOT iobm/ES(1)) -
      OR (NOT iobm/Er AND iobm/Er2)); +
      OR (NOT E AND iobm/Er) +
      OR (iobm/ES(0) AND NOT iobm/ES(2) AND iobm/ES(3))); -FDCPE_iobm/ES2: FDCPE port map (iobm/ES(2),iobm/ES_D(2),C16M,'0','0'); -
     iobm/ES_D(2) <= ((NOT iobm/ES(0) AND NOT iobm/ES(2)) -
      OR (NOT iobm/ES(1) AND NOT iobm/ES(2)) -
      OR (NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2)) -
      OR (NOT iobm/ES(2) AND NOT iobm/ES(3) AND iobm/ES(4))); +FTCPE_iobm/ES2: FTCPE port map (iobm/ES(2),iobm/ES_T(2),NOT C8M,'0','0'); +
     iobm/ES_T(2) <= ((iobm/ES(0) AND iobm/ES(1) AND E) +
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/Er) +
      OR (iobm/ES(2) AND NOT E AND iobm/Er)); -FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),C16M,'0','0'); -
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND iobm/Er) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND NOT iobm/Er2)); - -FTCPE_iobm/ES4: FTCPE port map (iobm/ES(4),iobm/ES_T(4),C16M,'0','0'); -
     iobm/ES_T(4) <= ((iobm/ES(4) AND NOT iobm/Er AND iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      iobm/ES(3) AND iobm/Er) -
      OR (iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      iobm/ES(3) AND NOT iobm/Er2) -
      OR (iobm/ES(0) AND iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND iobm/ES(4))); - -FDCPE_iobm/ETACK: FDCPE port map (iobm/ETACK,iobm/ETACK_D,C16M,'0','0'); -
     iobm/ETACK_D <= (NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND iobm/ES(4)); +FTCPE_iobm/ES3: FTCPE port map (iobm/ES(3),iobm/ES_T(3),NOT C8M,'0','0'); +
     iobm/ES_T(3) <= ((iobm/ES(3) AND NOT E AND iobm/Er) +
      OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND E) +
      OR (iobm/ES(0) AND iobm/ES(2) AND iobm/ES(1) AND NOT iobm/Er) +
      OR (iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND +
      iobm/ES(3))); FDCPE_iobm/Er: FDCPE port map (iobm/Er,E,NOT C8M,'0','0'); -FDCPE_iobm/Er2: FDCPE port map (iobm/Er2,iobm/Er,C16M,'0','0'); +FDCPE_iobm/IORDREQr: FDCPE port map (iobm/IORDREQr,IORDREQ,C16M,'0','0'); -FDCPE_iobm/IOREQr: FDCPE port map (iobm/IOREQr,IOREQ,NOT C16M,'0','0'); +FDCPE_iobm/IOS0: FDCPE port map (iobm/IOS0,iobm/IOS0_D,C16M,'0','0'); +
     iobm/IOS0_D <= ((iobm/IOS_FSM_FFd1) +
      OR (iobm/IOS_FSM_FFd7 AND iobm/C8Mr) +
      OR (iobm/IOS_FSM_FFd7 AND nAoutOE) +
      OR (iobm/IOS_FSM_FFd7 AND NOT iobm/IORDREQr AND +
      NOT iobm/IOWRREQr) +
      OR (NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND +
      NOT iobm/IOS_FSM_FFd2 AND iobm/IOS0)); -FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd1_D,C16M,'0','0'); -
     iobm/IOS_FSM_FFd1_D <= ((iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2)); +FDCPE_iobm/IOS_FSM_FFd1: FDCPE port map (iobm/IOS_FSM_FFd1,iobm/IOS_FSM_FFd2,C16M,'0','0'); FDCPE_iobm/IOS_FSM_FFd2: FDCPE port map (iobm/IOS_FSM_FFd2,iobm/IOS_FSM_FFd2_D,C16M,'0','0'); -
     iobm/IOS_FSM_FFd2_D <= ((NOT C8M AND iobm/IOS_FSM_FFd2) -
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1) -
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) -
      OR (iobm/IOS_FSM_FFd2 AND NOT iobm/BERRrf AND NOT iobm/DTACKrf AND -
      NOT iobm/ETACK AND NOT iobm/RESrf)); +
     iobm/IOS_FSM_FFd2_D <= ((IOBERR AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr) +
      OR (IODONE AND iobm/IOS_FSM_FFd3 AND NOT iobm/C8Mr)); FDCPE_iobm/IOS_FSM_FFd3: FDCPE port map (iobm/IOS_FSM_FFd3,iobm/IOS_FSM_FFd3_D,C16M,'0','0'); -
     iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) -
      OR (iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd1 AND -
      NOT iobm/IOS_FSM_FFd2) -
      OR (NOT C8M AND NOT iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2 AND -
      iobm/IOREQr AND NOT nAoutOE)); +
     iobm/IOS_FSM_FFd3_D <= ((iobm/IOS_FSM_FFd4) +
      OR (iobm/IOS_FSM_FFd3 AND iobm/C8Mr) +
      OR (NOT IOBERR AND NOT IODONE AND iobm/IOS_FSM_FFd3)); -FDCPE_iobm/RESrf: FDCPE port map (iobm/RESrf,NOT nRES.PIN,NOT C8M,'0','0'); +FDCPE_iobm/IOS_FSM_FFd4: FDCPE port map (iobm/IOS_FSM_FFd4,iobm/IOS_FSM_FFd5,C16M,'0','0'); -FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C16M,'0','0'); +FDCPE_iobm/IOS_FSM_FFd5: FDCPE port map (iobm/IOS_FSM_FFd5,iobm/IOS_FSM_FFd6,C16M,'0','0'); + +FDCPE_iobm/IOS_FSM_FFd6: FDCPE port map (iobm/IOS_FSM_FFd6,iobm/IOS_FSM_FFd6_D,C16M,'0','0'); +
     iobm/IOS_FSM_FFd6_D <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IORDREQr AND +
      NOT nAoutOE) +
      OR (iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND iobm/IOWRREQr AND +
      NOT nAoutOE)); + +FDCPE_iobm/IOS_FSM_FFd7: FDCPE port map (iobm/IOS_FSM_FFd7,iobm/IOS_FSM_FFd7_D,C16M,'0','0'); +
     iobm/IOS_FSM_FFd7_D <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd1) +
      OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IORDREQr AND +
      NOT nAoutOE) +
      OR (NOT iobm/C8Mr AND NOT iobm/IOS_FSM_FFd1 AND iobm/IOWRREQr AND +
      NOT nAoutOE)); + +FDCPE_iobm/IOWRREQr: FDCPE port map (iobm/IOWRREQr,IOWRREQ,C16M,'0','0'); + +FDCPE_iobm/VPAr: FDCPE port map (iobm/VPAr,NOT nVPA_IOB,NOT C8M,'0','0'); FDCPE_iobs/Clear1: FDCPE port map (iobs/Clear1,iobs/Clear1_D,FCLK,'0','0'); -
     iobs/Clear1_D <= (iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1); - -FDCPE_iobs/DTACKEN: FDCPE port map (iobs/DTACKEN,iobs/DTACKEN_D,FCLK,'0','0'); -
     iobs/DTACKEN_D <= ((NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND -
      NOT iobs/DTACKEN) -
      OR (NOT iobs/Sent AND NOT iobs/DTACKEN) -
      OR (NOT iobs/DTACKEN AND NOT iobs/IOACTr) -
      OR (NOT iobs/DTACKEN AND NOT nADoutLE1) -
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/DTACKEN)); +
     iobs/Clear1_D <= (NOT iobs/TS_FSM_FFd1 AND iobs/TS_FSM_FFd2); FDCPE_iobs/IOACTr: FDCPE port map (iobs/IOACTr,IOACT,FCLK,'0','0'); -FDCPE_iobs/IODTACKr: FDCPE port map (iobs/IODTACKr,NOT nDTACK_IOB,FCLK,'0','0'); +FDCPE_iobs/IODONEr: FDCPE port map (iobs/IODONEr,IODONE,FCLK,'0','0'); FDCPE_iobs/IOL1: FDCPE port map (iobs/IOL1,NOT nLDS_FSB,FCLK,'0','0',iobs/Load1); FTCPE_iobs/IORW1: FTCPE port map (iobs/IORW1,iobs/IORW1_T,FCLK,'0','0'); -
     iobs/IORW1_T <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND -
      iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND -
      iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND -
      iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND -
      iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/IORW1 AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND nADoutLE1)); +
     iobs/IORW1_T <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND +
      A_FSB(14) AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd1 AND +
      A_FSB(13) AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND +
      A_FSB(14) AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND iobs/TS_FSM_FFd2 AND +
      A_FSB(13) AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd1 AND A_FSB(14) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd1 AND A_FSB(13) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd2 AND A_FSB(14) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/IORW1 AND NOT nAS_FSB AND +
      iobs/TS_FSM_FFd2 AND A_FSB(13) AND nADoutLE1)); FDCPE_iobs/IOU1: FDCPE port map (iobs/IOU1,NOT nUDS_FSB,FCLK,'0','0',iobs/Load1); FDCPE_iobs/Load1: FDCPE port map (iobs/Load1,iobs/Load1_D,FCLK,'0','0'); -
     iobs/Load1_D <= ((A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND +
     iobs/Load1_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(14) AND
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd1 AND A_FSB(13) AND
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd1 AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(13) AND
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND iobs/TS_FSM_FFd2 AND -
      fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND -
      iobs/TS_FSM_FFd1 AND nADoutLE1)); +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      A_FSB(14) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd1 AND +
      A_FSB(13) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND +
      A_FSB(14) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND iobs/TS_FSM_FFd2 AND +
      A_FSB(13) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND iobs/TS_FSM_FFd2 AND A_FSB(14) AND +
      fsb/ASrf AND nADoutLE1)); FTCPE_iobs/Sent: FTCPE port map (iobs/Sent,iobs/Sent_T,FCLK,'0','0');
     iobs/Sent_T <= ((A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND NOT nAS_FSB AND -
      NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND -
      NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND -
      NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/Sent AND -
      NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1) -
      OR (A_FSB(14) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND NOT nAS_FSB AND nADoutLE1) -
      OR (A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND -
      A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND -
      NOT nWE_FSB AND NOT iobs/Sent AND cs/nOverlay AND fsb/ASrf AND nADoutLE1) +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(14) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND NOT nAS_FSB AND A_FSB(13) AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND A_FSB(14) AND fsb/ASrf AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT iobs/Sent AND +
      cs/nOverlay AND NOT nWE_FSB AND A_FSB(13) AND fsb/ASrf AND nADoutLE1)
      OR (iobs/Sent AND nAS_FSB AND NOT fsb/ASrf)
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT nAS_FSB AND -
      NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) -
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND -
      NOT iobs/TS_FSM_FFd1 AND fsb/ASrf AND nADoutLE1) +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (A_FSB(23) AND NOT iobs/Sent AND NOT iobs/TS_FSM_FFd1 AND +
      NOT iobs/TS_FSM_FFd2 AND fsb/ASrf AND nADoutLE1)
      OR (A_FSB(22) AND A_FSB(20) AND NOT iobs/Sent AND NOT nAS_FSB AND -
      NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1) +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (A_FSB(22) AND NOT iobs/Sent AND NOT cs/nOverlay AND NOT nAS_FSB AND -
      NOT iobs/TS_FSM_FFd2 AND NOT iobs/TS_FSM_FFd1 AND nADoutLE1)); +
      NOT iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)); FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK,'0','0');
     iobs/TS_FSM_FFd1_D <= ((iobs/TS_FSM_FFd2) @@ -572,23 +591,23 @@ FDCPE_iobs/TS_FSM_FFd1: FDCPE port map (iobs/TS_FSM_FFd1,iobs/TS_FSM_FFd1_D,FCLK FDCPE_iobs/TS_FSM_FFd2: FDCPE port map (iobs/TS_FSM_FFd2,iobs/TS_FSM_FFd2_D,FCLK,'0','0');
     iobs/TS_FSM_FFd2_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(21) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(19) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND -
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(18) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(16) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND nWE_FSB AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT A_FSB(14) AND NOT A_FSB(13) AND NOT A_FSB(23) AND NOT A_FSB(22) AND +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(20) AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT A_FSB(17) AND +
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND NOT iobs/TS_FSM_FFd2 AND +
      NOT A_FSB(14) AND NOT A_FSB(13) AND nADoutLE1)
      OR (NOT A_FSB(23) AND NOT A_FSB(21) AND NOT A_FSB(20) AND cs/nOverlay AND
      NOT iobs/TS_FSM_FFd2 AND nADoutLE1) -
      OR (NOT iobs/TS_FSM_FFd2 AND iobs/TS_FSM_FFd1) +
      OR (iobs/TS_FSM_FFd1 AND NOT iobs/TS_FSM_FFd2)
      OR (iobs/TS_FSM_FFd1 AND iobs/IOACTr)
      OR (iobs/Sent AND NOT iobs/TS_FSM_FFd2 AND nADoutLE1)
      OR (nAS_FSB AND NOT iobs/TS_FSM_FFd2 AND NOT fsb/ASrf AND @@ -604,8 +623,13 @@ FDCPE_nADoutLE1: FDCPE port map (nADoutLE1,nADoutLE1_D,FCLK,'0','0');
      OR (NOT iobs/Clear1 AND NOT nADoutLE1)); FDCPE_nAS_IOB: FDCPE port map (nAS_IOB_I,nAS_IOB,NOT C16M,'0','0'); -
     nAS_IOB <= ((NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd2) -
      OR (iobm/IOS_FSM_FFd1 AND NOT iobm/IOS_FSM_FFd2)); +
     nAS_IOB <= ((NOT iobm/IOS_FSM_FFd7 AND NOT iobm/IOS_FSM_FFd3 AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) +
      OR (NOT iobm/IOS_FSM_FFd3 AND iobm/C8Mr AND +
      NOT iobm/IOS_FSM_FFd4 AND NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6) +
      OR (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4 AND +
      NOT iobm/IOS_FSM_FFd5 AND NOT iobm/IOS_FSM_FFd6 AND NOT iobm/IORDREQr AND +
      NOT iobm/IOWRREQr));
     nAS_IOB <= nAS_IOB_I when nAS_IOB_OE = '1' else 'Z';
     nAS_IOB_OE <= NOT nAoutOE; @@ -615,8 +639,10 @@ FDCPE_nAoutOE: FDCPE port map (nAoutOE,nAoutOE_D,FCLK,'0','0');
      OR (cnt/INITS_FSM_FFd1 AND NOT cnt/INITS_FSM_FFd2 AND
      NOT nAoutOE)); - -nBERR_FSB <= NOT ((iobs/DTACKEN AND NOT nBERR_IOB)); +FDCPE_nBERR_FSB: FDCPE port map (nBERR_FSB,nBERR_FSB_D,FCLK,'0','0'); +
     nBERR_FSB_D <= ((NOT iobs/Sent AND nBERR_FSB) +
      OR (NOT IOBERR AND nBERR_FSB) +
      OR (nAS_FSB AND NOT fsb/ASrf)); FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
     nBR_IOB_T <= ((nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND @@ -624,44 +650,25 @@ FTCPE_nBR_IOB: FTCPE port map (nBR_IOB,nBR_IOB_T,FCLK,'0','0');
      OR (NOT nBR_IOB AND NOT cnt/INITS_FSM_FFd1 AND
      cnt/INITS_FSM_FFd2 AND NOT cnt/nIPL2r)); -FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/RASEL,NOT FCLK,'0','0'); +FDCPE_nCAS: FDCPE port map (nCAS,NOT ram/CAS,NOT FCLK,'0','0'); FDCPE_nDTACK_FSB: FDCPE port map (nDTACK_FSB,nDTACK_FSB_D,FCLK,'0','0'); -
     nDTACK_FSB_D <= ((A_FSB(22) AND A_FSB(20) AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r AND nDTACK_FSB) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT fsb/Ready0r AND nDTACK_FSB AND NOT ram/RAMReady) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT fsb/Ready1r AND -
      nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) -
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT fsb/Ready1r AND -
      nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) -
      OR (fsb/Ready0r.EXP) +
     nDTACK_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18)) +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND +
      NOT IOPWReady AND A_FSB(14)) +
      OR (A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND A_FSB(18) AND +
      A_FSB(17) AND A_FSB(16) AND cs/nOverlay AND NOT nWE_FSB AND NOT IONPReady AND +
      NOT IOPWReady AND A_FSB(13)) +
      OR (A_FSB(23) AND NOT IONPReady)
      OR (nAS_FSB AND NOT fsb/ASrf) -
      OR (A_FSB(23) AND NOT iobs/DTACKEN AND NOT fsb/Ready1r AND -
      nDTACK_FSB) -
      OR (A_FSB(23) AND NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND -
      NOT iobs/IODTACKr) -
      OR (A_FSB(22) AND A_FSB(21) AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r AND nDTACK_FSB) -
      OR (A_FSB(22) AND NOT cs/nOverlay AND NOT iobs/DTACKEN AND -
      NOT fsb/Ready1r AND nDTACK_FSB) -
      OR (A_FSB(22) AND A_FSB(20) AND NOT fsb/Ready1r AND -
      nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT iobs/DTACKEN AND NOT fsb/Ready1r AND nDTACK_FSB AND NOT nADoutLE1) -
      OR (A_FSB(14) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1) -
      OR (A_FSB(13) AND A_FSB(21) AND A_FSB(20) AND A_FSB(19) AND -
      A_FSB(18) AND A_FSB(17) AND A_FSB(16) AND NOT nWE_FSB AND cs/nOverlay AND -
      NOT fsb/Ready1r AND nDTACK_FSB AND IOACT AND NOT iobs/IODTACKr AND NOT nADoutLE1)); +
      OR (A_FSB(22) AND A_FSB(21) AND NOT IONPReady) +
      OR (A_FSB(22) AND A_FSB(20) AND NOT IONPReady) +
      OR (NOT A_FSB(22) AND NOT IONPReady AND NOT RAMReady)); FDCPE_nDinLE: FDCPE port map (nDinLE,nDinLE_D,NOT C16M,'0','0'); -
     nDinLE_D <= (iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2); +
     nDinLE_D <= (NOT iobm/IOS_FSM_FFd3 AND NOT iobm/IOS_FSM_FFd4); nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB) @@ -669,13 +676,17 @@ nDinOE <= NOT (((A_FSB(23) AND nWE_FSB AND NOT nAS_FSB)
      OR (A_FSB(22) AND A_FSB(20) AND nWE_FSB AND NOT nAS_FSB))); -nDoutOE <= NOT ((iobm/DoutOE AND NOT nAoutOE)); +nDoutOE <= NOT (((NOT iobm/IORDREQr AND iobm/IOS0 AND NOT iobm/IOWRREQr AND +
      NOT nAoutOE) +
      OR (iobm/DoutOE AND NOT nAoutOE))); FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); -
     nLDS_IOB <= ((IOL0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) -
      OR (IOL0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) -
      OR (IORW0 AND IOL0 AND iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd1)); +
     nLDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOL0 AND +
      iobm/IORDREQr) +
      OR (iobm/IOS_FSM_FFd3 AND IOL0) +
      OR (iobm/IOS_FSM_FFd4 AND IOL0) +
      OR (iobm/IOS_FSM_FFd5 AND IOL0) +
      OR (NOT nLDS_IOB AND iobm/IOS_FSM_FFd6 AND IOL0));
     nLDS_IOB <= nLDS_IOB_I when nLDS_IOB_OE = '1' else 'Z';
     nLDS_IOB_OE <= NOT nAoutOE; @@ -683,15 +694,16 @@ FDCPE_nLDS_IOB: FDCPE port map (nLDS_IOB_I,nLDS_IOB,NOT C16M,'0','0'); nOE <= NOT ((nWE_FSB AND NOT nAS_FSB)); -nRAMLWE <= NOT ((NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMLWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nLDS_FSB AND NOT nAS_FSB)); -nRAMUWE <= NOT ((NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB AND ram/RAMEN)); +nRAMUWE <= NOT ((ram/RAMEN AND NOT nWE_FSB AND NOT nUDS_FSB AND NOT nAS_FSB)); -nRAS <= NOT (((ram/RefRAS) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      ram/RAMEN))); +nRAS <= NOT (((ram/RASrf) +
      OR (ram/RASrr) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT nAS_FSB))); nRES_I <= '0'; @@ -709,145 +721,166 @@ nROMCS <= NOT (((NOT A_FSB(23) AND A_FSB(22) AND NOT A_FSB(21) AND NOT A_FSB(20) nROMWE <= NOT ((NOT nWE_FSB AND NOT nAS_FSB)); FDCPE_nUDS_IOB: FDCPE port map (nUDS_IOB_I,nUDS_IOB,NOT C16M,'0','0'); -
     nUDS_IOB <= ((IOU0 AND NOT iobm/IOS_FSM_FFd3 AND iobm/IOS_FSM_FFd2) -
      OR (IOU0 AND iobm/IOS_FSM_FFd1 AND iobm/IOS_FSM_FFd2) -
      OR (IORW0 AND IOU0 AND iobm/IOS_FSM_FFd3 AND -
      NOT iobm/IOS_FSM_FFd1)); +
     nUDS_IOB <= ((iobm/IOS_FSM_FFd7 AND NOT iobm/C8Mr AND IOU0 AND +
      iobm/IORDREQr) +
      OR (iobm/IOS_FSM_FFd3 AND IOU0) +
      OR (iobm/IOS_FSM_FFd4 AND IOU0) +
      OR (iobm/IOS_FSM_FFd5 AND IOU0) +
      OR (NOT nUDS_IOB AND iobm/IOS_FSM_FFd6 AND IOU0));
     nUDS_IOB <= nUDS_IOB_I when nUDS_IOB_OE = '1' else 'Z';
     nUDS_IOB_OE <= NOT nAoutOE; -FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C16M,'0','0'); -
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(1) AND NOT iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4)) -
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(1) AND iobm/ES(2) AND -
      NOT iobm/ES(3) AND NOT iobm/ES(4) AND IOACT AND iobm/VPAr)); +FTCPE_nVMA_IOB: FTCPE port map (nVMA_IOB_I,nVMA_IOB_T,C8M,'0','0'); +
     nVMA_IOB_T <= ((NOT nVMA_IOB AND NOT iobm/ES(0) AND NOT iobm/ES(2) AND NOT iobm/ES(1) AND +
      NOT iobm/ES(3)) +
      OR (nVMA_IOB AND iobm/ES(0) AND iobm/ES(2) AND NOT iobm/ES(1) AND +
      NOT iobm/ES(3) AND IOACT AND iobm/VPAr));
     nVMA_IOB <= nVMA_IOB_I when nVMA_IOB_OE = '1' else 'Z';
     nVMA_IOB_OE <= NOT nAoutOE; - -nVPA_FSB <= NOT ((fsb/VPA AND NOT nAS_FSB)); +FDCPE_nVPA_FSB: FDCPE port map (nVPA_FSB,nVPA_FSB_D,FCLK,'0',nAS_FSB); +
     nVPA_FSB_D <= ((A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND IONPReady AND NOT nAS_FSB) +
      OR (A_FSB(23) AND A_FSB(22) AND A_FSB(21) AND A_FSB(20) AND +
      A_FSB(19) AND A_FSB(18) AND IONPReady AND fsb/ASrf)); FDCPE_ram/BACTr: FDCPE port map (ram/BACTr,ram/BACTr_D,FCLK,'0','0');
     ram/BACTr_D <= (nAS_FSB AND NOT fsb/ASrf); -FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); -
     ram/RAMEN_D <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) -
      OR (NOT ram/RS_FSM_FFd1 AND ram/RS_FSM_FFd3 AND ram/RAMEN) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND NOT ram/RefUrg) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND ram/RAMEN) -
      OR (NOT ram/RefUrg AND ram/RAMEN AND ram/BACTr) -
      OR (NOT ram/RefUrg AND ram/RAMEN AND NOT ram/RefReq) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND ram/RAMEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND ram/RAMEN AND fsb/ASrf)); +FDCPE_ram/CAS: FDCPE port map (ram/CAS,ram/CAS_D,FCLK,'0','0'); +
     ram/CAS_D <= ((ram/RS_FSM_FFd7) +
      OR (ram/RS_FSM_FFd6) +
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT nAS_FSB AND ram/RS_FSM_FFd8) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) +
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf)); -FDCPE_ram/RAMReady: FDCPE port map (ram/RAMReady,ram/RAMReady_D,FCLK,'0','0'); -
     ram/RAMReady_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND NOT ram/RefUrg) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND ram/BACTr) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND -
      NOT ram/RAMEN)); +FTCPE_ram/Once: FTCPE port map (ram/Once,ram/Once_T,FCLK,'0','0'); +
     ram/Once_T <= ((ram/Once AND nAS_FSB AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT ram/Once AND NOT nAS_FSB AND ram/RS_FSM_FFd8) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT ram/Once AND ram/RS_FSM_FFd8 AND fsb/ASrf)); + +FDCPE_ram/RAMEN: FDCPE port map (ram/RAMEN,ram/RAMEN_D,FCLK,'0','0'); +
     ram/RAMEN_D <= ((ram/RS_FSM_FFd7) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RAMEN AND ram/Once AND NOT nAS_FSB) +
      OR (NOT ram/RAMEN AND ram/Once AND fsb/ASrf) +
      OR (NOT ram/RAMEN AND NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8)); FDCPE_ram/RASEL: FDCPE port map (ram/RASEL,ram/RASEL_D,FCLK,'0','0'); -
     ram/RASEL_D <= ((A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RAMEN AND fsb/ASrf) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND ram/BACTr) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND ram/BACTr) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND ram/BACTr) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT ram/RefReq) -
      OR (ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND NOT ram/RefUrg) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3 AND fsb/ASrf)); +
     ram/RASEL_D <= ((ram/RS_FSM_FFd7) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT nAS_FSB AND ram/RS_FSM_FFd8) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf)); -FTCPE_ram/RS_FSM_FFd1: FTCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd1_T,FCLK,'0','0'); -
     ram/RS_FSM_FFd1_T <= ((ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND ram/RAMEN AND -
      fsb/ASrf)); +FDCPE_ram/RASrf: FDCPE port map (ram/RASrf,ram/RS_FSM_FFd7,NOT FCLK,'0','0'); -FTCPE_ram/RS_FSM_FFd2: FTCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd2_T,FCLK,'0','0'); -
     ram/RS_FSM_FFd2_T <= ((ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd3) -
      OR (nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND -
      ram/BACTr) -
      OR (NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND -
      NOT ram/RefReq) -
      OR (nAS_FSB AND NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND -
      NOT ram/RefUrg AND NOT fsb/ASrf) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND NOT nAS_FSB AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3) -
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND cs/nOverlay AND -
      NOT ram/RS_FSM_FFd1 AND NOT ram/RS_FSM_FFd3 AND fsb/ASrf)); +FDCPE_ram/RASrr: FDCPE port map (ram/RASrr,ram/RASrr_D,FCLK,'0','0'); +
     ram/RASrr_D <= ((ram/RS_FSM_FFd3) +
      OR (ram/RS_FSM_FFd6) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT nAS_FSB AND ram/RS_FSM_FFd8) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf)); -FTCPE_ram/RS_FSM_FFd3: FTCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd3_T,FCLK,'0','0'); -
     ram/RS_FSM_FFd3_T <= ((nAS_FSB AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT fsb/ASrf) -
      OR (NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3 AND NOT ram/RefUrg AND NOT ram/RAMEN) -
      OR (A_FSB(23) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (A_FSB(22) AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT cs/nOverlay AND NOT ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      NOT ram/RS_FSM_FFd3) -
      OR (NOT nAS_FSB AND ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND ram/RefUrg) -
      OR (ram/RS_FSM_FFd2 AND ram/RS_FSM_FFd1 AND -
      ram/RS_FSM_FFd3 AND ram/RefUrg AND fsb/ASrf)); +FDCPE_ram/RS_FSM_FFd1: FDCPE port map (ram/RS_FSM_FFd1,ram/RS_FSM_FFd2,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd2: FDCPE port map (ram/RS_FSM_FFd2,ram/RS_FSM_FFd3,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd3: FDCPE port map (ram/RS_FSM_FFd3,ram/RS_FSM_FFd6,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd4: FDCPE port map (ram/RS_FSM_FFd4,ram/RS_FSM_FFd4_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd4_D <= ((NOT ram/RS_FSM_FFd5 AND NOT ram/RS_FSM_FFd1) +
      OR (RefUrg AND NOT ram/RefDone AND NOT ram/RS_FSM_FFd1)); + +FDCPE_ram/RS_FSM_FFd5: FDCPE port map (ram/RS_FSM_FFd5,ram/RS_FSM_FFd7,FCLK,'0','0'); + +FDCPE_ram/RS_FSM_FFd6: FDCPE port map (ram/RS_FSM_FFd6,ram/RS_FSM_FFd6_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd6_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (RefUrg AND NOT ram/RefDone AND ram/RS_FSM_FFd5) +
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND +
      ram/RS_FSM_FFd8) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT fsb/ASrf) +
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      ram/RS_FSM_FFd8 AND NOT ram/BACTr)); + +FDCPE_ram/RS_FSM_FFd7: FDCPE port map (ram/RS_FSM_FFd7,ram/RS_FSM_FFd7_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd7_D <= ((NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT nAS_FSB AND ram/RS_FSM_FFd8) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      ram/RS_FSM_FFd8 AND fsb/ASrf)); + +FDCPE_ram/RS_FSM_FFd8: FDCPE port map (ram/RS_FSM_FFd8,ram/RS_FSM_FFd8_D,FCLK,'0','0'); +
     ram/RS_FSM_FFd8_D <= ((A_FSB(23) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) +
      OR (A_FSB(23) AND NOT ram/RefDone AND RefReq AND +
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) +
      OR (A_FSB(22) AND NOT ram/RefDone AND RefReq AND +
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND NOT nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT ram/BACTr) +
      OR (NOT ram/RefDone AND RefReq AND NOT cs/nOverlay AND +
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf AND NOT ram/BACTr) +
      OR (NOT ram/RS_FSM_FFd8 AND NOT ram/RS_FSM_FFd4) +
      OR (RefUrg AND NOT ram/RAMEN AND NOT ram/RefDone AND +
      NOT ram/RS_FSM_FFd4) +
      OR (RefUrg AND NOT ram/RefDone AND nAS_FSB AND +
      NOT ram/RS_FSM_FFd4 AND NOT fsb/ASrf) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT nAS_FSB AND NOT ram/RS_FSM_FFd4) +
      OR (NOT A_FSB(23) AND NOT A_FSB(22) AND ram/RAMEN AND cs/nOverlay AND +
      NOT ram/RS_FSM_FFd4 AND fsb/ASrf)); FDCPE_ram/RefDone: FDCPE port map (ram/RefDone,ram/RefDone_D,FCLK,'0','0'); -
     ram/RefDone_D <= ((ram/RefDone AND ram/RefReqSync) -
      OR (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1 AND -
      ram/RefReqSync)); - -FDCPE_ram/RefRAS: FDCPE port map (ram/RefRAS,ram/RefRAS_D,FCLK,'0','0'); -
     ram/RefRAS_D <= (ram/RS_FSM_FFd2 AND NOT ram/RS_FSM_FFd1); - -FDCPE_ram/RefReq: FDCPE port map (ram/RefReq,ram/RefReq_D,FCLK,'0','0'); -
     ram/RefReq_D <= (NOT ram/RefDone AND ram/RefReqSync); - -FDCPE_ram/RefReqSync: FDCPE port map (ram/RefReqSync,RefReq,FCLK,'0','0'); - -FDCPE_ram/RefUrg: FDCPE port map (ram/RefUrg,ram/RefUrg_D,FCLK,'0','0'); -
     ram/RefUrg_D <= (NOT ram/RefDone AND ram/RegUrgSync); - -FDCPE_ram/RegUrgSync: FDCPE port map (ram/RegUrgSync,RefUrg,FCLK,'0','0'); +
     ram/RefDone_D <= ((NOT RefUrg AND NOT RefReq) +
      OR (NOT ram/RefDone AND NOT ram/RS_FSM_FFd3 AND NOT ram/RS_FSM_FFd2)); Register Legend:
      FDCPE (Q,D,C,CLR,PRE,CE); diff --git a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm index 7c88200..520d247 100644 --- a/cpld/XC95144XL/WarpSE_html/fit/eqns.htm +++ b/cpld/XC95144XL/WarpSE_html/fit/eqns.htm @@ -3,27 +3,11 @@ - -
-

Timing Report

-
Need help reading this report?

- - - - - - - - - - - - - - - - - - -
Design NameWarpSE
Device, Speed (SpeedFile Version)XC95144XL, -10 (3.0)
Date Created Sun Mar 26 03:18:38 2023 -
Created By Timing Report Generator: version P.20131013
Copyright Copyright (c) 1995-2013 Xilinx, Inc. All rights reserved.
-

Summary

- - - - - - - - - - -
Notes and Warnings
Note: This design contains no timing constraints.
Note: A default set of constraints using a delay of 0.000ns will be used for analysis.

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Performance Summary
Min. Clock Period11.400 ns.
Max. Clock Frequency (fSYSTEM)87.719 MHz.
Limited by Cycle Time for FCLK
Clock to Setup (tCYC)11.400 ns.
Pad to Pad Delay (tPD)11.000 ns.
Setup to Clock at the Pad (tSU)7.900 ns.
Clock Pad to Output Pad Delay (tCO)14.500 ns.
-
-

Timing Constraints

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Constraint NameRequirement (ns)Delay (ns)PathsPaths Failing
TS10000.00.000
TS10010.00.000
TS10020.00.000
AUTO_TS_F2F0.011.4476476
AUTO_TS_P2P0.014.57070
AUTO_TS_P2F0.09.7179179
AUTO_TS_F2P0.012.73333
-
-
- -

Constraint: TS1000

- - - - - - - - -
Description: PERIOD:PERIOD_C8M:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
-
-
-
-

Constraint: TS1001

- - - - - - - - -
Description: PERIOD:PERIOD_FCLK:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
-
-
-
-

Constraint: TS1002

- - - - - - - - -
Description: PERIOD:PERIOD_C16M:0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
-
-
-
-

Constraint: AUTO_TS_F2F

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: MAXDELAY:FROM:FFS(*):TO:FFS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
cs/nOverlay1.Q to IOREQ.D0.00011.400-11.400
cs/nOverlay1.Q to IORW0.D0.00011.400-11.400
cs/nOverlay1.Q to iobs/PS_FSM_FFd2.D0.00011.400-11.400
-
-
- -

Constraint: AUTO_TS_P2P

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: MAXDELAY:FROM:PADS(*):TO:PADS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
FCLK to RA<1>0.00014.500-14.500
FCLK to RA<2>0.00014.500-14.500
FCLK to RA<4>0.00014.500-14.500
-
-
- -

Constraint: AUTO_TS_P2F

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: MAXDELAY:FROM:PADS(*):TO:FFS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
A_FSB<10> to fsb/VPA.D0.0009.700-9.700
A_FSB<10> to nDTACK_FSB.D0.0009.700-9.700
A_FSB<11> to fsb/VPA.D0.0009.700-9.700
-
-
- -

Constraint: AUTO_TS_F2P

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Description: MAXDELAY:FROM:FFS(*):TO:PADS(*):0.000 nS
PathRequirement (ns)Delay (ns)Slack (ns)
cs/nOverlay1.Q to RA<8>0.00012.700-12.700
cs/nOverlay1.Q to nROMCS0.00012.700-12.700
nAoutOE.Q to nAS_IOB0.00012.700-12.700
-
-
-
Number of constraints not met: 4
-
- -

Data Sheet Report

- -

Maximum External Clock Speeds

- - - - - - - - - - - - - - - - - - - - - -
ClockfEXT (MHz)Reason
C8M111.111Limited by Clock Pulse Width for C8M
FCLK87.719Limited by Cycle Time for FCLK
C16M90.909Limited by Cycle Time for C16M
-
- -

Setup/Hold Times for Clocks

- - - - - - - - - - - - -
Setup/Hold Times for Clock C8M
Source PadSetup to clk (edge) Hold to clk (edge)
E6.5000.000

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Setup/Hold Times for Clock FCLK
Source PadSetup to clk (edge) Hold to clk (edge)
A_FSB<10>7.9000.000
A_FSB<11>7.9000.000
A_FSB<12>7.9000.000
A_FSB<13>7.9000.000
A_FSB<14>7.9000.000
A_FSB<15>7.9000.000
A_FSB<16>7.9000.000
A_FSB<17>7.9000.000
A_FSB<18>7.9000.000
A_FSB<19>7.9000.000
A_FSB<20>7.9000.000
A_FSB<21>7.9000.000
A_FSB<22>7.9000.000
A_FSB<23>7.9000.000
A_FSB<8>7.9000.000
A_FSB<9>7.9000.000
E6.5000.000
nAS_FSB7.9000.000
nIPL26.5000.000
nLDS_FSB6.5000.000
nUDS_FSB6.5000.000
nWE_FSB7.9000.000

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Setup/Hold Times for Clock C16M
Source PadSetup to clk (edge) Hold to clk (edge)
C8M7.5000.000
nBERR_IOB7.5000.000
nDTACK_IOB6.5000.000
nRES6.5000.000
nVPA_IOB6.5000.000

-
- -

Clock to Pad Timing

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock FCLK to Pad
Destination PadClock (edge) to Pad
RA<1>14.500
RA<2>14.500
RA<4>14.500
RA<5>14.500
RA<8>14.500
nAS_IOB14.500
nLDS_IOB14.500
nRES14.500
nROMCS14.500
nUDS_IOB14.500
nVMA_IOB14.500
RA<0>13.500
RA<3>13.500
RA<6>13.500
RA<7>13.500
RA<9>13.500
nADoutLE013.500
nDoutOE13.500
nVPA_FSB13.500
nADoutLE15.800
nAoutOE5.800
nBERR_FSB5.800
nBR_IOB5.800
nCAS5.800
nDTACK_FSB5.800

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock C16M to Pad
Destination PadClock (edge) to Pad
nADoutLE013.500
nDoutOE13.500
nAS_IOB5.800
nDinLE5.800
nLDS_IOB5.800
nUDS_IOB5.800
nVMA_IOB5.800

-
- -

Clock to Setup Times for Clocks

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock to Setup for clock FCLK
SourceDestinationDelay
cs/nOverlay1.QIOREQ.D11.400
cs/nOverlay1.QIORW0.D11.400
cs/nOverlay1.Qiobs/PS_FSM_FFd2.D11.400
cs/nOverlay1.Qram/RAMReady.D11.400
cs/nOverlay1.Qram/RASEL.D11.400
cs/nOverlay1.Qram/RS_FSM_FFd3.D11.400
fsb/ASrf.QIOREQ.D11.400
fsb/ASrf.QIORW0.D11.400
fsb/ASrf.Qfsb/VPA.D11.400
fsb/ASrf.Qiobs/PS_FSM_FFd2.D11.400
fsb/ASrf.Qram/RASEL.D11.400
fsb/ASrf.Qram/RS_FSM_FFd3.D11.400
fsb/Ready1r.Qfsb/VPA.D11.400
fsb/Ready1r.QnDTACK_FSB.D11.400
iobs/IOReady.Qfsb/VPA.D11.400
iobs/IOReady.QnDTACK_FSB.D11.400
iobs/Once.QIOREQ.D11.400
iobs/Once.QIORW0.D11.400
iobs/Once.Qiobs/PS_FSM_FFd2.D11.400
iobs/PS_FSM_FFd1.QIOREQ.D11.400
iobs/PS_FSM_FFd1.QIORW0.D11.400
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd2.D11.400
iobs/PS_FSM_FFd2.QIORW0.D11.400
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd2.D11.400
nADoutLE1.QIORW0.D11.400
ram/BACTr.Qram/RAMReady.D11.400
ram/RAMEN.Qram/RASEL.D11.400
ram/RAMEN.Qram/RS_FSM_FFd3.D11.400
ram/RS_FSM_FFd1.Qram/RAMReady.D11.400
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd3.D11.400
ram/RS_FSM_FFd2.Qram/RAMReady.D11.400
ram/RS_FSM_FFd2.Qram/RASEL.D11.400
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd3.D11.400
ram/RS_FSM_FFd3.Qram/RAMReady.D11.400
ram/RS_FSM_FFd3.Qram/RASEL.D11.400
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd3.D11.400
ram/RefReq.Qram/RAMReady.D11.400
ram/RefUrg.Qram/RAMReady.D11.400
IORW0.QIORW0.D11.000
cs/nOverlay1.Qfsb/Ready1r.D11.000
cs/nOverlay1.Qfsb/VPA.D11.000
cs/nOverlay1.Qiobs/IORW1.D11.000
cs/nOverlay1.Qiobs/Load1.D11.000
cs/nOverlay1.Qiobs/Once.D11.000
cs/nOverlay1.QnDTACK_FSB.D11.000
fsb/ASrf.Qiobs/IORW1.D11.000
fsb/ASrf.Qiobs/Once.D11.000
fsb/ASrf.QnDTACK_FSB.D11.000
fsb/ASrf.Qram/RAMEN.D11.000
fsb/ASrf.Qram/RAMReady.D11.000
fsb/Ready0r.Qfsb/VPA.D11.000
fsb/Ready0r.QnDTACK_FSB.D11.000
fsb/Ready1r.Qfsb/Ready1r.D11.000
fsb/VPA.Qfsb/VPA.D11.000
iobs/IORW1.QIORW0.D11.000
iobs/IOReady.Qfsb/Ready1r.D11.000
iobs/Once.Qiobs/Once.D11.000
iobs/PS_FSM_FFd1.Qiobs/Once.D11.000
iobs/PS_FSM_FFd2.Qiobs/Once.D11.000
nADoutLE1.Qfsb/Ready1r.D11.000
nADoutLE1.Qfsb/VPA.D11.000
nADoutLE1.Qiobs/Once.D11.000
nDTACK_FSB.QnDTACK_FSB.D11.000
ram/BACTr.Qram/RAMEN.D11.000
ram/BACTr.Qram/RASEL.D11.000
ram/BACTr.Qram/RS_FSM_FFd3.D11.000
ram/RAMEN.Qram/RAMEN.D11.000
ram/RAMReady.Qfsb/VPA.D11.000
ram/RAMReady.QnDTACK_FSB.D11.000
ram/RS_FSM_FFd1.Qram/RAMEN.D11.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd2.D11.000
ram/RS_FSM_FFd2.Qram/RAMEN.D11.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd2.D11.000
ram/RS_FSM_FFd3.Qram/RAMEN.D11.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd2.D11.000
ram/RefReq.Qram/RASEL.D11.000
ram/RefReq.Qram/RS_FSM_FFd3.D11.000
ram/RefUrg.Qram/RAMEN.D11.000
ram/RefUrg.Qram/RASEL.D11.000
ram/RefUrg.Qram/RS_FSM_FFd2.D11.000
ram/RefUrg.Qram/RS_FSM_FFd3.D11.000
RefReq.Qram/RefReqSync.D10.000
RefUrg.QRefReq.D10.000
RefUrg.QRefUrg.D10.000
RefUrg.Qcnt/TimerTC.D10.000
RefUrg.Qram/RegUrgSync.D10.000
cnt/Er<0>.QRefReq.CE10.000
cnt/Er<0>.QRefUrg.CE10.000
cnt/Er<0>.QRefUrg.D10.000
cnt/Er<0>.Qcnt/Er<1>.D10.000
cnt/Er<0>.Qcnt/INITS_FSM_FFd1.D10.000
cnt/Er<0>.Qcnt/INITS_FSM_FFd2.D10.000
cnt/Er<0>.Qcnt/LTimer<0>.CE10.000
cnt/Er<0>.Qcnt/LTimer<10>.CE10.000
cnt/Er<0>.Qcnt/LTimer<11>.CE10.000
cnt/Er<0>.Qcnt/LTimer<12>.CE10.000
cnt/Er<0>.Qcnt/LTimer<1>.CE10.000
cnt/Er<0>.Qcnt/LTimer<2>.CE10.000
cnt/Er<0>.Qcnt/LTimer<3>.CE10.000
cnt/Er<0>.Qcnt/LTimer<4>.CE10.000
cnt/Er<0>.Qcnt/LTimer<5>.CE10.000
cnt/Er<0>.Qcnt/LTimer<6>.CE10.000
cnt/Er<0>.Qcnt/LTimer<7>.CE10.000
cnt/Er<0>.Qcnt/LTimer<8>.CE10.000
cnt/Er<0>.Qcnt/LTimer<9>.CE10.000
cnt/Er<0>.Qcnt/LTimerTC.CE10.000
cnt/Er<0>.Qcnt/Timer<0>.CE10.000
cnt/Er<0>.Qcnt/Timer<0>.D10.000
cnt/Er<0>.Qcnt/Timer<1>.CE10.000
cnt/Er<0>.Qcnt/Timer<1>.D10.000
cnt/Er<0>.Qcnt/Timer<2>.CE10.000
cnt/Er<0>.Qcnt/Timer<2>.D10.000
cnt/Er<0>.Qcnt/TimerTC.CE10.000
cnt/Er<1>.QRefReq.CE10.000
cnt/Er<1>.QRefUrg.CE10.000
cnt/Er<1>.QRefUrg.D10.000
cnt/Er<1>.Qcnt/INITS_FSM_FFd1.D10.000
cnt/Er<1>.Qcnt/INITS_FSM_FFd2.D10.000
cnt/Er<1>.Qcnt/LTimer<0>.CE10.000
cnt/Er<1>.Qcnt/LTimer<10>.CE10.000
cnt/Er<1>.Qcnt/LTimer<11>.CE10.000
cnt/Er<1>.Qcnt/LTimer<12>.CE10.000
cnt/Er<1>.Qcnt/LTimer<1>.CE10.000
cnt/Er<1>.Qcnt/LTimer<2>.CE10.000
cnt/Er<1>.Qcnt/LTimer<3>.CE10.000
cnt/Er<1>.Qcnt/LTimer<4>.CE10.000
cnt/Er<1>.Qcnt/LTimer<5>.CE10.000
cnt/Er<1>.Qcnt/LTimer<6>.CE10.000
cnt/Er<1>.Qcnt/LTimer<7>.CE10.000
cnt/Er<1>.Qcnt/LTimer<8>.CE10.000
cnt/Er<1>.Qcnt/LTimer<9>.CE10.000
cnt/Er<1>.Qcnt/LTimerTC.CE10.000
cnt/Er<1>.Qcnt/Timer<0>.CE10.000
cnt/Er<1>.Qcnt/Timer<0>.D10.000
cnt/Er<1>.Qcnt/Timer<1>.CE10.000
cnt/Er<1>.Qcnt/Timer<1>.D10.000
cnt/Er<1>.Qcnt/Timer<2>.CE10.000
cnt/Er<1>.Qcnt/Timer<2>.D10.000
cnt/Er<1>.Qcnt/TimerTC.CE10.000
cnt/INITS_FSM_FFd1.Qcnt/INITS_FSM_FFd1.D10.000
cnt/INITS_FSM_FFd1.Qcnt/INITS_FSM_FFd2.D10.000
cnt/INITS_FSM_FFd1.QnAoutOE.D10.000
cnt/INITS_FSM_FFd1.QnBR_IOB.D10.000
cnt/INITS_FSM_FFd1.QnRESout.D10.000
cnt/INITS_FSM_FFd2.Qcnt/INITS_FSM_FFd1.D10.000
cnt/INITS_FSM_FFd2.Qcnt/INITS_FSM_FFd2.D10.000
cnt/INITS_FSM_FFd2.QnAoutOE.D10.000
cnt/INITS_FSM_FFd2.QnBR_IOB.D10.000
cnt/INITS_FSM_FFd2.QnRESout.D10.000
cnt/LTimer<0>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<1>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<0>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<0>.Qcnt/LTimerTC.D10.000
cnt/LTimer<10>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<10>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<10>.Qcnt/LTimerTC.D10.000
cnt/LTimer<11>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<11>.Qcnt/LTimerTC.D10.000
cnt/LTimer<12>.Qcnt/LTimerTC.D10.000
cnt/LTimer<1>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<2>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<1>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<1>.Qcnt/LTimerTC.D10.000
cnt/LTimer<2>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<3>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<2>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<2>.Qcnt/LTimerTC.D10.000
cnt/LTimer<3>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<4>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<3>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<3>.Qcnt/LTimerTC.D10.000
cnt/LTimer<4>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<5>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<4>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<4>.Qcnt/LTimerTC.D10.000
cnt/LTimer<5>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<6>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<5>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<5>.Qcnt/LTimerTC.D10.000
cnt/LTimer<6>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<7>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<6>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<6>.Qcnt/LTimerTC.D10.000
cnt/LTimer<7>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<8>.D10.000
cnt/LTimer<7>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<7>.Qcnt/LTimerTC.D10.000
cnt/LTimer<8>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<8>.Qcnt/LTimer<9>.D10.000
cnt/LTimer<8>.Qcnt/LTimerTC.D10.000
cnt/LTimer<9>.Qcnt/LTimer<10>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<11>.D10.000
cnt/LTimer<9>.Qcnt/LTimer<12>.D10.000
cnt/LTimer<9>.Qcnt/LTimerTC.D10.000
cnt/LTimerTC.Qcnt/INITS_FSM_FFd1.D10.000
cnt/LTimerTC.Qcnt/INITS_FSM_FFd2.D10.000
cnt/Timer<0>.QRefUrg.D10.000
cnt/Timer<0>.Qcnt/Timer<0>.D10.000
cnt/Timer<0>.Qcnt/Timer<1>.D10.000
cnt/Timer<0>.Qcnt/Timer<2>.D10.000
cnt/Timer<0>.Qcnt/TimerTC.D10.000
cnt/Timer<1>.QRefReq.D10.000
cnt/Timer<1>.QRefUrg.D10.000
cnt/Timer<1>.Qcnt/Timer<1>.D10.000
cnt/Timer<1>.Qcnt/Timer<2>.D10.000
cnt/Timer<1>.Qcnt/TimerTC.D10.000
cnt/Timer<2>.QRefReq.D10.000
cnt/Timer<2>.QRefUrg.D10.000
cnt/Timer<2>.Qcnt/Timer<2>.D10.000
cnt/Timer<2>.Qcnt/TimerTC.D10.000
cnt/TimerTC.QRefUrg.D10.000
cnt/TimerTC.Qcnt/INITS_FSM_FFd1.D10.000
cnt/TimerTC.Qcnt/INITS_FSM_FFd2.D10.000
cnt/TimerTC.Qcnt/LTimer<0>.CE10.000
cnt/TimerTC.Qcnt/LTimer<10>.CE10.000
cnt/TimerTC.Qcnt/LTimer<11>.CE10.000
cnt/TimerTC.Qcnt/LTimer<12>.CE10.000
cnt/TimerTC.Qcnt/LTimer<1>.CE10.000
cnt/TimerTC.Qcnt/LTimer<2>.CE10.000
cnt/TimerTC.Qcnt/LTimer<3>.CE10.000
cnt/TimerTC.Qcnt/LTimer<4>.CE10.000
cnt/TimerTC.Qcnt/LTimer<5>.CE10.000
cnt/TimerTC.Qcnt/LTimer<6>.CE10.000
cnt/TimerTC.Qcnt/LTimer<7>.CE10.000
cnt/TimerTC.Qcnt/LTimer<8>.CE10.000
cnt/TimerTC.Qcnt/LTimer<9>.CE10.000
cnt/TimerTC.Qcnt/LTimerTC.CE10.000
cnt/TimerTC.Qcnt/Timer<0>.D10.000
cnt/TimerTC.Qcnt/Timer<1>.D10.000
cnt/TimerTC.Qcnt/Timer<2>.D10.000
cnt/nIPL2r.Qcnt/INITS_FSM_FFd1.D10.000
cnt/nIPL2r.QnBR_IOB.D10.000
cs/nOverlay0.Qcs/nOverlay0.D10.000
cs/nOverlay0.Qcs/nOverlay1.D10.000
cs/nOverlay1.Qfsb/Ready0r.D10.000
cs/nOverlay1.Qram/RAMEN.D10.000
cs/nOverlay1.Qram/RS_FSM_FFd2.D10.000
fsb/ASrf.Qcs/nOverlay0.D10.000
fsb/ASrf.Qcs/nOverlay1.CE10.000
fsb/ASrf.Qfsb/Ready0r.D10.000
fsb/ASrf.Qfsb/Ready1r.D10.000
fsb/ASrf.Qiobs/IOReady.D10.000
fsb/ASrf.Qiobs/Load1.D10.000
fsb/ASrf.QnBERR_FSB.D10.000
fsb/ASrf.Qram/BACTr.D10.000
fsb/ASrf.Qram/RS_FSM_FFd2.D10.000
fsb/Ready0r.Qfsb/Ready0r.D10.000
iobs/Clear1.QnADoutLE1.D10.000
iobs/IOACTr.QIOREQ.D10.000
iobs/IOACTr.Qiobs/IOReady.D10.000
iobs/IOACTr.Qiobs/PS_FSM_FFd1.D10.000
iobs/IOACTr.Qiobs/PS_FSM_FFd2.D10.000
iobs/IOACTr.QnBERR_FSB.D10.000
iobs/IOL1.QIOL0.D10.000
iobs/IORW1.Qiobs/IORW1.D10.000
iobs/IOReady.Qiobs/IOReady.D10.000
iobs/IOU1.QIOU0.D10.000
iobs/Load1.Qiobs/IOL1.CE10.000
iobs/Load1.Qiobs/IOU1.CE10.000
iobs/Load1.QnADoutLE1.D10.000
iobs/Once.Qiobs/IORW1.D10.000
iobs/Once.Qiobs/IOReady.D10.000
iobs/Once.Qiobs/Load1.D10.000
iobs/Once.QnBERR_FSB.D10.000
iobs/PS_FSM_FFd1.QALE0S.D10.000
iobs/PS_FSM_FFd1.QIOL0.CE10.000
iobs/PS_FSM_FFd1.QIOU0.CE10.000
iobs/PS_FSM_FFd1.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd1.Qiobs/IORW1.D10.000
iobs/PS_FSM_FFd1.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd1.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.QALE0S.D10.000
iobs/PS_FSM_FFd2.QIOL0.CE10.000
iobs/PS_FSM_FFd2.QIOREQ.D10.000
iobs/PS_FSM_FFd2.QIOU0.CE10.000
iobs/PS_FSM_FFd2.Qiobs/Clear1.D10.000
iobs/PS_FSM_FFd2.Qiobs/IORW1.D10.000
iobs/PS_FSM_FFd2.Qiobs/IOReady.D10.000
iobs/PS_FSM_FFd2.Qiobs/Load1.D10.000
iobs/PS_FSM_FFd2.Qiobs/PS_FSM_FFd1.D10.000
iobs/PS_FSM_FFd2.QnBERR_FSB.D10.000
nADoutLE1.QIOL0.D10.000
nADoutLE1.QIOREQ.D10.000
nADoutLE1.QIOU0.D10.000
nADoutLE1.Qiobs/Clear1.D10.000
nADoutLE1.Qiobs/IORW1.D10.000
nADoutLE1.Qiobs/IOReady.D10.000
nADoutLE1.Qiobs/Load1.D10.000
nADoutLE1.Qiobs/PS_FSM_FFd2.D10.000
nADoutLE1.QnADoutLE1.D10.000
nADoutLE1.QnBERR_FSB.D10.000
nADoutLE1.QnDTACK_FSB.D10.000
nAoutOE.QnAoutOE.D10.000
nBERR_FSB.QnBERR_FSB.D10.000
nBR_IOB.QnAoutOE.D10.000
nBR_IOB.QnBR_IOB.D10.000
ram/RAMEN.Qram/RAMReady.D10.000
ram/RAMEN.Qram/RS_FSM_FFd2.D10.000
ram/RAMReady.Qfsb/Ready0r.D10.000
ram/RASEL.QnCAS.D10.000
ram/RS_FSM_FFd1.Qram/RASEL.D10.000
ram/RS_FSM_FFd1.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd1.Qram/RefDone.D10.000
ram/RS_FSM_FFd2.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd2.Qram/RefDone.D10.000
ram/RS_FSM_FFd3.Qram/RS_FSM_FFd1.D10.000
ram/RS_FSM_FFd3.Qram/RefDone.D10.000
ram/RefDone.Qram/RefDone.D10.000
ram/RefDone.Qram/RefReq.D10.000
ram/RefDone.Qram/RefUrg.D10.000
ram/RefReq.Qram/RAMEN.D10.000
ram/RefReqSync.Qram/RefDone.D10.000
ram/RefReqSync.Qram/RefReq.D10.000
ram/RegUrgSync.Qram/RefUrg.D10.000

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Clock to Setup for clock C16M
SourceDestinationDelay
IOBERR.QIOBERR.D11.000
iobm/BERRrf.QIOBERR.D11.000
iobm/BERRrr.QIOBERR.D11.000
iobm/DTACKrf.QIOBERR.D11.000
iobm/DTACKrr.QIOBERR.D11.000
iobm/IOS_FSM_FFd1.QIOACT.D11.000
iobm/IOS_FSM_FFd1.QIOBERR.D11.000
iobm/IOS_FSM_FFd2.QIOBERR.D11.000
iobm/IOS_FSM_FFd3.QIOACT.D11.000
iobm/IOS_FSM_FFd3.QIOBERR.D11.000
iobm/RESrf.QIOACT.D11.000
iobm/RESrf.QIOBERR.D11.000
iobm/RESrr.QIOACT.D11.000
iobm/RESrr.QIOBERR.D11.000
IOACT.QnVMA_IOB.D10.000
iobm/BERRrf.QIOACT.D10.000
iobm/BERRrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/BERRrr.QIOACT.D10.000
iobm/BERRrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrf.QIOACT.D10.000
iobm/DTACKrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/DTACKrr.QIOACT.D10.000
iobm/DTACKrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/ES<0>.Qiobm/ES<0>.D10.000
iobm/ES<0>.Qiobm/ES<1>.D10.000
iobm/ES<0>.Qiobm/ES<2>.D10.000
iobm/ES<0>.Qiobm/ES<3>.D10.000
iobm/ES<0>.Qiobm/ES<4>.D10.000
iobm/ES<0>.Qiobm/ETACK.D10.000
iobm/ES<0>.QnVMA_IOB.D10.000
iobm/ES<1>.Qiobm/ES<0>.D10.000
iobm/ES<1>.Qiobm/ES<1>.D10.000
iobm/ES<1>.Qiobm/ES<2>.D10.000
iobm/ES<1>.Qiobm/ES<3>.D10.000
iobm/ES<1>.Qiobm/ES<4>.D10.000
iobm/ES<1>.Qiobm/ETACK.D10.000
iobm/ES<1>.QnVMA_IOB.D10.000
iobm/ES<2>.Qiobm/ES<0>.D10.000
iobm/ES<2>.Qiobm/ES<2>.D10.000
iobm/ES<2>.Qiobm/ES<3>.D10.000
iobm/ES<2>.Qiobm/ES<4>.D10.000
iobm/ES<2>.Qiobm/ETACK.D10.000
iobm/ES<2>.QnVMA_IOB.D10.000
iobm/ES<3>.Qiobm/ES<0>.D10.000
iobm/ES<3>.Qiobm/ES<2>.D10.000
iobm/ES<3>.Qiobm/ES<3>.D10.000
iobm/ES<3>.Qiobm/ES<4>.D10.000
iobm/ES<3>.Qiobm/ETACK.D10.000
iobm/ES<3>.QnVMA_IOB.D10.000
iobm/ES<4>.Qiobm/ES<0>.D10.000
iobm/ES<4>.Qiobm/ES<2>.D10.000
iobm/ES<4>.Qiobm/ES<4>.D10.000
iobm/ES<4>.Qiobm/ETACK.D10.000
iobm/ES<4>.QnVMA_IOB.D10.000
iobm/ETACK.QIOACT.D10.000
iobm/ETACK.QIOBERR.D10.000
iobm/ETACK.Qiobm/IOS_FSM_FFd2.D10.000
iobm/Er2.Qiobm/ES<0>.D10.000
iobm/Er2.Qiobm/ES<1>.D10.000
iobm/Er2.Qiobm/ES<2>.D10.000
iobm/Er2.Qiobm/ES<3>.D10.000
iobm/Er2.Qiobm/ES<4>.D10.000
iobm/IOREQr.QALE0M.D10.000
iobm/IOREQr.QIOACT.D10.000
iobm/IOREQr.Qiobm/DoutOE.D10.000
iobm/IOREQr.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd1.QALE0M.D10.000
iobm/IOS_FSM_FFd1.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd1.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd1.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd1.QnDinLE.D10.000
iobm/IOS_FSM_FFd1.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd1.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd2.QALE0M.D10.000
iobm/IOS_FSM_FFd2.QIOACT.D10.000
iobm/IOS_FSM_FFd2.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd2.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd2.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd2.QnDinLE.D10.000
iobm/IOS_FSM_FFd2.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd2.QnUDS_IOB.D10.000
iobm/IOS_FSM_FFd3.QALE0M.D10.000
iobm/IOS_FSM_FFd3.Qiobm/DoutOE.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd1.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd2.D10.000
iobm/IOS_FSM_FFd3.Qiobm/IOS_FSM_FFd3.D10.000
iobm/IOS_FSM_FFd3.QnAS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnLDS_IOB.D10.000
iobm/IOS_FSM_FFd3.QnUDS_IOB.D10.000
iobm/RESrf.Qiobm/IOS_FSM_FFd2.D10.000
iobm/RESrr.Qiobm/IOS_FSM_FFd2.D10.000
iobm/VPArf.QnVMA_IOB.D10.000
iobm/VPArr.QnVMA_IOB.D10.000
nVMA_IOB.Qiobm/ETACK.D10.000
nVMA_IOB.QnVMA_IOB.D10.000

-
- -

Pad to Pad List

- - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - - -
Source PadDestination PadDelay
A_FSB<11>RA<1>11.000
A_FSB<12>RA<2>11.000
A_FSB<14>RA<4>11.000
A_FSB<15>RA<5>11.000
A_FSB<20>nROMCS11.000
A_FSB<21>RA<8>11.000
A_FSB<21>nROMCS11.000
A_FSB<22>RA<8>11.000
A_FSB<22>nROMCS11.000
A_FSB<23>RA<8>11.000
A_FSB<23>nROMCS11.000
A_FSB<2>RA<1>11.000
A_FSB<3>RA<2>11.000
A_FSB<5>RA<4>11.000
A_FSB<6>RA<5>11.000
A_FSB<9>RA<8>11.000
A_FSB<10>RA<0>10.000
A_FSB<13>RA<3>10.000
A_FSB<16>RA<6>10.000
A_FSB<17>RA<7>10.000
A_FSB<18>RA<8>10.000
A_FSB<19>RA<11>10.000
A_FSB<19>RA<9>10.000
A_FSB<1>RA<0>10.000
A_FSB<20>RA<9>10.000
A_FSB<20>nDinOE10.000
A_FSB<21>RA<10>10.000
A_FSB<21>nDinOE10.000
A_FSB<22>nDinOE10.000
A_FSB<23>nDinOE10.000
A_FSB<4>RA<3>10.000
A_FSB<7>RA<6>10.000
A_FSB<8>RA<7>10.000
nAS_FSBnDinOE10.000
nAS_FSBnOE10.000
nAS_FSBnVPA_FSB10.000
nWE_FSBnDinOE10.000
nWE_FSBnOE10.000
-
-
-
Number of paths analyzed: -758 -
Number of Timing errors: -758 -
Analysis Completed: Sun Mar 26 03:18:38 2023 - -
- + + +Timing report + +

No timing data is available + for your design.

+

Please double click on the + Generate Timing process in the "Process for Current Sources" + window.

+ \ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt index 131bb55..5756812 100644 --- a/cpld/XC95144XL/WarpSE_ngdbuild.xrpt +++ b/cpld/XC95144XL/WarpSE_ngdbuild.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -54,7 +54,7 @@ - + @@ -66,35 +66,35 @@
- - - + + + - + - - + + - - + +
- - - + + + - + - + - - + + diff --git a/cpld/XC95144XL/WarpSE_pad.csv b/cpld/XC95144XL/WarpSE_pad.csv index b71f822..bdad161 100644 --- a/cpld/XC95144XL/WarpSE_pad.csv +++ b/cpld/XC95144XL/WarpSE_pad.csv @@ -1,7 +1,7 @@ Release 8.1i - Fit P.20131013 Copyright(c) 1995-2003 Xilinx Inc. All rights reserved - 4- 7-2023 0:29AM + 4- 7-2023 2:26AM NOTE: This file is designed to be imported into a spreadsheet program such as Microsoft Excel for viewing, printing and sorting. The comma ',' @@ -19,11 +19,11 @@ Pinout by Pin Number: -----,-----,-----,-----,-----,-----,-----,-----,-----,-----, Pin Number,Signal Name,Pin Usage,Pin Name,Direction,IO Standard,IO Bank Number,{blank},Slew Rate,Termination,{blank},Voltage,Constraint, P1,TIE,,I/O/GTS3,,,,,,,,,, -P2,TIE,,I/O/GTS4,,,,,,,,,, -P3,TIE,,I/O/GTS1,,,,,,,,,, -P4,TIE,,I/O/GTS2,,,,,,,,,, +P2,A_FSB<5>,I,I/O/GTS4,INPUT,,,,,,,,, +P3,A_FSB<6>,I,I/O/GTS1,INPUT,,,,,,,,, +P4,A_FSB<7>,I,I/O/GTS2,INPUT,,,,,,,,, P5,VCC,,VCCINT,,,,,,,,,, -P6,TIE,,I/O,,,,,,,,,, +P6,A_FSB<8>,I,I/O,INPUT,,,,,,,,, P7,A_FSB<9>,I,I/O,INPUT,,,,,,,,, P8,A_FSB<10>,I,I/O,INPUT,,,,,,,,, P9,A_FSB<11>,I,I/O,INPUT,,,,,,,,, @@ -111,10 +111,10 @@ P90,nDinOE,O,I/O,OUTPUT,,,,,,,,, P91,nRES,I/O,I/O,BIDIR,,,,,,,,, P92,nIPL2,I,I/O,INPUT,,,,,,,,, P93,nVPA_FSB,O,I/O,OUTPUT,,,,,,,,, -P94,TIE,,I/O,,,,,,,,,, -P95,TIE,,I/O,,,,,,,,,, -P96,TIE,,I/O,,,,,,,,,, -P97,TIE,,I/O,,,,,,,,,, +P94,A_FSB<1>,I,I/O,INPUT,,,,,,,,, +P95,A_FSB<2>,I,I/O,INPUT,,,,,,,,, +P96,A_FSB<3>,I,I/O,INPUT,,,,,,,,, +P97,A_FSB<4>,I,I/O,INPUT,,,,,,,,, P98,VCC,,VCCINT,,,,,,,,,, P99,TIE,,I/O/GSR,,,,,,,,,, P100,GND,,GND,,,,,,,,,, diff --git a/cpld/XC95144XL/WarpSE_summary.html b/cpld/XC95144XL/WarpSE_summary.html index bb84e1d..abc8842 100644 --- a/cpld/XC95144XL/WarpSE_summary.html +++ b/cpld/XC95144XL/WarpSE_summary.html @@ -2,7 +2,7 @@
- + @@ -25,7 +25,7 @@ No Errors - + @@ -65,9 +65,9 @@ System Settings - - - + + +
WarpSE Project Status (04/02/2023 - 23:02:28)
WarpSE Project Status (04/07/2023 - 02:26:24)
Project File: WarpSE.xise
Product Version:ISE 14.7
  • Warnings:
22 Warnings (0 new)5 Warnings (0 new)
Design Goal:
Detailed Reports [-]
Report NameStatusGenerated ErrorsWarningsInfos
Synthesis ReportCurrentFri Apr 7 00:28:53 2023022 Warnings (0 new)0
Translation ReportCurrentFri Apr 7 00:28:58 2023000
CPLD Fitter Report (Text)CurrentFri Apr 7 00:29:10 2023013 Warnings (1 new)3 Infos (3 new)
Synthesis ReportCurrentFri Apr 7 02:25:57 202305 Warnings (0 new)0
Translation ReportCurrentFri Apr 7 02:26:03 2023000
CPLD Fitter Report (Text)CurrentFri Apr 7 02:26:16 202305 Warnings (1 new)3 Infos (3 new)
Power Report     
 
@@ -77,5 +77,5 @@ System Settings
-
Date Generated: 04/07/2023 - 00:31:39
+
Date Generated: 04/07/2023 - 02:26:24
\ No newline at end of file diff --git a/cpld/XC95144XL/WarpSE_xst.xrpt b/cpld/XC95144XL/WarpSE_xst.xrpt index 6dbf728..3fb1391 100644 --- a/cpld/XC95144XL/WarpSE_xst.xrpt +++ b/cpld/XC95144XL/WarpSE_xst.xrpt @@ -5,7 +5,7 @@ The structure and the elements are likely to change over the next few releases. This means code written to parse this file will need to be revisited each subsequent release.--> - +
@@ -79,8 +79,8 @@ - - + + @@ -91,8 +91,8 @@ - - + +
@@ -112,31 +112,31 @@
- - - - + + + + - - + + - - + + - - + +
- +
diff --git a/cpld/XC95144XL/_ngo/netlist.lst b/cpld/XC95144XL/_ngo/netlist.lst index 97226a7..0be13d8 100644 --- a/cpld/XC95144XL/_ngo/netlist.lst +++ b/cpld/XC95144XL/_ngo/netlist.lst @@ -1,2 +1,2 @@ -C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680841732 +C:\Users\Wolf\Documents\GitHub\Warp-SE\cpld\XC95144XL\WarpSE.ngc 1680848757 OK diff --git a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs index dcc5124..b14994b 100644 --- a/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs +++ b/cpld/XC95144XL/_xmsgs/cpldfit.xmsgs @@ -17,30 +17,6 @@ Inferring BUFG constraint for signal 'FCLK' based upon the LOC constraint 'P27'. It is recommended that you declare this BUFG explicitedly in your design. Note that for certain device families the output of a BUFG constraint can not drive a gated clock, and the BUFG constraint will be ignored. -Removing unused input(s) 'A_FSB<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - -Removing unused input(s) 'A_FSB<2>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - -Removing unused input(s) 'A_FSB<3>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - -Removing unused input(s) 'A_FSB<4>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - -Removing unused input(s) 'A_FSB<5>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - -Removing unused input(s) 'A_FSB<6>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - -Removing unused input(s) 'A_FSB<7>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - -Removing unused input(s) 'A_FSB<8>'. The input(s) are unused after optimization. Please verify functionality via simulation. - - Removing unused input(s) 'SW<1>'. The input(s) are unused after optimization. Please verify functionality via simulation. diff --git a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs index 989b3dc..b387d3b 100644 --- a/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs +++ b/cpld/XC95144XL/_xmsgs/pn_parser.xmsgs @@ -8,7 +8,7 @@ -Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/CS.v" into library work +Analyzing Verilog file "C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/CS.v" into library work diff --git a/cpld/XC95144XL/_xmsgs/taengine.xmsgs b/cpld/XC95144XL/_xmsgs/taengine.xmsgs deleted file mode 100644 index c42b14a..0000000 --- a/cpld/XC95144XL/_xmsgs/taengine.xmsgs +++ /dev/null @@ -1,9 +0,0 @@ - - - - - diff --git a/cpld/XC95144XL/_xmsgs/xst.xmsgs b/cpld/XC95144XL/_xmsgs/xst.xmsgs index 9ccdbfa..1cbf9ad 100644 --- a/cpld/XC95144XL/_xmsgs/xst.xmsgs +++ b/cpld/XC95144XL/_xmsgs/xst.xmsgs @@ -5,28 +5,13 @@ behavior or data corruption. It is strongly advised that users do not edit the contents of this file. --> -"../WarpSE.v" line 150 Connection to input port 'QoSReady' does not match port size +"WarpSE.v" line 63 Too few port connections in instance 'cs' of module 'CS' -Input <A<17:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +Output <SndRAMCSWR> is never assigned. Tied to value 0. -Signal <VidRAMCSWR> is assigned but never used. This unconnected signal will be trimmed during the optimization process. - - -Signal <IODONEr<1>> is assigned but never used. This unconnected signal will be trimmed during the optimization process. - - -Input <QoSCS> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. - - -Input <QoSReady> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. - - -Input <RAMCS> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. - - -Input <RAMReady> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. +Input <A<11:8>> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. Input <SW> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. @@ -35,41 +20,5 @@ Input <nBG_IOB> is never used. This port will be preserved and left unconnected if it belongs to a top-level block or it belongs to a sub-block and the hierarchy of this sub-block is preserved. -FF/Latch <0> has a constant value of 0 in block <FFd5>. This FF/Latch will be trimmed during the optimization process. - - -FF/Latch <0> has a constant value of 0 in block <FFd7>. This FF/Latch will be trimmed during the optimization process. - - -FF/Latch <0> has a constant value of 0 in block <RASEL>. This FF/Latch will be trimmed during the optimization process. - - -FF/Latch <0> has a constant value of 0 in block <RASrf>. This FF/Latch will be trimmed during the optimization process. - - -FF/Latches <FFd5> is unconnected in block <FSM>. - - -FF/Latches <FFd7> is unconnected in block <FSM>. - - -FF/Latch <RAMReady> (without init value) has a constant value of 0 in block <RAM>. This FF/Latch will be trimmed during the optimization process. - - -Node <IODONEr_1> of sequential type is unconnected in block <IOBS>. - - -FF/Latch <RASEL> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. - - -FF/Latch <RS_FSM_FFd7> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. - - -Due to other FF/Latch trimming, FF/Latch <RASrf> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. - - -FF/Latch <RS_FSM_FFd5> has a constant value of 0 in block <ram>. This FF/Latch will be trimmed during the optimization process. - - diff --git a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr b/cpld/XC95144XL/iseconfig/WarpSE.projectmgr deleted file mode 100644 index 263a865..0000000 --- a/cpld/XC95144XL/iseconfig/WarpSE.projectmgr +++ /dev/null @@ -1,91 +0,0 @@ - - - - - - - - - 2 - - - WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v) - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000202000000010000000100000064000000d2000000020000000000000000000000000200000064ffffffff000000810000000300000002000000d20000000100000003000000000000000100000003 - true - WarpSE (C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/WarpSE.v) - - - - 1 - Design Utilities - - - - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - - - - - 1 - - - 0 - 0 - 000000ff000000000000000100000000000000000100000000000000000000000000000000000003a3000000040101000100000000000000000000000064ffffffff000000810000000000000004000000420000000100000000000000240000000100000000000000660000000100000000000002d70000000100000000 - false - CNT.v - - - - 1 - work - - - 0 - 0 - 000000ff00000000000000010000000000000000010000000000000000000000000000000000000109000000010001000100000000000000000000000064ffffffff000000810000000000000001000001090000000100000000 - false - work - - - - 1 - Design Utilities - Implement Design/Configure Target Device - Implement Design/Optional Implementation Tools - Implement Design/Synthesize - XST - User Constraints - - - - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - - - - - 1 - User Constraints - - - User Constraints - - 0 - 0 - 000000ff000000000000000100000001000000000000000000000000000000000000000000000000f8000000010000000100000000000000000000000064ffffffff000000810000000000000001000000f80000000100000000 - false - User Constraints - - 000000ff00000000000000020000011b0000011b01000000050100000002 - Implementation - diff --git a/cpld/XC95144XL/iseconfig/WarpSE.xreport b/cpld/XC95144XL/iseconfig/WarpSE.xreport index 7b91447..d642bf6 100644 --- a/cpld/XC95144XL/iseconfig/WarpSE.xreport +++ b/cpld/XC95144XL/iseconfig/WarpSE.xreport @@ -1,12 +1,12 @@
- 2023-04-07T00:31:41 + 2023-04-07T01:51:28 WarpSE - 2023-04-02T23:02:28 + Unknown C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL/iseconfig/WarpSE.xreport - C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL\ - 2023-03-26T03:17:53 + C:/Users/Wolf/Documents/GitHub/Warp-SE/cpld/XC95144XL + 2023-04-07T01:51:28 false
diff --git a/cpld/XC95144XL/webtalk_pn.xml b/cpld/XC95144XL/webtalk_pn.xml index e8476fd..ceb3cf0 100644 --- a/cpld/XC95144XL/webtalk_pn.xml +++ b/cpld/XC95144XL/webtalk_pn.xml @@ -3,15 +3,16 @@ - +
- + - +
+ @@ -20,11 +21,11 @@ This means code written to parse this file will need to be revisited each subseq - - + + + - diff --git a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd index 6f3fb76..c543fcc 100644 Binary files a/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd and b/cpld/XC95144XL/xlnx_auto_0_xdb/cst.xbcd differ diff --git a/cpld/XC95144XL/xst/work/hdllib.ref b/cpld/XC95144XL/xst/work/hdllib.ref index b5cdc19..ad40c48 100644 --- a/cpld/XC95144XL/xst/work/hdllib.ref +++ b/cpld/XC95144XL/xst/work/hdllib.ref @@ -1,7 +1,7 @@ -MO CNT NULL ../CNT.v vlg65/_c_n_t.bin 1680841728 -MO CS NULL ../CS.v vlg22/_c_s.bin 1680841728 -MO FSB NULL ../FSB.v vlg37/_f_s_b.bin 1680841728 -MO IOBM NULL ../IOBM.v vlg73/_i_o_b_m.bin 1680841728 -MO WarpSE NULL ../WarpSE.v vlg52/_warp_s_e.bin 1680841728 -MO IOBS NULL ../IOBS.v vlg79/_i_o_b_s.bin 1680841728 -MO RAM NULL ../RAM.v vlg14/_r_a_m.bin 1680841728 +MO CNT NULL CNT.v vlg65/_c_n_t.bin 1680848753 +MO CS NULL CS.v vlg22/_c_s.bin 1680848753 +MO FSB NULL FSB.v vlg37/_f_s_b.bin 1680848753 +MO IOBM NULL IOBM.v vlg73/_i_o_b_m.bin 1680848753 +MO WarpSE NULL WarpSE.v vlg52/_warp_s_e.bin 1680848753 +MO IOBS NULL IOBS.v vlg79/_i_o_b_s.bin 1680848753 +MO RAM NULL RAM.v vlg14/_r_a_m.bin 1680848753 diff --git a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin index ee4b044..650870c 100644 Binary files a/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin and b/cpld/XC95144XL/xst/work/vlg14/_r_a_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin index a9cf01f..3265ee1 100644 Binary files a/cpld/XC95144XL/xst/work/vlg22/_c_s.bin and b/cpld/XC95144XL/xst/work/vlg22/_c_s.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin index 2fea2b9..4ab8a84 100644 Binary files a/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin and b/cpld/XC95144XL/xst/work/vlg37/_f_s_b.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin index 228efe3..c3ba281 100644 Binary files a/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin and b/cpld/XC95144XL/xst/work/vlg52/_warp_s_e.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin index 4c6559d..e1ffb69 100644 Binary files a/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin and b/cpld/XC95144XL/xst/work/vlg65/_c_n_t.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin index afabb32..672a9a0 100644 Binary files a/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin and b/cpld/XC95144XL/xst/work/vlg73/_i_o_b_m.bin differ diff --git a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin index ef2311d..ed5e86c 100644 Binary files a/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin and b/cpld/XC95144XL/xst/work/vlg79/_i_o_b_s.bin differ